Skip to content

Commit

Permalink
Merge pull request #90 from slaclab/pre-release
Browse files Browse the repository at this point in the history
Release Candidate v3.0.0
  • Loading branch information
ruck314 authored Feb 4, 2020
2 parents b8822d1 + 220d270 commit 9309980
Show file tree
Hide file tree
Showing 27 changed files with 1,383 additions and 1,095 deletions.
6 changes: 3 additions & 3 deletions LCLS-I/evr/EvrV1CoreIsrCtrl.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -154,7 +154,7 @@ architecture rtl of EvrV1CoreIsrCtrl is
axilReadSlave : AxiLiteReadSlaveType;
axilWriteSlave : AxiLiteWriteSlaveType;
txMaster : AxiStreamMasterType;
req : AxiLiteMasterReqType;
req : AxiLiteReqType;
state : StateType;
end record RegType;
constant REG_INIT_C : RegType := (
Expand All @@ -168,14 +168,14 @@ architecture rtl of EvrV1CoreIsrCtrl is
axilReadSlave => AXI_LITE_READ_SLAVE_INIT_C,
axilWriteSlave => AXI_LITE_WRITE_SLAVE_INIT_C,
txMaster => AXI_STREAM_MASTER_INIT_C,
req => AXI_LITE_MASTER_REQ_INIT_C,
req => AXI_LITE_REQ_INIT_C,
state => IDLE_S);

signal r : RegType := REG_INIT_C;
signal rin : RegType;

signal txSlave : AxiStreamSlaveType;
signal ack : AxiLiteMasterAckType;
signal ack : AxiLiteAckType;

begin

Expand Down
9 changes: 1 addition & 8 deletions LCLS-II/core/rtl/BsaControl.vhd
Original file line number Diff line number Diff line change
@@ -1,9 +1,5 @@
-------------------------------------------------------------------------------
-- Title : BsaControl
-- Project : LCLS-II Timing Pattern Generator
-------------------------------------------------------------------------------
-- Author : Matt Weaver, [email protected]
-- Created : 07/17/2015
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description:
-- Translation of BSA DEF to control bits in timing pattern
Expand All @@ -16,9 +12,6 @@
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
-- Modification history:
-- 07/17/2015: created.
-------------------------------------------------------------------------------
library ieee;

library lcls_timing_core;
Expand Down
9 changes: 1 addition & 8 deletions LCLS-II/core/rtl/BsaControlv2.vhd
Original file line number Diff line number Diff line change
@@ -1,9 +1,5 @@
-------------------------------------------------------------------------------
-- Title : BsaControl
-- Project : LCLS-II Timing Pattern Generator
-------------------------------------------------------------------------------
-- Author : Matt Weaver, [email protected]
-- Created : 07/17/2015
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description:
-- Translation of BSA DEF to control bits in timing pattern
Expand All @@ -17,9 +13,6 @@
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
-- Modification history:
-- 07/17/2015: created.
-------------------------------------------------------------------------------
library ieee;

library lcls_timing_core;
Expand Down
9 changes: 1 addition & 8 deletions LCLS-II/core/rtl/CtrControl.vhd
Original file line number Diff line number Diff line change
@@ -1,9 +1,5 @@
-------------------------------------------------------------------------------
-- Title : CtrControl
-- Project : LCLS-II Timing Pattern Generator
-------------------------------------------------------------------------------
-- Author : Matt Weaver, [email protected]
-- Created : 07/17/2015
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description:
-- Translation of BSA DEF to control bits in timing pattern
Expand All @@ -17,9 +13,6 @@
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
-- Modification history:
-- 07/17/2015: created.
-------------------------------------------------------------------------------
library ieee;

library lcls_timing_core;
Expand Down
9 changes: 1 addition & 8 deletions LCLS-II/core/rtl/EventSelect.vhd
Original file line number Diff line number Diff line change
@@ -1,9 +1,5 @@
-------------------------------------------------------------------------------
-- Title : EventSelect
-- Project : LCLS-II Timing Pattern Generator
-------------------------------------------------------------------------------
-- Author : Matt Weaver, [email protected]
-- Created : 03/07/2016
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description:
-- Translation of BSA DEF to control bits in timing pattern
Expand All @@ -16,9 +12,6 @@
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
-- Modification history:
-- 03/07/2016: created.
-------------------------------------------------------------------------------
library ieee;

library lcls_timing_core;
Expand Down
4 changes: 2 additions & 2 deletions LCLS-II/core/rtl/GthRxAlignCheck.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -3,11 +3,11 @@
-------------------------------------------------------------------------------
-- Description: GTH RX Byte Alignment Checker module
-------------------------------------------------------------------------------
-- This file is part of 'SLAC Firmware Standard Library'.
-- This file is part of 'LCLS2 Timing Core'.
-- It is subject to the license terms in the LICENSE.txt file found in the
-- top-level directory of this distribution and at:
-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
-- No part of 'SLAC Firmware Standard Library', including this file,
-- No part of 'LCLS2 Timing Core', including this file,
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
Expand Down
2 changes: 1 addition & 1 deletion LCLS-II/core/rtl/TPGMini.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -113,7 +113,7 @@ begin
streamIds <= istreamIds;
iiadvance <= advance when STREAM_INTF=true else
iadvance;
fiducial <= baseEnabled(0);
fiducial <= baseEnable;

-- Dont know about these inputs yet
frame.bcsFault <= (others => '0');
Expand Down
9 changes: 1 addition & 8 deletions LCLS-II/core/rtl/TPGNotify.vhd
Original file line number Diff line number Diff line change
@@ -1,9 +1,5 @@
------------------------------------------------------------------------------
-- Title : Timing pattern generator
-- Project : LCLS-II Timing System
-------------------------------------------------------------------------------
-- Author : Matt Weaver, [email protected]
-- Created : 05/19/2016
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
Expand All @@ -15,9 +11,6 @@
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
-- Modification history:
-- 09/15/2015: created.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
Expand Down
2 changes: 1 addition & 1 deletion LCLS-II/core/rtl/TPGPkg.vhd
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: Package of constants and record definitions for the Timing Geneartor.
-- Description: Package of constants and record definitions for the Timing Generator.
-------------------------------------------------------------------------------
-- This file is part of 'LCLS2 Timing Core'.
-- It is subject to the license terms in the LICENSE.txt file found in the
Expand Down
Loading

0 comments on commit 9309980

Please sign in to comment.