Skip to content

Commit

Permalink
Merge pull request #177 from slaclab/pre-release
Browse files Browse the repository at this point in the history
Release Candidate v3.9.0
  • Loading branch information
ruck314 authored Jan 14, 2025
2 parents 7ee3709 + f36309f commit 6ed8c16
Show file tree
Hide file tree
Showing 13 changed files with 1,697 additions and 90 deletions.
4 changes: 1 addition & 3 deletions LCLS-II/evr/rtl/EvrV2CorePulseGen.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -342,9 +342,7 @@ begin -- rtl
Out_Trigger: for i in 0 to TriggerOutputs-1 generate
U_Trig : entity lcls_timing_core.EvrV2Trigger
generic map ( TPD_G => TPD_G,
CHANNELS_C => ReadoutChannels,
--DEBUG_C => (i<1) )
DEBUG_C => false )
CHANNELS_C => ReadoutChannels)
port map ( clk => evrClk,
rst => evrRst,
config => triggerConfigS(i),
Expand Down
3 changes: 1 addition & 2 deletions LCLS-II/evr/rtl/EvrV2Module.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -177,8 +177,7 @@ begin -- rtl
U_Trig : entity lcls_timing_core.EvrV2Trigger
generic map ( TPD_G => TPD_G,
CHANNELS_C => NCHANNELS_G,
TRIG_DEPTH_C => TRIG_DEPTH_G,
DEBUG_C => false )
TRIG_DEPTH_C => TRIG_DEPTH_G)
port map ( clk => evrClk,
rst => evrRst,
config => triggerConfig(i),
Expand Down
4 changes: 2 additions & 2 deletions LCLS-II/evr/rtl/EvrV2Trigger.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -48,7 +48,7 @@ end EvrV2Trigger;
architecture rtl of EvrV2Trigger is

type PushState is (DISABLED_S, ENABLED_S, ARMED_S, PUSHING_S);

type RegType is record
fifo_delay : slv(TRIG_WIDTH_C-1 downto 0); -- clks until trigger fifo is empty
push_state : PushState;
Expand Down Expand Up @@ -164,7 +164,7 @@ begin

-- Precalculate
v.push_delay := config.delay(TRIG_WIDTH_C-1 downto 0) + config.width(TRIG_WIDTH_C-1 downto 0) - 1;

case r.push_state is
when DISABLED_S =>
if config.enabled = '1' then
Expand Down
19 changes: 2 additions & 17 deletions LCLS-II/gthUltraScale+/coregen/TimingGth_extref.xci
Original file line number Diff line number Diff line change
Expand Up @@ -821,28 +821,13 @@
"C_TX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ],
"C_TX_USRCLK2_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ]
},
"project_parameters": {
"ARCHITECTURE": [ { "value": "kintexuplus" } ],
"BASE_BOARD_PART": [ { "value": "" } ],
"BOARD_CONNECTIONS": [ { "value": "" } ],
"DEVICE": [ { "value": "xcku15p" } ],
"PACKAGE": [ { "value": "ffva1156" } ],
"PREFHDL": [ { "value": "VHDL" } ],
"SILICON_REVISION": [ { "value": "" } ],
"SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
"SPEEDGRADE": [ { "value": "-2" } ],
"STATIC_POWER": [ { "value": "" } ],
"TEMPERATURE_GRADE": [ { "value": "E" } ],
"USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
"USE_RDI_GENERATION": [ { "value": "TRUE" } ]
},
"runtime_parameters": {
"IPCONTEXT": [ { "value": "IP_Flow" } ],
"IPREVISION": [ { "value": "15" } ],
"MANAGED": [ { "value": "TRUE" } ],
"OUTPUTDIR": [ { "value": "../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_extref" } ],
"OUTPUTDIR": [ { "value": "." } ],
"SELECTEDSIMMODEL": [ { "value": "" } ],
"SHAREDDIR": [ { "value": "../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_extref" } ],
"SHAREDDIR": [ { "value": "." } ],
"SWVERSION": [ { "value": "2022.2" } ],
"SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
}
Expand Down
17 changes: 1 addition & 16 deletions LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.xci
Original file line number Diff line number Diff line change
Expand Up @@ -821,26 +821,11 @@
"C_TX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ],
"C_TX_USRCLK2_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ]
},
"project_parameters": {
"ARCHITECTURE": [ { "value": "kintexuplus" } ],
"BASE_BOARD_PART": [ { "value": "" } ],
"BOARD_CONNECTIONS": [ { "value": "" } ],
"DEVICE": [ { "value": "xcku15p" } ],
"PACKAGE": [ { "value": "ffva1156" } ],
"PREFHDL": [ { "value": "VHDL" } ],
"SILICON_REVISION": [ { "value": "" } ],
"SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ],
"SPEEDGRADE": [ { "value": "-2" } ],
"STATIC_POWER": [ { "value": "" } ],
"TEMPERATURE_GRADE": [ { "value": "E" } ],
"USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
"USE_RDI_GENERATION": [ { "value": "TRUE" } ]
},
"runtime_parameters": {
"IPCONTEXT": [ { "value": "IP_Flow" } ],
"IPREVISION": [ { "value": "15" } ],
"MANAGED": [ { "value": "TRUE" } ],
"OUTPUTDIR": [ { "value": "../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_fixedlat" } ],
"OUTPUTDIR": [ { "value": "." } ],
"SELECTEDSIMMODEL": [ { "value": "" } ],
"SHAREDDIR": [ { "value": "." } ],
"SWVERSION": [ { "value": "2022.2" } ],
Expand Down
2 changes: 1 addition & 1 deletion LCLS-II/gthUltraScale+/ruckus.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl
if { $::env(VIVADO_VERSION) >= 2022.2} {
loadSource -lib lcls_timing_core -dir "$::DIR_PATH/rtl"

if { [info exists ::env(LCLS_TIMING_XCI)] != 0 && $::env(LCLS_TIMING_XCI) == 1 } {
if { [info exists ::env(LCLS_TIMING_GTH_XCI)] != 0 && $::env(LCLS_TIMING_GTH_XCI) == 1 } {
loadIpCore -path "$::DIR_PATH/coregen/TimingGth_extref.xci"
loadIpCore -path "$::DIR_PATH/coregen/TimingGth_fixedlat.xci"
} else {
Expand Down
2 changes: 1 addition & 1 deletion LCLS-II/gthUltraScale/ruckus.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ if { $::env(VIVADO_VERSION) >= 2016.4 } {

loadSource -lib lcls_timing_core -dir "$::DIR_PATH/rtl"

if { [info exists ::env(LCLS_TIMING_XCI)] != 0 && $::env(LCLS_TIMING_XCI) == 1 } {
if { [info exists ::env(LCLS_TIMING_GTH_XCI)] != 0 && $::env(LCLS_TIMING_GTH_XCI) == 1 } {
loadIpCore -path "$::DIR_PATH/coregen/TimingGth_extref.xci"
loadIpCore -path "$::DIR_PATH/coregen/TimingGth_fixedlat.xci"
} else {
Expand Down
Git LFS file not shown
Loading

0 comments on commit 6ed8c16

Please sign in to comment.