Skip to content

Commit

Permalink
add non-verific name mangling regression test
Browse files Browse the repository at this point in the history
  • Loading branch information
nakengelhardt committed Oct 16, 2024
1 parent 0f13fc6 commit e84cc44
Show file tree
Hide file tree
Showing 2 changed files with 28 additions and 0 deletions.
27 changes: 27 additions & 0 deletions tests/regression/verilog_hier_path.sby
Original file line number Diff line number Diff line change
@@ -0,0 +1,27 @@
[options]
mode bmc
depth 1
expect fail

[engines]
smtbmc

[script]
read_verilog -formal sub.v
read_verilog -formal top.v
prep -top \\(foo)

[file top.v]
module \\(foo) (input a);
always @* begin
assert_foo: assert (a);
end
\\(bar) \\(bar)=i= (.a(a));
endmodule

[file sub.v]
module \\(bar) (input a);
always @* begin
assert_bar: assert (a);
end
endmodule
1 change: 1 addition & 0 deletions tests/regression/vhdl_hier_path.sby
Original file line number Diff line number Diff line change
Expand Up @@ -12,6 +12,7 @@ verific -vhdl sub.vhd
verific -vhdl top.vhd
hierarchy -top top
hierarchy -top \\sub(p=41)\(rtl)
prep

[file top.vhd]
library ieee;
Expand Down

0 comments on commit e84cc44

Please sign in to comment.