Skip to content
@MPSU

Институт МПСУ

Институт микроприборов и систем управления имени Л.Н. Преснухина, Национальный исследовательский университет «МИЭТ»

Popular repositories Loading

  1. APS APS Public

    Методические материалы по разработке процессора архитектуры RISC-V

    SystemVerilog 147 47

  2. exAPS exAPS Public archive

    Архитектуры процессорных систем (старый репозиторий, ранее размещавшийся по адресу github.com/MPSU/APS)

    94 30

  3. FPGA_pract FPGA_pract Public

    Методические материалы курса "Практикум по ПЛИС"

    SystemVerilog 17 8

  4. OOP OOP Public

    Forked from KeoFoxy/OOP

    Object-oriented programming course

    C++ 13

  5. PCU-Verilog-labs PCU-Verilog-labs Public archive

    Forked from bqwer/workbook

    Методические материалы к лабораторным работам дисциплины "Проектирование цифровых устройств на языке Verilog"

    TeX 11 4

  6. MIRISCV MIRISCV Public

    Открытое RISC-V процессорное ядро MIRISCV для образовательных целей

    Assembly 11 5

Repositories

Showing 10 of 17 repositories
  • APS Public

    Методические материалы по разработке процессора архитектуры RISC-V

    MPSU/APS’s past year of commit activity
    SystemVerilog 147 CC-BY-SA-4.0 47 0 0 Updated Oct 25, 2024
  • MPSU/PCU-SV-Labs’s past year of commit activity
    TeX 0 0 0 0 Updated Sep 26, 2024
  • OOP Public Forked from KeoFoxy/OOP

    Object-oriented programming course

    MPSU/OOP’s past year of commit activity
    C++ 13 2 0 0 Updated Sep 18, 2024
  • schedule_parser Public

    Скрипт, позволяющий спарсить расписание с сайта МИЭТ в ics-файл

    MPSU/schedule_parser’s past year of commit activity
    Python 4 CC-BY-SA-4.0 0 0 0 Updated Aug 14, 2024
  • Database Public
    MPSU/Database’s past year of commit activity
    C++ 3 4 0 1 Updated Aug 12, 2024
  • CYBERsnake Public

    Киберзмейка на киберкобре

    MPSU/CYBERsnake’s past year of commit activity
    C++ 0 0 0 0 Updated Aug 1, 2024
  • FPGA_pract Public

    Методические материалы курса "Практикум по ПЛИС"

    MPSU/FPGA_pract’s past year of commit activity
    SystemVerilog 17 8 3 3 Updated Jun 10, 2024
  • SoCDC_RTL_2024 Public

    Задание RTL и RTL Pro треков хакатона SoC Design Challenge 2024

    MPSU/SoCDC_RTL_2024’s past year of commit activity
    SystemVerilog 4 2 0 0 Updated May 27, 2024
  • APS-lect-presentations Public

    Презентации к лекциям по АПС

    MPSU/APS-lect-presentations’s past year of commit activity
    2 CC-BY-SA-4.0 0 0 0 Updated May 3, 2024
  • MIRISCV Public

    Открытое RISC-V процессорное ядро MIRISCV для образовательных целей

    MPSU/MIRISCV’s past year of commit activity
    Assembly 11 5 0 0 Updated Apr 5, 2024

Top languages

Loading…

Most used topics

Loading…