diff --git a/src/shader_memory.sv b/src/shader_memory.sv index fd04d54..0860b04 100644 --- a/src/shader_memory.sv +++ b/src/shader_memory.sv @@ -20,7 +20,7 @@ module shader_memory #( always_ff @(posedge clk_i, negedge rst_ni) begin if (!rst_ni) begin `ifdef COCOTB_SIM - $readmemb("../sw/binary/test7.bit", memory); + $readmemb("../sw/binary/test4.bit", memory); `else // Load the default program (test4) memory[0] <= 8'b00_0100_00; // GETX R0 diff --git a/test/test.py b/test/test.py index 230f959..9c56e14 100644 --- a/test/test.py +++ b/test/test.py @@ -111,13 +111,11 @@ async def test_vga_default(dut): image = await task_draw_frame.join() image.save(f"default.png") - await FallingEdge(dut.vsync) - await FallingEdge(dut.hsync) - # Start thread to draw frame task_draw_frame = await cocotb.start(draw_frame(dut)) image2 = await task_draw_frame.join() + image2.save(f"default2.png") # Check that images are not the same diff = ImageChops.difference(image.convert('RGB'), image2.convert('RGB'))