From bf6451eb776e7d12813274b8625da879dd769b1f Mon Sep 17 00:00:00 2001 From: Ryan Berg Date: Mon, 7 Dec 2015 05:17:55 -0500 Subject: [PATCH] sprites work, mostly! --- Nexys4Game/src/hdl/AV_block.v | 157 ++- Nexys4Game/src/hdl/AV_integrator.v | 19 +- Nexys4Game/src/hdl/AV_menu_graphics.v | 2 +- Nexys4Game/src/hdl/AV_string.v | 201 +++- Nexys4Game/src/hdl/AV_sync_pipeline.v | 29 + Nexys4Game/src/hdl/CL_block.v | 1 + Nexys4Game/src/hdl/CL_metadata_controller.v | 87 +- Nexys4Game/src/hdl/SC_block.v | 3 + Nexys4Game/src/hdl/SC_note_matching_super.v | 2 + Nexys4Game/src/hdl/SC_score.v | 5 +- Nexys4Game/src/hdl/bg_display.v | 79 ++ Nexys4Game/src/hdl/fret_sprite.v | 51 + Nexys4Game/src/hdl/mif/fret_00.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_01.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_02.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_03.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_04.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_05.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_06.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_07.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_08.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_09.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_10.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_11.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_12.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_13.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_14.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_15.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_16.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/mif/fret_17.mif | 1024 +++++++++++++++++ Nexys4Game/src/hdl/nexys4_game.v | 6 +- .../src/ip/blk_mem_gen_0/blk_mem_gen_0.xci | 197 ++++ .../src/ip/dist_mem_gen_1/dist_mem_gen_1.xci | 101 ++ 33 files changed, 19282 insertions(+), 90 deletions(-) create mode 100644 Nexys4Game/src/hdl/AV_sync_pipeline.v create mode 100644 Nexys4Game/src/hdl/bg_display.v create mode 100644 Nexys4Game/src/hdl/fret_sprite.v create mode 100644 Nexys4Game/src/hdl/mif/fret_00.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_01.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_02.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_03.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_04.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_05.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_06.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_07.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_08.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_09.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_10.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_11.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_12.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_13.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_14.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_15.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_16.mif create mode 100644 Nexys4Game/src/hdl/mif/fret_17.mif create mode 100644 Nexys4Game/src/ip/blk_mem_gen_0/blk_mem_gen_0.xci create mode 100644 Nexys4Game/src/ip/dist_mem_gen_1/dist_mem_gen_1.xci diff --git a/Nexys4Game/src/hdl/AV_block.v b/Nexys4Game/src/hdl/AV_block.v index e3499af..7d7ebfb 100644 --- a/Nexys4Game/src/hdl/AV_block.v +++ b/Nexys4Game/src/hdl/AV_block.v @@ -1,6 +1,7 @@ module AV_block( input clk, input clk65, + input clk130, input pause, input [15:0] song_time, input [31:0] score, @@ -21,19 +22,104 @@ module AV_block( wire [9:0] vcount; wire [12:0] menu_pixel; - wire blank; wire [11:0] bg_pixel; wire [12:0] string_pixel6, string_pixel5, string_pixel4, string_pixel3, string_pixel2, string_pixel1; + wire [9:0] paddr6, paddr5, paddr4, paddr3, paddr2, paddr1; + + ///////////// + // SPRITE BRAMS + + // Inferred bram + reg [12:0] fret_00 [0:1023]; + initial $readmemb("mif/fret_00.mif", fret_00); + reg [12:0] fret_01 [0:1023]; + initial $readmemb("mif/fret_01.mif", fret_01); + reg [12:0] fret_02 [0:1023]; + initial $readmemb("mif/fret_02.mif", fret_02); + reg [12:0] fret_03 [0:1023]; + initial $readmemb("mif/fret_03.mif", fret_03); + reg [12:0] fret_04 [0:1023]; + initial $readmemb("mif/fret_04.mif", fret_04); + reg [12:0] fret_05 [0:1023]; + initial $readmemb("mif/fret_05.mif", fret_05); + reg [12:0] fret_06 [0:1023]; + initial $readmemb("mif/fret_06.mif", fret_06); + reg [12:0] fret_07 [0:1023]; + initial $readmemb("mif/fret_07.mif", fret_07); + reg [12:0] fret_08 [0:1023]; + initial $readmemb("mif/fret_08.mif", fret_08); + reg [12:0] fret_09 [0:1023]; + initial $readmemb("mif/fret_09.mif", fret_09); + reg [12:0] fret_10 [0:1023]; + initial $readmemb("mif/fret_10.mif", fret_10); + reg [12:0] fret_11 [0:1023]; + initial $readmemb("mif/fret_11.mif", fret_11); + reg [12:0] fret_12 [0:1023]; + initial $readmemb("mif/fret_12.mif", fret_12); + reg [12:0] fret_13 [0:1023]; + initial $readmemb("mif/fret_13.mif", fret_13); + reg [12:0] fret_14 [0:1023]; + initial $readmemb("mif/fret_14.mif", fret_14); + reg [12:0] fret_15 [0:1023]; + initial $readmemb("mif/fret_15.mif", fret_15); + reg [12:0] fret_16 [0:1023]; + initial $readmemb("mif/fret_16.mif", fret_16); + reg [12:0] fret_17 [0:1023]; + initial $readmemb("mif/fret_17.mif", fret_17); + + wire [9:0] paddr; + assign paddr = paddr6|paddr5|paddr4|paddr3|paddr2|paddr1; + wire [13*16-1:0] pdata; + assign pdata = + { + fret_15[paddr], + fret_14[paddr], + fret_13[paddr], + fret_12[paddr], + fret_11[paddr], + fret_10[paddr], + fret_09[paddr], + fret_08[paddr], + fret_07[paddr], + fret_06[paddr], + fret_05[paddr], + fret_04[paddr], + fret_03[paddr], + fret_02[paddr], + fret_01[paddr], + fret_00[paddr] + }; + + // + ///////////// + + wire hsync,vsync,blank,pblank; + AV_sync_pipeline #( + .stages(3) + ) + pipe ( + .clk(clk65), + .hsync(hsync), + .vsync(vsync), + .blank(blank), + + .phsync(VGA_HS), + .pvsync(VGA_VS), + .pblank(pblank) + ); + xvga xvga( .vclock(clk65), + .hcount(hcount), .vcount(vcount), - .vsync(VGA_VS), - .hsync(VGA_HS), + .vsync(vsync), + .hsync(hsync), .blank(blank) ); + wire [11:0] pixel_out; AV_integrator integrator( .clk65(clk65), .menu_pixel(menu_pixel), @@ -45,16 +131,17 @@ module AV_block( .string5_pixel(13'b0), .string6_pixel(string_pixel6), .bg_pixel(bg_pixel), - .pixel( {4'b0, VGA_G, VGA_B} ) + .pixel( pixel_out ) ); - assign VGA_R = 4'b1111; + assign {VGA_R, VGA_G, VGA_B} = pblank ? 12'b0 : pixel_out; - AV_bg bg( - .clk65(clk65), - .hcount(hcount), - .vcount(vcount), - .bg_pixel(bg_pixel) + bg_display bg( + .clk(clk65), + .clk2(clk130), + .vsync(vsync), + .blank(blank), + .pixel_out(bg_pixel) ); @@ -68,91 +155,115 @@ module AV_block( AV_string #( - .y_location(400) - ) string6 + .y_location(264) + ) string6 // highest string ( - .clk(clk65), + .clk65(clk65), + .clk130(clk130), .song_time(song_time), .match_en(fret_en[5]), .fret(fret[29:25]), .match_time(fret_time), .hcount(hcount), .vcount(vcount), + .pdata(pdata), + + .paddr(paddr6), .string_pixel(string_pixel6) ); AV_string #( - .y_location(450) + .y_location(294) ) string5 ( - .clk(clk65), + .clk65(clk65), + .clk130(clk130), .song_time(song_time), .match_en(fret_en[4]), .fret(fret[24:20]), .match_time(fret_time), .hcount(hcount), .vcount(vcount), + .pdata(pdata), + + .paddr(paddr5), .string_pixel(string_pixel5) ); AV_string #( - .y_location(500) + .y_location(324) ) string4 ( - .clk(clk65), + .clk65(clk65), + .clk130(clk130), .song_time(song_time), .match_en(fret_en[3]), .fret(fret[19:15]), .match_time(fret_time), .hcount(hcount), .vcount(vcount), + .pdata(pdata), + + .paddr(paddr4), .string_pixel(string_pixel4) ); AV_string #( - .y_location(550) + .y_location(354) ) string3 ( - .clk(clk65), + .clk65(clk65), + .clk130(clk130), .song_time(song_time), .match_en(fret_en[2]), .fret(fret[14:10]), .match_time(fret_time), .hcount(hcount), .vcount(vcount), + .pdata(pdata), + + .paddr(paddr3), .string_pixel(string_pixel3) ); AV_string #( - .y_location(600) + .y_location(384) ) string2 ( - .clk(clk65), + .clk65(clk65), + .clk130(clk130), .song_time(song_time), .match_en(fret_en[1]), .fret(fret[9:5]), .match_time(fret_time), .hcount(hcount), .vcount(vcount), + .pdata(pdata), + + .paddr(paddr2), .string_pixel(string_pixel2) ); AV_string #( - .y_location(650) + .y_location(414) ) string1 ( - .clk(clk65), + .clk65(clk65), + .clk130(clk130), .song_time(song_time), .match_en(fret_en[0]), .fret(fret[4:0]), .match_time(fret_time), .hcount(hcount), .vcount(vcount), + .pdata(pdata), + + .paddr(paddr1), .string_pixel(string_pixel1) ); diff --git a/Nexys4Game/src/hdl/AV_integrator.v b/Nexys4Game/src/hdl/AV_integrator.v index a0cf9ee..c6b2de7 100644 --- a/Nexys4Game/src/hdl/AV_integrator.v +++ b/Nexys4Game/src/hdl/AV_integrator.v @@ -12,10 +12,23 @@ module AV_integrator( input [11:0] bg_pixel, - output reg [11:0] pixel + output [11:0] pixel ); + assign pixel = menu_pixel[12] ? menu_pixel[11:0] : + score_pixel[12] ? score_pixel[11:0] : + string1_pixel[12] ? string1_pixel[11:0] : + string2_pixel[12] ? string2_pixel[11:0] : + string3_pixel[12] ? string3_pixel[11:0] : + string4_pixel[12] ? string4_pixel[11:0] : + string5_pixel[12] ? string5_pixel[11:0] : + string6_pixel[12] ? string6_pixel[11:0] : + bg_pixel[11:0]; + + + /* + always @(posedge clk65) begin //maybe make this assign and wires instead? if( menu_pixel[12] == 1'b1 ) begin @@ -45,5 +58,7 @@ module AV_integrator( else pixel <= bg_pixel[11:0]; end - + */ + + endmodule \ No newline at end of file diff --git a/Nexys4Game/src/hdl/AV_menu_graphics.v b/Nexys4Game/src/hdl/AV_menu_graphics.v index 6e6695e..e317166 100644 --- a/Nexys4Game/src/hdl/AV_menu_graphics.v +++ b/Nexys4Game/src/hdl/AV_menu_graphics.v @@ -8,7 +8,7 @@ module AV_menu_graphics( ); localparam WIDTH = 800; - localparam HEIGHT = 600; + localparam HEIGHT = 200; localparam COLOR = 12'hD_D_D; localparam startX = 100; localparam startY = 50; diff --git a/Nexys4Game/src/hdl/AV_string.v b/Nexys4Game/src/hdl/AV_string.v index b23bb6b..9117527 100644 --- a/Nexys4Game/src/hdl/AV_string.v +++ b/Nexys4Game/src/hdl/AV_string.v @@ -3,25 +3,111 @@ module AV_string parameter y_location = 10'b0 ) ( - input clk, + input clk65, + input clk130, + input reset, input [15:0] song_time, input match_en, input [4:0] fret, input [15:0] match_time, input [10:0] hcount, input [9:0] vcount, + input [13*16-1:0] pdata, + output [9:0] paddr, output [12:0] string_pixel ); - reg[54:0] x; - reg [49:0] y; + reg [9:0] x[4:0]; + + localparam playX = 180; + reg [24:0] values; - wire [64:0] note_pixels; + wire [12:0] note_pixels[4:0]; + + reg [4:0] note_frets[4:0]; + + wire [7:0] pdata_addr[4:0]; //CHANGE TO FRET VALUE ASSIGNMENT + assign pdata_addr[0] = note_frets[0]*13; + assign pdata_addr[1] = note_frets[1]*13; + assign pdata_addr[2] = note_frets[2]*13; + assign pdata_addr[3] = note_frets[3]*13; + assign pdata_addr[4] = note_frets[4]*13; + + wire [12:0] pdata_pass[4:0]; + assign pdata_pass[0] = pdata[pdata_addr[0]+:13]; + assign pdata_pass[1] = pdata[pdata_addr[1]+:13]; + assign pdata_pass[2] = pdata[pdata_addr[2]+:13]; + assign pdata_pass[3] = pdata[pdata_addr[3]+:13]; + assign pdata_pass[4] = pdata[pdata_addr[4]+:13]; + + wire [9:0] paddrs[4:0]; + + fret_sprite #( + .Y(y_location) + ) sprite0 ( + .clk(clk65), + .hcount(hcount), + .vcount(vcount), + .x(x[0]), + .paddr(paddrs[0]), + .pdata(pdata_pass[0]), + .pixel(note_pixels[0]) + ); + + fret_sprite #( + .Y(y_location) + ) sprite1 ( + .clk(clk65), + .hcount(hcount), + .vcount(vcount), + .x(x[1]), + .paddr(paddrs[1]), + .pdata(pdata_pass[1]), + .pixel(note_pixels[1]) + ); + + fret_sprite #( + .Y(y_location) + ) sprite2 ( + .clk(clk65), + .hcount(hcount), + .vcount(vcount), + .x(x[2]), + .paddr(paddrs[2]), + .pdata(pdata_pass[2]), + .pixel(note_pixels[2]) + ); + + fret_sprite #( + .Y(y_location) + ) sprite3 ( + .clk(clk65), + .hcount(hcount), + .vcount(vcount), + .x(x[3]), + .paddr(paddrs[3]), + .pdata(pdata_pass[3]), + .pixel(note_pixels[3]) + ); + + fret_sprite #( + .Y(y_location) + ) sprite4 ( + .clk(clk65), + .hcount(hcount), + .vcount(vcount), + .x(x[4]), + .paddr(paddrs[4]), + .pdata(pdata_pass[4]), + .pixel(note_pixels[4]) + ); + assign paddr = paddrs[0]|paddrs[1]|paddrs[2]|paddrs[3]|paddrs[4]; - reg [9:0] y_loc = y_location; + //reg [9:0] y_loc = y_location; + /* AV_note_sprite notes [4:0] ( .clk65(clk), .x(x), @@ -32,6 +118,9 @@ module AV_string .note_pixel(note_pixels) ); + */ + + /* REGISTER METADATA IS BAD reg [511:0] note_times; reg [159:0] note_frets; @@ -134,27 +223,93 @@ module AV_string note_frets[4:0] = 0; end - always @(posedge clk) begin - if( (song_time > note_times[511:496]) && (song_time - note_times[511:496] > 50) ) begin - //if the leftmost note is old, and it is older than 500ms, get rid of it - note_times[511:0] <= {note_times[495:0] , 16'b0}; - note_frets[159:0] <= {note_frets[154:0] , 5'b0}; - end + */ + + reg [4:0] note_index = 0; + reg [4:0] note_addr = 0; - //calculate/refresh note-sprite x-values and fret numbers - x[54:44] <= (note_times[511:496] + 50 - song_time) / 10; - values[24:20] <= note_frets[159:155]; - x[43:33] <= (note_times[495:480] + 50 - song_time) / 10; - values[19:15] <= note_frets[154:150]; - x[32:22] <= (note_times[479:464] + 50 - song_time) / 10; - values[14:10] <= note_frets[149:145]; - x[21:11] <= (note_times[463:448] + 50 - song_time) / 10; - values[9:5] <= note_frets[144:140]; - x[10:0] <= (note_times[447:432] + 50 - song_time) / 10; - values[4:0] <= note_frets[139:135]; + wire [15:0] dout_time; + note_times note_times_mem( + .clka(clk130), + .ena(1'b1), + .addra(note_addr), //5-bit address + .douta(dout_time) //16-bit note time out + ); + + wire [4:0] dout_fret; + note_frets note_frets_mem( + .clka(clk130), + .ena(1'b1), + .addra(note_addr), //5-bit address + .douta(dout_fret) //5-bit note fret out + ); + + reg[2:0] loading_state = 5; + reg [15:0] note_times[4:0]; + always @(posedge clk65) begin + + if(reset) begin + note_index <= 0; + note_addr <= 0; + loading_state <= 5; + end + else begin + if( (song_time > note_times[0]) && (song_time - note_times[0] > 50) ) begin + //if the note is old, and it is older than 500ms, get rid of it + note_index <= note_index + 1; + end + + if(vcount == 0) begin //update all of the notes. Only need to do this once a frame + case(loading_state) + 0: begin //load for the 1st sprite + note_times[0] <= dout_time; + note_frets[0] <= dout_fret; + note_addr <= note_addr + 1; + loading_state <= loading_state + 1; + end + 1: begin //load for the 2nd + note_times[1] <= dout_time; + note_frets[1] <= dout_fret; + note_addr <= note_addr + 1; + loading_state <= loading_state + 1; + end + 2: begin + note_times[2] <= dout_time; + note_frets[2] <= dout_fret; + note_addr <= note_addr + 1; + loading_state <= loading_state + 1; + end + 3: begin + note_times[3] <= dout_time; + note_frets[3] <= dout_fret; + note_addr <= note_addr + 1; + loading_state <= loading_state + 1; + end + 4: begin + note_times[4] <= dout_time; + note_frets[4] <= dout_fret; + note_addr <= note_index; + loading_state <= 6; + end + 5: begin //We've just entered the load sequence + loading_state <= 0; + note_addr <= note_index; + end + endcase + end + if(vcount == 1 && loading_state == 6) + loading_state <= 5; //reprime state for leading in next frame + + //calculate/refresh note-sprite x-values and fret numbers + x[0] <= (note_times[0] - song_time)*2 + playX; + x[1] <= (note_times[1] - song_time)*2 + playX; + x[2] <= (note_times[2] - song_time)*2 + playX; + x[3] <= (note_times[3] - song_time)*2 + playX; + x[4] <= (note_times[4] - song_time)*2 + playX; + end end //OR all the pixel bits together. Shoddy practice, could be improved - assign string_pixel = note_pixels[64:52]|note_pixels[51:39]|note_pixels[38:26]|note_pixels[25:13]|note_pixels[12:0]; + assign string_pixel = note_pixels[0]|note_pixels[1]|note_pixels[2]|note_pixels[3]|note_pixels[4]; endmodule \ No newline at end of file diff --git a/Nexys4Game/src/hdl/AV_sync_pipeline.v b/Nexys4Game/src/hdl/AV_sync_pipeline.v new file mode 100644 index 0000000..22dec68 --- /dev/null +++ b/Nexys4Game/src/hdl/AV_sync_pipeline.v @@ -0,0 +1,29 @@ +module AV_sync_pipeline + #( + parameter stages = 3 + ) + ( + input clk, + input hsync, + input vsync, + input blank, + + output phsync, + output pvsync, + output pblank + ); + reg [stages-1:0] h_pipe; + reg [stages-1:0] v_pipe; + reg [stages-1:0] b_pipe; + + assign phsync = h_pipe[stages-1]; + assign pvsync = v_pipe[stages-1]; + assign pblank = b_pipe[stages-1]; + + always @(posedge clk) begin + h_pipe[stages-1:0] <= {h_pipe[stages-2:0],hsync}; + v_pipe[stages-1:0] <= {v_pipe[stages-2:0],vsync}; + b_pipe[stages-1:0] <= {b_pipe[stages-2:0],blank}; + end + +endmodule \ No newline at end of file diff --git a/Nexys4Game/src/hdl/CL_block.v b/Nexys4Game/src/hdl/CL_block.v index 4f0453d..f84afcf 100644 --- a/Nexys4Game/src/hdl/CL_block.v +++ b/Nexys4Game/src/hdl/CL_block.v @@ -158,6 +158,7 @@ module CL_block( CL_metadata_controller metadata_controller( .clk(clk), .clk25(clk25), + .reset(reset), .song_time(song_time), .write_en(write_data), .write_word(data_word), diff --git a/Nexys4Game/src/hdl/CL_metadata_controller.v b/Nexys4Game/src/hdl/CL_metadata_controller.v index 63fe254..b2e3dc2 100644 --- a/Nexys4Game/src/hdl/CL_metadata_controller.v +++ b/Nexys4Game/src/hdl/CL_metadata_controller.v @@ -1,6 +1,7 @@ module CL_metadata_controller( input clk, //100mhz clk input clk25, //25mhz clk + input reset, input [15:0] song_time, input write_en, //signals a new word to be written input [31:0] write_word, //word to be written @@ -115,50 +116,60 @@ module CL_metadata_controller( ); always @(posedge clk) begin - if(song_time > 5) - running <= 1; - - if(metadata_request[24] == 1 && running && metadata_available[24] == 0) begin - metadata_link[25*16-1:24*16] <= douta24; - if(addra24 < 15) - addra24 <= addra24 + 1; - metadata_available[24] <= 1; + if(reset) begin + addra24 <= 0; + addra26 <= 0; + addra28 <= 0; + addra31 <= 0; + running <= 0; + metadata_available <= 0; + metadata_link <= 0; end - else - metadata_available[24] <= 0; + else begin + if(song_time > 5) + running <= 1; - if(metadata_request[26] == 1 && running && metadata_available[26] == 0) begin - metadata_link[27*16-1:26*16] <= douta26; - if(addra26 < 15) - addra26 <= addra26 + 1; - metadata_available[26] <= 1; - end - else - metadata_available[26] <= 0; - - if(metadata_request[28] == 1 && running && metadata_available[28] == 0) begin - metadata_link[29*16-1:28*16] <= douta28; - if(addra28 < 15) - addra28 <= addra28 + 1; - metadata_available[28] <= 1; - end - else - metadata_available[28] <= 0; - - if(metadata_request[31] == 1 && running && metadata_available[31] == 0) begin - metadata_link[32*16-1:31*16] <= douta31; - if(addra31 < 15) - addra31 <= addra31 + 1; - metadata_available[31] <= 1; + if(metadata_request[24] == 1 && running && metadata_available[24] == 0) begin + metadata_link[25*16-1:24*16] <= douta24; + if(addra24 < 15) + addra24 <= addra24 + 1; + metadata_available[24] <= 1; + end + else + metadata_available[24] <= 0; + + if(metadata_request[26] == 1 && running && metadata_available[26] == 0) begin + metadata_link[27*16-1:26*16] <= douta26; + if(addra26 < 15) + addra26 <= addra26 + 1; + metadata_available[26] <= 1; + end + else + metadata_available[26] <= 0; + + if(metadata_request[28] == 1 && running && metadata_available[28] == 0) begin + metadata_link[29*16-1:28*16] <= douta28; + if(addra28 < 15) + addra28 <= addra28 + 1; + metadata_available[28] <= 1; + end + else + metadata_available[28] <= 0; + + if(metadata_request[31] == 1 && running && metadata_available[31] == 0) begin + metadata_link[32*16-1:31*16] <= douta31; + if(addra31 < 15) + addra31 <= addra31 + 1; + metadata_available[31] <= 1; + end + else + metadata_available[31] <= 0; end - else - metadata_available[31] <= 0; - end - + /* ila_metadata_controller dear_lord_save_me ( .clk(clk), .probe0(addra24), @@ -176,7 +187,7 @@ module CL_metadata_controller( .probe11(running), .probe12(song_time) ); - + */ /* diff --git a/Nexys4Game/src/hdl/SC_block.v b/Nexys4Game/src/hdl/SC_block.v index b92281f..98591d8 100644 --- a/Nexys4Game/src/hdl/SC_block.v +++ b/Nexys4Game/src/hdl/SC_block.v @@ -1,6 +1,7 @@ module SC_block( input clk, //100mhz clock input pause, //pause game status + input reset, input [15:0] song_time, //current song time input [36:0] NDATA, //deserialized note data input [37*16-1:0] metadata_link, //input from the metadata table @@ -22,6 +23,7 @@ module SC_block( SC_note_matching_super note_matcher ( .clk(clk), .pause(pause), + .reset(reset), .song_time(song_time), .NDATA(NDATA), .metadata_link(metadata_link), @@ -46,6 +48,7 @@ module SC_block( SC_score score_mod ( .clk(clk), + .reset(reset), .en(match_en), .dt(match_dt), diff --git a/Nexys4Game/src/hdl/SC_note_matching_super.v b/Nexys4Game/src/hdl/SC_note_matching_super.v index ad3f355..60c3dd3 100644 --- a/Nexys4Game/src/hdl/SC_note_matching_super.v +++ b/Nexys4Game/src/hdl/SC_note_matching_super.v @@ -1,6 +1,7 @@ module SC_note_matching_super( input clk, input pause, + input reset, input [15:0] song_time, input [36:0] NDATA, input [37*16-1:0] metadata_link, @@ -18,6 +19,7 @@ module SC_note_matching_super( SC_note_matching_sub note_matcher [36:0] ( .clk(clk), .pause(pause), + .reset(reset), .song_time(song_time), .note_edge(note_edge), .note_time(metadata_link), diff --git a/Nexys4Game/src/hdl/SC_score.v b/Nexys4Game/src/hdl/SC_score.v index 76cdfe9..9d8ec45 100644 --- a/Nexys4Game/src/hdl/SC_score.v +++ b/Nexys4Game/src/hdl/SC_score.v @@ -1,5 +1,6 @@ module SC_score( input clk, //100mhz clk + input reset, input en, //match enable input [15:0] dt, //margin of correctness for a matched note @@ -9,7 +10,9 @@ module SC_score( initial score = 0; always @(posedge clk) begin - if(en) begin //matched note + if(reset) + score <= 0; + else if(en) begin //matched note if(dt < 10) //within 100ms score <= score + 100; else if(dt < 25) //within 250ms diff --git a/Nexys4Game/src/hdl/bg_display.v b/Nexys4Game/src/hdl/bg_display.v new file mode 100644 index 0000000..7e5c0e0 --- /dev/null +++ b/Nexys4Game/src/hdl/bg_display.v @@ -0,0 +1,79 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 12/03/2015 09:56:14 PM +// Design Name: +// Module Name: bg_display +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +module bg_display( + input clk, + input clk2, + input vsync, + input blank, + output reg [11:0] pixel_out + ); + + reg [17:0] bg_addr = 0; + wire [7:0] bg_data; + blk_mem_gen_0 bg_mem ( + .clka(clk2), // input wire clka + .addra(bg_addr), // input wire [17 : 0] addra + .douta(bg_data) // output wire [7 : 0] douta + ); + + reg [5:0] current_idx = 0; + reg [1:0] current_run_left = 0; + wire [11:0] pixel; + dist_mem_gen_1 bg_colors ( + .a(current_idx), // input wire [5 : 0] addra + .spo(pixel) // output wire [11 : 0] douta + ); + + always @(posedge clk) begin + if (~blank) begin + if (current_run_left == 0) begin + bg_addr <= bg_addr + 1; + current_idx <= bg_data[5:0]; + current_run_left <= bg_data[7:6]; + end + else begin + current_run_left <= current_run_left - 1; + end + pixel_out <= pixel; + end + else pixel_out <= 12'b0; + if (~vsync) begin + bg_addr <= 0; + current_run_left <= 0; + current_idx <= 0; + end + end +/* + ila_0 lifesaver ( + .clk(clk), // input wire clk + .probe0(hcount), // input wire [10:0] probe0 + .probe1(vcount), // input wire [9:0] probe1 + .probe2(blank), // input wire [0:0] probe2 + .probe3(bg_addr), // input wire [17:0] probe3 + .probe4(bg_data), // input wire [7:0] probe4 + .probe5(pixel), // input wire [11:0] probe5 + .probe6(current_idx), // input wire [1:0] probe6 + .probe7(current_run_left) // input wire [0:0] probe7 + );*/ + +endmodule diff --git a/Nexys4Game/src/hdl/fret_sprite.v b/Nexys4Game/src/hdl/fret_sprite.v new file mode 100644 index 0000000..dda241c --- /dev/null +++ b/Nexys4Game/src/hdl/fret_sprite.v @@ -0,0 +1,51 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 12/06/2015 08:43:17 PM +// Design Name: +// Module Name: fret_sprite +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +module fret_sprite( + input clk, + input [10:0] hcount, + input [9:0] vcount, + input [9:0] x, + output [9:0] paddr, + input [12:0] pdata, + output reg [12:0] pixel + ); + + parameter Y = 512; + parameter W = 32; + parameter H = 32; + + wire [10:0] xidx; + wire [9:0] yidx; + assign xidx = hcount - x; + assign yidx = vcount - Y; + wire in_sprite; + assign in_sprite = ((xidx >= 0) & (xidx < W)) & (yidx >= 0) & (yidx < H); + assign paddr = in_sprite ? xidx + (yidx<<5) : 0; + reg was_in_sprite; + + always @(posedge clk) begin + was_in_sprite <= in_sprite; + pixel <= was_in_sprite ? pdata : 13'b0; + end + +endmodule diff --git a/Nexys4Game/src/hdl/mif/fret_00.mif b/Nexys4Game/src/hdl/mif/fret_00.mif new file mode 100644 index 0000000..eafb3d9 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_00.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000010110000 +1000010110000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010010000 +1000000110000 +1000000010000 +1000000000000 +1000000010000 +1000000110000 +1000010010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000001110000 +1000000000000 +1000000000000 +1000000100000 +1000001010000 +1000000110000 +1000000000000 +1000000000000 +1000010000000 +1000011010000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010100000 +1000000010000 +1000000000000 +1000010000000 +1000011000000 +1000011000000 +1000011000000 +1000010010000 +1000000000000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001100000 +1000000000000 +1000001100000 +1000011000000 +1000010110000 +1000011000000 +1000010110000 +1000011000000 +1000001110000 +1000000000000 +1000001100000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000000110000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010010000 +1000000010000 +1000000010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000000000 +1000000010000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000100000 +1000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000000000 +1000000110000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000110000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000000000 +1000000110000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000110000 +1000000000000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000000110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000000100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000000010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000100000 +1000000000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000000000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000010000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001010000 +1000000000000 +1000000000000 +1000000000000 +1000000100000 +1000000000000 +1000000000000 +1000000000000 +1000001010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001010000 +1000000100000 +1000000010000 +1000000010000 +1000000010000 +1000000100000 +1000001010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001100000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_01.mif b/Nexys4Game/src/hdl/mif/fret_01.mif new file mode 100644 index 0000000..9121fb7 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_01.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000001000000 +1000001000000 +1000000110000 +1000000110000 +1000001110000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010010000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000011010000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000011000000 +1000010110000 +1000000100000 +1000000000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000000100000 +1000000000000 +1000011000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000100000 +1000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000100000 +1000000000000 +1000010110000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000100000 +1000000000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000100000 +1000000000000 +1000010100000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000100000 +1000000000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000100000 +1000000000000 +1000010010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000010000 +1000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000010000 +1000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000010000 +1000000000000 +1000010000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000010000 +1000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000110000 +1000000100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_02.mif b/Nexys4Game/src/hdl/mif/fret_02.mif new file mode 100644 index 0000000..a7b4484 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_02.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010000000 +1000000110000 +1000000010000 +1000000000000 +1000000010000 +1000000100000 +1000001010000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000001100000 +1000000000000 +1000000000000 +1000000110000 +1000001010000 +1000001000000 +1000000000000 +1000000000000 +1000000100000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010010000 +1000000000000 +1000000000000 +1000010100000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000000110000 +1000000000000 +1000001110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000001100000 +1000011000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000010010000 +1000000000000 +1000000110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001100000 +1000000100000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000000110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000001100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001010000 +1000000000000 +1000000010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010000000 +1000000100000 +1000000000000 +1000000100000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000010000 +1000000000000 +1000000010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000010000 +1000000000000 +1000000110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000000100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000100000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000010000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_03.mif b/Nexys4Game/src/hdl/mif/fret_03.mif new file mode 100644 index 0000000..9863e90 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_03.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000010110000 +1000010110000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000001100000 +1000000110000 +1000000010000 +1000000000000 +1000000010000 +1000000110000 +1000010000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000001000000 +1000000000000 +1000000000000 +1000001010000 +1000001100000 +1000001000000 +1000000000000 +1000000000000 +1000001100000 +1000011010000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000000000000 +1000000100000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001110000 +1000000000000 +1000010100000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001100000 +1000000000000 +1000010000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001100000 +1000000000000 +1000010000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000000100000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000010010000 +1000010000000 +1000000100000 +1000000000000 +1000001010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000100000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000001010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001110000 +1000001000000 +1000001000000 +1000000110000 +1000000010000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000100000 +1000000000000 +1000001100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001110000 +1000000000000 +1000000100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000010000 +1000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000010000 +1000000000000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000010000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000000000000 +1000000000000 +1000000000000 +1000000110000 +1000000110000 +1000000100000 +1000000000000 +1000000000000 +1000000100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000000100000 +1000000010000 +1000000000000 +1000000000000 +1000000000000 +1000000100000 +1000001000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001100000 +1000001010000 +1000001100000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_04.mif b/Nexys4Game/src/hdl/mif/fret_04.mif new file mode 100644 index 0000000..ef04c6a --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_04.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010000000 +1000000000000 +1000000000000 +1000000100000 +1000010110000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000000010000 +1000000100000 +1000000110000 +1000000010000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000000110000 +1000000000000 +1000010100000 +1000000010000 +1000000100000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001100000 +1000000000000 +1000001100000 +1000010110000 +1000000010000 +1000000100000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000000110000 +1000010110000 +1000010110000 +1000000000000 +1000000100000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000010000 +1000000010000 +1000010010000 +1000010100000 +1000010100000 +1000000000000 +1000000100000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000110000 +1000000000000 +1000001100000 +1000010100000 +1000010010000 +1000010100000 +1000000000000 +1000000010000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001100000 +1000000000000 +1000000110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000000000 +1000000010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000000010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000000000 +1000000010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001010000 +1000000000000 +1000000010000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000000100000 +1000000000000 +1000000000000 +1000000100000 +1000000100000 +1000001100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000000000 +1000000000000 +1000000010000 +1000000010000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +1000000000000 +1000000010000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000000000 +1000000010000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000100000 +1000000100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_05.mif b/Nexys4Game/src/hdl/mif/fret_05.mif new file mode 100644 index 0000000..fec3ff0 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_05.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010000000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000000110000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000010000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000000100000 +1000000010000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010100000 +1000000010000 +1000000110000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010010000 +1000000000000 +1000001000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000001010000 +1000010110000 +1000010000000 +1000001100000 +1000001110000 +1000010010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001110000 +1000000000000 +1000001010000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000110000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001100000 +1000000000000 +1000000000000 +1000000110000 +1000001100000 +1000001110000 +1000001100000 +1000000100000 +1000000000000 +1000000100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001010000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000001100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001100000 +1000000000000 +1000000110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001110000 +1000000000000 +1000000100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000000000000 +1000000100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000010000 +1000000000000 +1000000000000 +1000000100000 +1000000110000 +1000000010000 +1000000000000 +1000000000000 +1000001010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000110000 +1000000010000 +1000000000000 +1000000000000 +1000000010000 +1000000100000 +1000001010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001100000 +1000001010000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_06.mif b/Nexys4Game/src/hdl/mif/fret_06.mif new file mode 100644 index 0000000..16de471 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_06.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000001010000 +1000000100000 +1000000000000 +1000000000000 +1000000100000 +1000001100000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000000100000 +1000000000000 +1000000010000 +1000001010000 +1000001010000 +1000000010000 +1000000000000 +1000000110000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001000000 +1000000000000 +1000001010000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001000000 +1000000000000 +1000010000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010010000 +1000000010000 +1000000010000 +1000011000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000010100000 +1000000100000 +1000001110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001110000 +1000000000000 +1000010000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010100000 +1000001110000 +1000001110000 +1000010010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000100000 +1000000000000 +1000010010000 +1000000110000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000010000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000100000 +1000000010000 +1000000100000 +1000000000000 +1000001010000 +1000001110000 +1000001110000 +1000001000000 +1000000000000 +1000000000000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001100000 +1000000000000 +1000000100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000001010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000001110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000001100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000000000000 +1000000100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000010000 +1000000000000 +1000001010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000010000 +1000000000000 +1000000000000 +1000000100000 +1000000100000 +1000000000000 +1000000000000 +1000000100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000110000 +1000000010000 +1000000010000 +1000000010000 +1000000100000 +1000001000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001100000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_07.mif b/Nexys4Game/src/hdl/mif/fret_07.mif new file mode 100644 index 0000000..f49e5cc --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_07.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000001000000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000001000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000000010000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001110000 +1000000000000 +1000001100000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000000000 +1000000100000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000000100000 +1000000000000 +1000010000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001110000 +1000000000000 +1000001000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000010000 +1000000000000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001100000 +1000000000000 +1000000100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000001110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001110000 +1000000000000 +1000000000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001010000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000100000 +1000000000000 +1000001000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000110000 +1000000010000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_08.mif b/Nexys4Game/src/hdl/mif/fret_08.mif new file mode 100644 index 0000000..cecddf8 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_08.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000010110000 +1000010110000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000001100000 +1000000110000 +1000000010000 +1000000000000 +1000000010000 +1000000110000 +1000001100000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000001000000 +1000000000000 +1000000000000 +1000001010000 +1000001110000 +1000001010000 +1000000000000 +1000000000000 +1000001000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000000000000 +1000000100000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000000110000 +1000000000000 +1000010000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001100000 +1000000000000 +1000010010000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010010000 +1000000000000 +1000001000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001100000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010010000 +1000000000000 +1000001000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001110000 +1000000000000 +1000001000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000001110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000000110000 +1000010000000 +1000010010000 +1000010000000 +1000001000000 +1000000000000 +1000000110000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000110000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000100000 +1000000000000 +1000000100000 +1000001010000 +1000001100000 +1000001010000 +1000000100000 +1000000000000 +1000000100000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000001010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001010000 +1000000000000 +1000000100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000000100000 +1000010000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000100000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000100000 +1000000000000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000000000000 +1000000000000 +1000000000000 +1000000100000 +1000000110000 +1000000100000 +1000000000000 +1000000000000 +1000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000000110000 +1000000010000 +1000000000000 +1000000000000 +1000000000000 +1000000010000 +1000000100000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001100000 +1000001010000 +1000001100000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_09.mif b/Nexys4Game/src/hdl/mif/fret_09.mif new file mode 100644 index 0000000..c896da8 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_09.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000001100000 +1000000110000 +1000000010000 +1000000000000 +1000000010000 +1000001000000 +1000010110000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000000110000 +1000000000000 +1000000000000 +1000001010000 +1000001100000 +1000000110000 +1000000000000 +1000000010000 +1000010100000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001110000 +1000000000000 +1000000110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010010000 +1000000000000 +1000000100000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000000100000 +1000000000000 +1000010110000 +1000010110000 +1000011000000 +1000011000000 +1000010110000 +1000011000000 +1000001100000 +1000000000000 +1000010010000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000000000 +1000000110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000001000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000000000 +1000000110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000000010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000010000 +1000000010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001100000 +1000000000000 +1000000000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000001010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010000000 +1000000010000 +1000000000000 +1000000000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000010000 +1000000000000 +1000000110000 +1000001010000 +1000001100000 +1000001000000 +1000000000000 +1000000100000 +1000000100000 +1000000000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000100000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000110000 +1000010000000 +1000000100000 +1000000010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000001100000 +1000001100000 +1000010000000 +1000010010000 +1000001110000 +1000000010000 +1000000100000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000000010000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000000000 +1000000010000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000000000 +1000000100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000100000 +1000000000000 +1000000000000 +1000000100000 +1000000100000 +1000000000000 +1000000000000 +1000000010000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000110000 +1000000100000 +1000000010000 +1000000000000 +1000000010000 +1000000110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001100000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_10.mif b/Nexys4Game/src/hdl/mif/fret_10.mif new file mode 100644 index 0000000..566603b --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_10.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000010100000 +1000010010000 +1000010100000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000000000 +1000000000000 +1000000000000 +1000000110000 +1000010100000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000001000000 +1000001010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001110000 +1000000000000 +1000000110000 +1000010010000 +1000010100000 +1000001110000 +1000000000000 +1000000010000 +1000010110000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000000000000 +1000000110000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000001110000 +1000000000000 +1000001010000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001110000 +1000000000000 +1000001110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000000010000 +1000000010000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010010000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000100000 +1000000010000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001010000 +1000000000000 +1000001110000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000010000 +1000000010000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001010000 +1000000000000 +1000001100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000010000 +1000000010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001010000 +1000000000000 +1000001100000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000001110000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000001110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000001110000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000001010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000010000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000000000 +1000000010000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000000010000 +1000001010000 +1000001100000 +1000000110000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000000000000 +1000000000000 +1000000000000 +1000000100000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001010000 +1000001010000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_11.mif b/Nexys4Game/src/hdl/mif/fret_11.mif new file mode 100644 index 0000000..f86f8e9 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_11.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000000100000 +1000000010000 +1000000010000 +1000000100000 +1000010000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000001000000 +1000001010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000001010000 +1000001000000 +1000001000000 +1000000000000 +1000001010000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000000000000 +1000001010000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000000000 +1000001010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000000000 +1000001010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000000000 +1000001000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000000000 +1000001000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000000000 +1000001000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_12.mif b/Nexys4Game/src/hdl/mif/fret_12.mif new file mode 100644 index 0000000..cf65042 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_12.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000010100000 +1000010010000 +1000010100000 +1000010110000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000001010000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000010000 +1000001100000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000001000000 +1000001010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000001000000 +1000010100000 +1000010100000 +1000010010000 +1000000100000 +1000000000000 +1000001110000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000000000000 +1000000110000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000010100000 +1000000000000 +1000000100000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000001110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000000010000 +1000000010000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000000100000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000100000 +1000000000000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001100000 +1000000000000 +1000000000000 +1000001100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000100000 +1000000000000 +1000000010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000000000000 +1000001100000 +1000010010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000000100000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000000110000 +1000001110000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000000010000 +1000001100000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_13.mif b/Nexys4Game/src/hdl/mif/fret_13.mif new file mode 100644 index 0000000..159083f --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_13.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010100000 +1000010010000 +1000010010000 +1000010100000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000001000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000100000 +1000010010000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000001000000 +1000001010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000000110000 +1000000000000 +1000001100000 +1000010100000 +1000010100000 +1000010010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010010000 +1000000000000 +1000001010000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000000000000 +1000001100000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001110000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010010000 +1000000000000 +1000001010000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001110000 +1000000000000 +1000001110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000010000000 +1000010000000 +1000001100000 +1000000000000 +1000000100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000100000 +1000000000000 +1000000000000 +1000000000000 +1000000110000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001110000 +1000001010000 +1000001010000 +1000000100000 +1000000000000 +1000000010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001100000 +1000000000000 +1000000100000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000010010000 +1000000010000 +1000000000000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000010000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000000000 +1000001000000 +1000001100000 +1000001100000 +1000001000000 +1000000000000 +1000000000000 +1000001010000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000000100000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000010000 +1000001010000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001010000 +1000001010000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_14.mif b/Nexys4Game/src/hdl/mif/fret_14.mif new file mode 100644 index 0000000..ec7261e --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_14.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000010010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000000000000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000001000000 +1000001010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000000100000 +1000000010000 +1000000000000 +1000010100000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001000000 +1000000010000 +1000001100000 +1000000000000 +1000010010000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000001100000 +1000010010000 +1000000000000 +1000010010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000000000000 +1000000110000 +1000010110000 +1000001110000 +1000000000000 +1000010010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000110000 +1000000000000 +1000010010000 +1000010100000 +1000001110000 +1000000000000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001100000 +1000000000000 +1000001110000 +1000010100000 +1000010100000 +1000001100000 +1000000000000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000001100000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000010000 +1000000010000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000001100000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000000110000 +1000000000000 +1000001000000 +1000001010000 +1000001110000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000001100000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001010000 +1000000000000 +1000001100000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_15.mif b/Nexys4Game/src/hdl/mif/fret_15.mif new file mode 100644 index 0000000..135ad5c --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_15.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010010000 +1000000010000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000010010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000001000000 +1000001010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000010110000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000000110000 +1000000100000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000000100000 +1000000110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000000000000 +1000001000000 +1000010110000 +1000010010000 +1000010000000 +1000010010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000000000 +1000000110000 +1000000110000 +1000000000000 +1000000000000 +1000000000000 +1000000100000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000000000000 +1000000000000 +1000000110000 +1000001110000 +1000010000000 +1000001010000 +1000000000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001100000 +1000000000000 +1000000110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000000000 +1000000010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000000000 +1000000010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001100000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001000000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000010000000 +1000000010000 +1000000000000 +1000000100000 +1000001100000 +1000001100000 +1000000110000 +1000000000000 +1000000000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000100000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000100000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001010000 +1000001010000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_16.mif b/Nexys4Game/src/hdl/mif/fret_16.mif new file mode 100644 index 0000000..8fbb155 --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_16.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010100000 +1000010010000 +1000010010000 +1000010110000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000010100000 +1000000100000 +1000000000000 +1000000000000 +1000000000000 +1000000010000 +1000001110000 +1000011010000 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000001000000 +1000001010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010100000 +1000000000000 +1000000010000 +1000010010000 +1000010100000 +1000010100000 +1000000110000 +1000000000000 +1000010000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001000000 +1000000000000 +1000010100000 +1000011000000 +1000011000000 +1000011000000 +1000010110000 +1000000000000 +1000000100000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000000000000 +1000001010000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010000000 +1000000000000 +1000001110000 +1000010110000 +1000010010000 +1000010000000 +1000010000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001100000 +1000000000000 +1000010000000 +1000001000000 +1000000000000 +1000000000000 +1000000000000 +1000000100000 +1000001100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001010000 +1000000000000 +1000000100000 +1000000000000 +1000001100000 +1000010000000 +1000001110000 +1000000010000 +1000000000000 +1000001100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000000010000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000010000 +1000000010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001010000 +1000000000000 +1000001000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000001110000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001100000 +1000000000000 +1000001010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001010000 +1000000000000 +1000001100000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000000000 +1000001010000 +1000001100000 +1000001010000 +1000000000000 +1000000000000 +1000001010000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000000010000 +1000000000000 +1000000000000 +1000000000000 +1000000010000 +1000001010000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001010000 +1000001010000 +1000001010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/mif/fret_17.mif b/Nexys4Game/src/hdl/mif/fret_17.mif new file mode 100644 index 0000000..277aeec --- /dev/null +++ b/Nexys4Game/src/hdl/mif/fret_17.mif @@ -0,0 +1,1024 @@ +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000111010001 +1000111010001 +1000011000000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001011100010 +1010011100100 +1010111100101 +1011011100110 +1011011100110 +1010111100101 +1010011100100 +1001011100010 +1000111100001 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000111100001 +1001111100011 +1011011100110 +1100011101000 +1100111101001 +1101011101010 +1101011101010 +1101011101010 +1101011101010 +1100111101001 +1100011101000 +1011011100110 +1001111100011 +1000111010001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000111100001 +1010011100100 +1011011100110 +1011111100111 +1100011101000 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100111101001 +1100011101000 +1011111100111 +1011011100110 +1010011100100 +1000111100001 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011100000 +1000011010000 +1001011100010 +1010011100100 +1010111100101 +1010111100101 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1011011100110 +1010111100101 +1010111100101 +1010011100100 +1001011010010 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000111010001 +1000111010001 +1000111010001 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001111010011 +1001111010011 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1001011010010 +1000111010001 +1000111010001 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000011000000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011010000 +1000011000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000011010000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000011000000 +1000011000000 +1000011010000 +1000011010000 +1000001100000 +1000000010000 +1000000010000 +1000000010000 +1000001000000 +1000011000000 +1000011010000 +1000011010000 +1000011000000 +1000011010000 +1000001010000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000000000 +1000000010000 +1000000100000 +1000010110000 +1000011000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010000000 +1000001000000 +1000001010000 +1000000010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000010010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +1000000000000 +1000000000000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001010000 +1000000000000 +1000010110000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000011000000 +1000001100000 +1000000000000 +1000001110000 +1000011000000 +1000011000000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010010000 +1000000010000 +1000000110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001000000 +1000000000000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000010110000 +1000001010000 +1000000000000 +1000010100000 +1000010100000 +1000010110000 +1000010110000 +1000010110000 +1000010100000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +1000000000000 +1000001000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010010000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000001000000 +1000000000000 +1000010000000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010100000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000000000 +1000000110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +0000000000000 +0000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001000000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000001010000 +1000000000000 +1000001010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +1000110000001 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000110000 +1000000000000 +1000010000000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000000010000 +1000000000000 +1000001110000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010010000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +1000010010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +1000000000000 +1000000010000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001010000 +1000000000000 +1000001000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101110001 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000110000 +1000000000000 +1000001110000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000000100000 +1000000000000 +1000001100000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000010000000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001000000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000000100000 +1000000010000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000010000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001100000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000101100001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +1000001110000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001100000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000101010001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000001000000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +1000001010000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +1000101000001 +1000001000000 +1000001000000 +1000001000000 +1000101000001 +1000101000001 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 +0000000000000 \ No newline at end of file diff --git a/Nexys4Game/src/hdl/nexys4_game.v b/Nexys4Game/src/hdl/nexys4_game.v index 7fc4cf0..52fec46 100644 --- a/Nexys4Game/src/hdl/nexys4_game.v +++ b/Nexys4Game/src/hdl/nexys4_game.v @@ -37,8 +37,8 @@ module nexys4_game( wire CLK25MHZ; clock_4divider clk_divider(.clk(CLK100MHZ),.clk_div(CLK25MHZ)); - wire CLK65MHZ; - clk_wiz_65 clk_65(.clk_in(CLK100MHZ),.clk_out(CLK65MHZ)); + wire CLK65MHZ, CLK130MHZ; + clk_wiz_65 clk_gen(.clk_in(CLK100MHZ),.clk_out(CLK65MHZ),.clk_out2(CLK130MHZ)); // INSTANTIATE SEVEN SEGMENT DISPLAY wire [31:0] seg_data; @@ -95,6 +95,7 @@ module nexys4_game( SC_block SC( .clk(CLK100MHZ), .pause(pause), + .reset(reset), .song_time(song_time), .NDATA(NDATA), .metadata_link(metadata_link), @@ -130,6 +131,7 @@ module nexys4_game( AV_block AV( .clk(CLK100MHZ), .clk65(CLK65MHZ), + .clk130(CLK130MHZ), .pause(pause), .song_time(song_time), .score(score), diff --git a/Nexys4Game/src/ip/blk_mem_gen_0/blk_mem_gen_0.xci b/Nexys4Game/src/ip/blk_mem_gen_0/blk_mem_gen_0.xci new file mode 100644 index 0000000..472dd55 --- /dev/null +++ b/Nexys4Game/src/ip/blk_mem_gen_0/blk_mem_gen_0.xci @@ -0,0 +1,197 @@ + + + xilinx.com + xci + unknown + 1.0 + + + blk_mem_gen_0 + + + 4096 + 18 + 18 + 1 + 4 + 0 + 1 + 9 + 0 + 1 + 63 + NONE + 0 + 0 + 0 + ./ + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + Estimated Power for IP : 2.319236 mW + artix7 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + blk_mem_gen_0.mem + blk_mem_gen_0.mif + 0 + 1 + 3 + 0 + 1 + 259729 + 259729 + 8 + 8 + 0 + 0 + CE + CE + ALL + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 1 + 1 + 259729 + 259729 + WRITE_FIRST + WRITE_FIRST + 8 + 8 + artix7 + 4 + Memory_Slave + AXI4_Full + false + Minimum_Area + false + 9 + NONE + ../bg_run_table.coe + ALL + blk_mem_gen_0 + false + false + false + false + false + false + false + false + false + Always_Enabled + Always_Enabled + Single_Bit_Error_Injection + true + Native + true + no_mem_loaded + Single_Port_ROM + WRITE_FIRST + WRITE_FIRST + 0 + 0 + BRAM + 0 + 100 + 100 + 0 + 0 + 0 + 0 + 8kx2 + false + false + 8 + 8 + false + false + false + false + 0 + false + false + CE + CE + SYNC + false + false + false + false + false + false + false + 259729 + 8 + 8 + No_ECC + false + false + false + Stand_Alone + artix7 + + xc7a100t + csg324 + VERILOG + + MIXED + -3 + C + TRUE + TRUE + IP_Flow + 0 + TRUE + . + + . + 2015.3 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Nexys4Game/src/ip/dist_mem_gen_1/dist_mem_gen_1.xci b/Nexys4Game/src/ip/dist_mem_gen_1/dist_mem_gen_1.xci new file mode 100644 index 0000000..20c4ec1 --- /dev/null +++ b/Nexys4Game/src/ip/dist_mem_gen_1/dist_mem_gen_1.xci @@ -0,0 +1,101 @@ + + + xilinx.com + xci + unknown + 1.0 + + + dist_mem_gen_1 + + + 6 + 0 + 64 + ./ + artix7 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + dist_mem_gen_1.mif + 0 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 12 + dist_mem_gen_1 + 0 + ce_overrides_sync_controls + ../bg_color_table.coe + false + false + 12 + 0 + 16 + 64 + non_registered + false + false + non_registered + rom + non_registered + false + false + false + false + non_registered + false + false + false + false + false + artix7 + + xc7a100t + csg324 + VERILOG + + MIXED + -3 + C + TRUE + TRUE + IP_Flow + 9 + TRUE + . + + . + 2015.3 + OUT_OF_CONTEXT + + + + + + + + + + + + +