From a603c018437214fb30ce131014b5eb95e30148bd Mon Sep 17 00:00:00 2001 From: "Matthew J. Dovey" Date: Wed, 29 Dec 2021 11:26:12 +0000 Subject: [PATCH] Audio adjustments Added joystick key mapping --- ip/zxaudio/component.xml | 636 +- ip/zxaudio/sim/audio.v | 100 +- ip/zxaudio/sim/audio_audio_mono_0_0.v | 3 +- ip/zxaudio/sim/audio_audio_mono_1_0.v | 3 +- .../zxaudio/sim/audio_audio_prefilter_0_1.v | 2 +- .../zxaudio/sim/audio_audio_sync_0_1.v | 2 +- ip/zxaudio/src/audio.v | 100 +- ip/zxaudio/src/audio_audio_mono_0_0.v | 5 +- ip/zxaudio/src/audio_audio_mono_1_0.v | 5 +- .../zxaudio/src/audio_audio_prefilter_0_1.v | 6 +- .../zxaudio/src/audio_audio_sync_0_1.v | 6 +- ip/zxaudio/src/audio_mono.v | 16 +- ip/zxaudio/src/audio_wrapper.v | 32 +- ip/zxaudio/xgui/zxaudio_v2_3.tcl | 35 +- ip/zxaudio/xgui/zxaudio_v2_4.tcl | 45 + ip/zxkeyboard/component.xml | 467 +- ip/zxkeyboard/sim/keyboard.v | 76 +- .../sim/keyboard_membrane_stick_0_0.v | 109 +- ip/zxkeyboard/src/keyboard.v | 76 +- .../keyboard_dist_mem_gen_0_0.xci | 107 + .../src/keyboard_membrane_stick_0_0.v | 108 + .../src/keyboard_membrane_stick_0_0_ooc.xdc | 57 + .../keyboard_util_vector_logic_0_0.xci | 20 +- ip/zxkeyboard/src/keyboard_wrapper.v | 26 +- .../keyboard_xlconcat_0_0.xci | 436 + .../keyboard_xlslice_0_0.xci | 26 +- .../keyboard_xlslice_1_0.xci | 57 + .../keyboard_xlslice_1_1.xci | 56 + ip/zxkeyboard/src/keyjoy_64_6.coe | 66 + .../keyjoy_sdpram_64_6/keyjoy_sdpram_64_6.xci | 106 + ip/zxkeyboard/src/membrane_stick.vhd | 190 + ip/zxkeyboard/xgui/zxkeyboard_v2_4.tcl | 20 + srcs/sources/bd/audio/audio.bd | 860 +- srcs/sources/bd/audio/audio.bda | 14 +- srcs/sources/bd/audio/audio.bxml | 8 +- srcs/sources/bd/audio/hdl/audio_wrapper.v | 22 +- srcs/sources/bd/audio/hw_handoff/audio.hwh | 393 +- .../audio_DC_blocker_0_0.dcp | Bin 55130 -> 0 bytes .../audio_DC_blocker_0_0.xci | 63 - .../audio_DC_blocker_0_0.xml | 364 - .../audio_DC_blocker_0_0_sim_netlist.v | 3189 - .../audio_DC_blocker_0_0_sim_netlist.vhdl | 4309 -- .../audio_DC_blocker_1_0.dcp | Bin 55001 -> 0 bytes .../audio_DC_blocker_1_0.xci | 63 - .../audio_DC_blocker_1_0.xml | 364 - .../audio_DC_blocker_1_0_sim_netlist.v | 3188 - .../audio_DC_blocker_1_0_sim_netlist.vhdl | 4307 -- .../audio_DC_blocker_1_0_stub.v | 25 - .../audio_DC_blocker_1_0_stub.vhdl | 35 - .../audio_IIR_filter_0_0.dcp | Bin 275426 -> 0 bytes .../audio_IIR_filter_0_0.xci | 84 - .../audio_IIR_filter_0_0.xml | 642 - .../audio_IIR_filter_0_0_sim_netlist.v | 15505 ---- .../audio_IIR_filter_0_0_sim_netlist.vhdl | 21109 ------ .../audio_IIR_filter_0_0_stub.v | 35 - .../audio_IIR_filter_0_0_stub.vhdl | 44 - .../audio_audio_filter_control_0_0.dcp | Bin 36536 -> 0 bytes .../audio_audio_filter_control_0_0.xci | 67 - ...dio_audio_filter_control_0_0_sim_netlist.v | 1767 - ..._audio_filter_control_0_0_sim_netlist.vhdl | 2345 - .../audio_audio_filter_control_0_0_stub.v | 34 - .../audio_audio_filter_control_0_0_stub.vhdl | 43 - .../audio_audio_mono_0_0.dcp | Bin 15229 -> 14778 bytes .../audio_audio_mono_0_0.xci | 5 + .../audio_audio_mono_0_0.xml | 31 +- .../audio_audio_mono_0_0_sim_netlist.v | 159 +- .../audio_audio_mono_0_0_sim_netlist.vhdl | 202 +- .../audio_audio_mono_0_0_stub.v | 2 +- .../audio_audio_mono_0_0_stub.vhdl | 2 +- .../sim/audio_audio_mono_0_0.v | 3 +- .../synth/audio_audio_mono_0_0.v | 5 +- .../audio_audio_mono_1_0.dcp | Bin 15236 -> 14770 bytes .../audio_audio_mono_1_0.xci | 2 + .../audio_audio_mono_1_0.xml | 28 +- .../audio_audio_mono_1_0_sim_netlist.v | 159 +- .../audio_audio_mono_1_0_sim_netlist.vhdl | 202 +- .../audio_audio_mono_1_0_stub.v | 2 +- .../audio_audio_mono_1_0_stub.vhdl | 2 +- .../sim/audio_audio_mono_1_0.v | 3 +- .../synth/audio_audio_mono_1_0.v | 5 +- .../audio_audio_prefilter_0_0.dcp | Bin 12267 -> 0 bytes .../audio_audio_prefilter_0_0_sim_netlist.v | 231 - ...audio_audio_prefilter_0_0_sim_netlist.vhdl | 212 - .../audio_audio_prefilter_1_0.dcp | Bin 12127 -> 0 bytes .../audio_audio_prefilter_1_0_sim_netlist.v | 230 - ...audio_audio_prefilter_1_0_sim_netlist.vhdl | 210 - .../audio_audio_prefilter_1_0_stub.v | 21 - .../audio_audio_prefilter_1_0_stub.vhdl | 31 - .../audio_audio_psg_0_0.dcp | Bin 10751 -> 10753 bytes .../audio_audio_psg_0_0.xml | 2 +- .../audio_audio_psg_0_0_sim_netlist.v | 2 +- .../audio_audio_psg_0_0_sim_netlist.vhdl | 2 +- .../audio_audio_psg_0_0_stub.v | 2 +- .../audio_audio_psg_0_0_stub.vhdl | 2 +- .../audio_audio_reset_0_0.dcp | Bin 8571 -> 8591 bytes .../audio_audio_reset_0_0.xci | 10 +- .../audio_audio_reset_0_0.xml | 2 +- .../audio_audio_reset_0_0_sim_netlist.v | 2 +- .../audio_audio_reset_0_0_sim_netlist.vhdl | 2 +- .../audio_audio_reset_0_0_stub.v | 2 +- .../audio_audio_reset_0_0_stub.vhdl | 2 +- .../audio_audio_scaler_0_0.dcp | Bin 7712 -> 7717 bytes .../audio_audio_scaler_0_0.xml | 2 +- .../audio_audio_scaler_0_0_sim_netlist.v | 2 +- .../audio_audio_scaler_0_0_sim_netlist.vhdl | 2 +- .../audio_audio_scaler_0_0_stub.v | 2 +- .../audio_audio_scaler_0_0_stub.vhdl | 2 +- .../audio_audio_scaler_1_0.dcp | Bin 7716 -> 7716 bytes .../audio_audio_scaler_1_0.xml | 2 +- .../audio_audio_scaler_1_0_sim_netlist.v | 2 +- .../audio_audio_scaler_1_0_sim_netlist.vhdl | 2 +- .../audio_audio_scaler_1_0_stub.v | 2 +- .../audio_audio_scaler_1_0_stub.vhdl | 2 +- .../audio_audio_sync_0_0.dcp | Bin 17468 -> 17468 bytes .../audio_audio_sync_0_0.xml | 6 +- .../audio_audio_sync_0_0_sim_netlist.v | 2 +- .../audio_audio_sync_0_0_sim_netlist.vhdl | 2 +- .../audio_audio_sync_0_0_stub.v | 2 +- .../audio_audio_sync_0_0_stub.vhdl | 2 +- .../audio_audio_sync_0_1.dcp | Bin 0 -> 17457 bytes .../audio_audio_sync_0_1.xci} | 4 +- .../audio_audio_sync_0_1.xml} | 40 +- .../audio_audio_sync_0_1_sim_netlist.v} | 14 +- .../audio_audio_sync_0_1_sim_netlist.vhdl} | 32 +- .../audio_audio_sync_0_1_stub.v} | 8 +- .../audio_audio_sync_0_1_stub.vhdl} | 12 +- .../sim/audio_audio_sync_0_1.v} | 29 +- .../synth/audio_audio_sync_0_1.v} | 6 +- .../audio_audio_sync_1_0.dcp | Bin 17470 -> 0 bytes .../audio_i2s_transceiver_0_0.dcp | Bin 62996 -> 63040 bytes .../audio_i2s_transceiver_0_0.xci | 2 +- .../audio_i2s_transceiver_0_0.xml | 2 +- .../audio_i2s_transceiver_0_0_sim_netlist.v | 2 +- ...audio_i2s_transceiver_0_0_sim_netlist.vhdl | 2 +- .../audio_i2s_transceiver_0_0_stub.v | 2 +- .../audio_i2s_transceiver_0_0_stub.vhdl | 2 +- .../audio_sigma_delta_dac_0_0.dcp | Bin 17192 -> 17177 bytes .../audio_sigma_delta_dac_0_0.xci | 6 +- .../audio_sigma_delta_dac_0_0.xml | 2 +- .../audio_sigma_delta_dac_0_0_sim_netlist.v | 2 +- ...audio_sigma_delta_dac_0_0_sim_netlist.vhdl | 2 +- .../audio_sigma_delta_dac_0_0_stub.v | 2 +- .../audio_sigma_delta_dac_0_0_stub.vhdl | 2 +- .../audio_sigma_delta_dac_1_0.dcp | Bin 17053 -> 17040 bytes .../audio_sigma_delta_dac_1_0.xci | 6 +- .../audio_sigma_delta_dac_1_0.xml | 2 +- .../audio_sigma_delta_dac_1_0_sim_netlist.v | 2 +- ...audio_sigma_delta_dac_1_0_sim_netlist.vhdl | 2 +- .../audio_sigma_delta_dac_1_0_stub.v | 2 +- .../audio_sigma_delta_dac_1_0_stub.vhdl | 2 +- .../audio_tape_ear_0_0/audio_tape_ear_0_0.dcp | Bin 11243 -> 11256 bytes .../audio_tape_ear_0_0/audio_tape_ear_0_0.xci | 6 +- .../audio_tape_ear_0_0/audio_tape_ear_0_0.xml | 2 +- .../audio_tape_ear_0_0_sim_netlist.v | 2 +- .../audio_tape_ear_0_0_sim_netlist.vhdl | 2 +- .../audio_tape_ear_0_0_stub.v | 2 +- .../audio_tape_ear_0_0_stub.vhdl | 2 +- .../audio_tape_mic_0_0/audio_tape_mic_0_0.dcp | Bin 7583 -> 7600 bytes .../audio_tape_mic_0_0/audio_tape_mic_0_0.xml | 2 +- .../audio_tape_mic_0_0_sim_netlist.v | 2 +- .../audio_tape_mic_0_0_sim_netlist.vhdl | 2 +- .../audio_tape_mic_0_0_stub.v | 2 +- .../audio_tape_mic_0_0_stub.vhdl | 2 +- .../audio_twos_complement_0_0.dcp | Bin 8002 -> 0 bytes ...audio_twos_complement_0_0_sim_netlist.vhdl | 49 - .../audio_twos_complement_0_0_stub.v | 21 - .../audio_twos_complement_0_0_stub.vhdl | 31 - .../audio_twos_complement_1_0.dcp | Bin 7918 -> 0 bytes .../audio_twos_complement_1_0_sim_netlist.v | 117 - ...audio_twos_complement_1_0_sim_netlist.vhdl | 49 - .../audio_twos_complement_1_0_stub.v | 21 - .../audio_twos_complement_1_0_stub.vhdl | 31 - srcs/sources/bd/audio/sim/audio.v | 100 +- srcs/sources/bd/audio/synth/audio.hwdef | Bin 5473 -> 4148 bytes srcs/sources/bd/audio/synth/audio.v | 100 +- srcs/sources/bd/audio/ui/bd_1d055016.ui | 151 +- .../bd/keyboard/hdl/keyboard_wrapper.v | 26 +- .../bd/keyboard/hw_handoff/keyboard.hwh | 503 +- .../keyboard_Ps2Interface_0_0.dcp | Bin 43457 -> 43444 bytes .../keyboard_Ps2Interface_0_0.xml | 2 +- .../keyboard_Ps2Interface_0_0_sim_netlist.v | 2 +- ...keyboard_Ps2Interface_0_0_sim_netlist.vhdl | 2 +- .../keyboard_Ps2Interface_0_0_stub.v | 2 +- .../keyboard_Ps2Interface_0_0_stub.vhdl | 2 +- .../keyboard_dist_mem_gen_0_0.dcp | Bin 0 -> 25317 bytes .../keyboard_dist_mem_gen_0_0.mif | 64 + .../keyboard_dist_mem_gen_0_0.xci | 107 + .../keyboard_dist_mem_gen_0_0.xml | 933 + .../keyboard_dist_mem_gen_0_0_ooc.xdc | 56 + .../keyboard_dist_mem_gen_0_0_sim_netlist.v | 374 + ...keyboard_dist_mem_gen_0_0_sim_netlist.vhdl | 447 + .../keyboard_dist_mem_gen_0_0_stub.v} | 22 +- .../keyboard_dist_mem_gen_0_0_stub.vhdl} | 28 +- .../sim/keyboard_dist_mem_gen_0_0.v} | 112 +- .../synth/keyboard_dist_mem_gen_0_0.vhd | 187 + .../keyboard_emu_fnkeys_0_0.dcp | Bin 20614 -> 20616 bytes .../keyboard_emu_fnkeys_0_0.xml | 2 +- .../keyboard_emu_fnkeys_0_0_sim_netlist.v | 2 +- .../keyboard_emu_fnkeys_0_0_sim_netlist.vhdl | 2 +- .../keyboard_emu_fnkeys_0_0_stub.v | 2 +- .../keyboard_emu_fnkeys_0_0_stub.vhdl | 2 +- .../keyboard_keyb_clocks_0_0.dcp | Bin 15049 -> 15058 bytes .../keyboard_keyb_clocks_0_0.xml | 2 +- .../keyboard_keyb_clocks_0_0_sim_netlist.v | 2 +- .../keyboard_keyb_clocks_0_0_sim_netlist.vhdl | 2 +- .../keyboard_keyb_clocks_0_0_stub.v | 2 +- .../keyboard_keyb_clocks_0_0_stub.vhdl | 2 +- .../keyboard_membrane_0_0.dcp | Bin 36018 -> 36022 bytes .../keyboard_membrane_0_0.xml | 2 +- .../keyboard_membrane_0_0_sim_netlist.v | 2 +- .../keyboard_membrane_0_0_sim_netlist.vhdl | 2 +- .../keyboard_membrane_0_0_stub.v | 2 +- .../keyboard_membrane_0_0_stub.vhdl | 2 +- .../keyboard_membrane_stick_0_0.dcp | Bin 0 -> 22679 bytes .../keyboard_membrane_stick_0_0.xci | 67 + .../keyboard_membrane_stick_0_0.xml} | 425 +- .../keyboard_membrane_stick_0_0_sim_netlist.v | 729 + ...yboard_membrane_stick_0_0_sim_netlist.vhdl | 802 + .../keyboard_membrane_stick_0_0_stub.v | 33 + .../keyboard_membrane_stick_0_0_stub.vhdl | 41 + .../sim/keyboard_membrane_stick_0_0.v | 107 + .../synth/keyboard_membrane_stick_0_0.v | 108 + .../keyboard_ps2_keyb_0_0.dcp | Bin 48505 -> 48512 bytes .../keyboard_ps2_keyb_0_0.xml | 2 +- .../keyboard_ps2_keyb_0_0_sim_netlist.v | 2 +- .../keyboard_ps2_keyb_0_0_sim_netlist.vhdl | 2 +- .../keyboard_ps2_keyb_0_0_stub.v | 2 +- .../keyboard_ps2_keyb_0_0_stub.vhdl | 2 +- .../keyboard_special_keys_0_0.dcp | Bin 10505 -> 10506 bytes .../keyboard_special_keys_0_0.xml | 2 +- .../keyboard_special_keys_0_0_sim_netlist.v | 2 +- ...keyboard_special_keys_0_0_sim_netlist.vhdl | 2 +- .../keyboard_special_keys_0_0_stub.v | 2 +- .../keyboard_special_keys_0_0_stub.vhdl | 2 +- .../keyboard_util_vector_logic_0_0.dcp | Bin 0 -> 9459 bytes .../keyboard_util_vector_logic_0_0.xci} | 20 +- .../keyboard_util_vector_logic_0_0.xml | 322 + ...board_util_vector_logic_0_0_sim_netlist.v} | 98 +- ...ard_util_vector_logic_0_0_sim_netlist.vhdl | 121 + .../keyboard_util_vector_logic_0_0_stub.v} | 17 +- .../keyboard_util_vector_logic_0_0_stub.vhdl} | 21 +- .../sim/keyboard_util_vector_logic_0_0.v | 74 + .../synth/keyboard_util_vector_logic_0_0.v} | 31 +- .../keyboard_xlconcat_0_0.xci | 436 + .../keyboard_xlconcat_0_0.xml | 5066 ++ .../sim/keyboard_xlconcat_0_0.v | 330 + .../synth/keyboard_xlconcat_0_0.v | 334 + .../keyboard_xlslice_0_0.xci | 56 + .../keyboard_xlslice_0_0.xml} | 186 +- .../sim/keyboard_xlslice_0_0.v | 72 + .../synth/keyboard_xlslice_0_0.v | 73 + .../keyboard_xlslice_1_0.xci | 57 + .../keyboard_xlslice_1_0.xml | 236 + .../sim/keyboard_xlslice_1_0.v | 72 + .../synth/keyboard_xlslice_1_0.v | 73 + .../keyboard_xlslice_1_1.xci | 56 + .../keyboard_xlslice_1_1.xml} | 186 +- .../sim/keyboard_xlslice_1_1.v | 72 + .../synth/keyboard_xlslice_1_1.v | 73 + .../0bf5/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd | 2491 + .../0bf5/simulation/dist_mem_gen_v8_0.v | 579 + .../ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v | 25 + .../3f90/hdl/util_vector_logic_v2_0_vl_rfs.v | 80 + .../ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v | 1041 + srcs/sources/bd/keyboard/keyboard.bd | 433 +- srcs/sources/bd/keyboard/keyboard.bxml | 8 +- srcs/sources/bd/keyboard/sim/keyboard.v | 76 +- srcs/sources/bd/keyboard/synth/keyboard.hwdef | Bin 4567 -> 7247 bytes srcs/sources/bd/keyboard/synth/keyboard.v | 76 +- srcs/sources/bd/keyboard/ui/bd_bb7bb047.ui | 157 +- srcs/sources/bd/zxnexys/hdl/zxnexys_wrapper.v | 2 +- .../sources/bd/zxnexys/hw_handoff/zxnexys.hwh | 70 +- .../zxnexys_clk_wiz_0_0.dcp | Bin 12890 -> 12892 bytes .../zxnexys_clk_wiz_0_0.xci | 30 +- .../zxnexys_clk_wiz_0_0.xml | 12 +- .../zxnexys_clk_wiz_0_0_sim_netlist.v | 2 +- .../zxnexys_clk_wiz_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_clk_wiz_0_0_stub.v | 2 +- .../zxnexys_clk_wiz_0_0_stub.vhdl | 2 +- .../zxnexys_mig_7series_0_0.dcp | Bin 2013988 -> 2015319 bytes .../zxnexys_mig_7series_0_0.xml | 12 +- .../par/compatible_ucf/xc7a50tcsg324_pkg.xdc | 2 +- .../example_design/par/example_top.xdc | 2 +- .../compatible_ucf/xc7a50tcsg324_pkg.xdc | 2 +- .../constraints/zxnexys_mig_7series_0_0.xdc | 2 +- .../zxnexys_mig_7series_0_0_ooc.xdc | 2 +- .../zxnexys_mig_7series_0_0_sim_netlist.v | 2 +- .../zxnexys_mig_7series_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_mig_7series_0_0_stub.v | 2 +- .../zxnexys_mig_7series_0_0_stub.vhdl | 2 +- .../zxnexys_pmod_esp32_0_0.dcp | Bin 8728 -> 8670 bytes .../zxnexys_pmod_esp32_0_0.xml | 10 +- .../zxnexys_pmod_esp32_0_0_sim_netlist.v | 2 +- .../zxnexys_pmod_esp32_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_pmod_esp32_0_0_stub.v | 2 +- .../zxnexys_pmod_esp32_0_0_stub.vhdl | 2 +- .../zxnexys_pmod_i2s2_0_0.dcp | Bin 8465 -> 8459 bytes .../zxnexys_pmod_i2s2_0_0.xml | 10 +- .../zxnexys_pmod_i2s2_0_0_sim_netlist.v | 2 +- .../zxnexys_pmod_i2s2_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_pmod_i2s2_0_0_stub.v | 2 +- .../zxnexys_pmod_i2s2_0_0_stub.vhdl | 2 +- .../zxnexys_pmod_ps2_jstk2_0_0.dcp | Bin 9040 -> 9036 bytes .../zxnexys_pmod_ps2_jstk2_0_0.xml | 10 +- .../zxnexys_pmod_ps2_jstk2_0_0_sim_netlist.v | 2 +- ...xnexys_pmod_ps2_jstk2_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_pmod_ps2_jstk2_0_0_stub.v | 2 +- .../zxnexys_pmod_ps2_jstk2_0_0_stub.vhdl | 2 +- .../zxnexys_pmod_rtcc_0_0.dcp | Bin 8416 -> 8417 bytes .../zxnexys_pmod_rtcc_0_0.xml | 10 +- .../zxnexys_pmod_rtcc_0_0_sim_netlist.v | 2 +- .../zxnexys_pmod_rtcc_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_pmod_rtcc_0_0_stub.v | 2 +- .../zxnexys_pmod_rtcc_0_0_stub.vhdl | 2 +- .../zxnexys_pmod_xsd_0_0.dcp | Bin 8409 -> 8462 bytes .../zxnexys_pmod_xsd_0_0.xml | 10 +- .../zxnexys_pmod_xsd_0_0_sim_netlist.v | 2 +- .../zxnexys_pmod_xsd_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_pmod_xsd_0_0_stub.v | 2 +- .../zxnexys_pmod_xsd_0_0_stub.vhdl | 2 +- .../sim/zxnexys_zxaudio_0_0.v | 22 +- .../synth/zxnexys_zxaudio_0_0.v | 22 +- .../zxnexys_zxaudio_0_0.dcp | Bin 411018 -> 115684 bytes .../zxnexys_zxaudio_0_0.xci | 26 +- .../zxnexys_zxaudio_0_0.xml | 637 +- .../zxnexys_zxaudio_0_0_sim_netlist.v | 27111 ++----- .../zxnexys_zxaudio_0_0_sim_netlist.vhdl | 34670 ++------- .../zxnexys_zxaudio_0_0_stub.v | 12 +- .../zxnexys_zxaudio_0_0_stub.vhdl | 8 +- .../zxnexys_zxclock_0_0.dcp | Bin 11997 -> 11996 bytes .../zxnexys_zxclock_0_0.xml | 10 +- .../zxnexys_zxclock_0_0_sim_netlist.v | 2 +- .../zxnexys_zxclock_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxclock_0_0_stub.v | 2 +- .../zxnexys_zxclock_0_0_stub.vhdl | 2 +- .../zxnexys_zxesp32_0_0.dcp | Bin 8898 -> 8881 bytes .../zxnexys_zxesp32_0_0.xml | 10 +- .../zxnexys_zxesp32_0_0_sim_netlist.v | 2 +- .../zxnexys_zxesp32_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxesp32_0_0_stub.v | 2 +- .../zxnexys_zxesp32_0_0_stub.vhdl | 2 +- .../joystick_util_vector_logic_0_0.xml | 8 +- .../joystick_xlconstant_0_0.xml | 12 +- .../zxnexys_zxjoystick_0_0.dcp | Bin 39260 -> 39260 bytes .../zxnexys_zxjoystick_0_0.xml | 10 +- .../zxnexys_zxjoystick_0_0_sim_netlist.v | 2 +- .../zxnexys_zxjoystick_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxjoystick_0_0_stub.v | 2 +- .../zxnexys_zxjoystick_0_0_stub.vhdl | 2 +- .../sim/zxnexys_zxkeyboard_0_0.v | 28 +- .../keyboard_xlconstant_high_0.xml | 12 +- .../keyboard_xlconstant_selftest_ok_0.xml | 12 +- .../synth/zxnexys_zxkeyboard_0_0.v | 28 +- .../zxnexys_zxkeyboard_0_0.dcp | Bin 139431 -> 168024 bytes .../zxnexys_zxkeyboard_0_0.xci | 27 +- .../zxnexys_zxkeyboard_0_0.xml | 419 +- .../zxnexys_zxkeyboard_0_0_sim_netlist.v | 3922 +- .../zxnexys_zxkeyboard_0_0_sim_netlist.vhdl | 4401 +- .../zxnexys_zxkeyboard_0_0_stub.v | 15 +- .../zxnexys_zxkeyboard_0_0_stub.vhdl | 10 +- .../mouse_xlconcat_0_0/mouse_xlconcat_0_0.xml | 8 +- .../mouse_xlslice_0_0/mouse_xlslice_0_0.xml | 8 +- .../zxnexys_zxmouse_0_0.dcp | Bin 41411 -> 41418 bytes .../zxnexys_zxmouse_0_0.xml | 10 +- .../zxnexys_zxmouse_0_0_sim_netlist.v | 2 +- .../zxnexys_zxmouse_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxmouse_0_0_stub.v | 2 +- .../zxnexys_zxmouse_0_0_stub.vhdl | 2 +- .../src/sdpbram_16k_8/sdpbram_16k_8.xml | 8 +- .../src/sdpram_128_8/sdpram_128_8.xml | 8 +- .../src/sdpram_16_9/sdpram_16_9.xml | 8 +- .../src/sdpram_64_9/sdpram_64_9.xml | 8 +- .../src/spram_320_9/spram_320_9.xml | 8 +- .../zxnexys_zxnext_0_0/zxnexys_zxnext_0_0.dcp | Bin 2152697 -> 2154362 bytes .../zxnexys_zxnext_0_0/zxnexys_zxnext_0_0.xml | 10 +- .../zxnexys_zxnext_0_0_sim_netlist.v | 7584 +- .../zxnexys_zxnext_0_0_sim_netlist.vhdl | 11858 +-- .../zxnexys_zxnext_0_0_stub.v | 2 +- .../zxnexys_zxnext_0_0_stub.vhdl | 2 +- .../zxnexys_zxnexys_ledsegment_0_0.dcp | Bin 27193 -> 27182 bytes .../zxnexys_zxnexys_ledsegment_0_0.xml | 10 +- ...nexys_zxnexys_ledsegment_0_0_sim_netlist.v | 2 +- ...ys_zxnexys_ledsegment_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxnexys_ledsegment_0_0_stub.v | 2 +- .../zxnexys_zxnexys_ledsegment_0_0_stub.vhdl | 2 +- .../zxnexys_zxnexys_mic_0_0.dcp | Bin 57555 -> 57525 bytes .../zxnexys_zxnexys_mic_0_0.xml | 10 +- .../zxnexys_zxnexys_mic_0_0_sim_netlist.v | 2 +- .../zxnexys_zxnexys_mic_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxnexys_mic_0_0_stub.v | 2 +- .../zxnexys_zxnexys_mic_0_0_stub.vhdl | 2 +- .../zxnexys_zxnexys_pi_led_sw_ua_0_0.dcp | Bin 24101 -> 24098 bytes .../zxnexys_zxnexys_pi_led_sw_ua_0_0.xml | 10 +- ...xys_zxnexys_pi_led_sw_ua_0_0_sim_netlist.v | 2 +- ..._zxnexys_pi_led_sw_ua_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxnexys_pi_led_sw_ua_0_0_stub.v | 2 +- ...zxnexys_zxnexys_pi_led_sw_ua_0_0_stub.vhdl | 2 +- .../src/ram_auto_cc_0/ram_auto_cc_0.xml | 14 +- .../src/ram_auto_cc_1/ram_auto_cc_1.xml | 14 +- .../src/ram_auto_cc_2/ram_auto_cc_2.xml | 14 +- .../src/ram_auto_cc_3/ram_auto_cc_3.xml | 14 +- .../ram_blk_mem_gen_0_0.xml | 8 +- .../ram_blk_mem_gen_1_0.xml | 8 +- .../src/ram_xbar_1/ram_xbar_1.xml | 12 +- .../zxnexys_zxram_0_0/zxnexys_zxram_0_0.dcp | Bin 1315911 -> 1315719 bytes .../zxnexys_zxram_0_0/zxnexys_zxram_0_0.xml | 12 +- .../zxnexys_zxram_0_0_sim_netlist.v | 48162 ++++++------ .../zxnexys_zxram_0_0_sim_netlist.vhdl | 63270 ++++++++-------- .../zxnexys_zxram_0_0_stub.v | 2 +- .../zxnexys_zxram_0_0_stub.vhdl | 2 +- .../zxnexys_zxreset_0_0.dcp | Bin 43480 -> 43446 bytes .../zxnexys_zxreset_0_0.xml | 10 +- .../zxnexys_zxreset_0_0_sim_netlist.v | 2 +- .../zxnexys_zxreset_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxreset_0_0_stub.v | 2 +- .../zxnexys_zxreset_0_0_stub.vhdl | 2 +- .../src/rtcc_axi_iic_0_0/rtcc_axi_iic_0_0.xml | 10 +- .../rtcc_fifo_generator_0_0.xml | 8 +- .../rtcc_fifo_generator_1_0.xml | 8 +- .../zxnexys_zxrtc_0_0/zxnexys_zxrtc_0_0.dcp | Bin 389924 -> 389874 bytes .../zxnexys_zxrtc_0_0/zxnexys_zxrtc_0_0.xml | 12 +- .../zxnexys_zxrtc_0_0_sim_netlist.v | 6608 +- .../zxnexys_zxrtc_0_0_sim_netlist.vhdl | 10192 +-- .../zxnexys_zxrtc_0_0_stub.v | 2 +- .../zxnexys_zxrtc_0_0_stub.vhdl | 2 +- .../zxnexys_zxsdcard_0_0.dcp | Bin 23856 -> 23847 bytes .../zxnexys_zxsdcard_0_0.xml | 10 +- .../zxnexys_zxsdcard_0_0_sim_netlist.v | 2 +- .../zxnexys_zxsdcard_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxsdcard_0_0_stub.v | 2 +- .../zxnexys_zxsdcard_0_0_stub.vhdl | 2 +- .../zxnexys_zxsdcard_1_0.dcp | Bin 23634 -> 23649 bytes .../zxnexys_zxsdcard_1_0.xml | 10 +- .../zxnexys_zxsdcard_1_0_sim_netlist.v | 2 +- .../zxnexys_zxsdcard_1_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxsdcard_1_0_stub.v | 2 +- .../zxnexys_zxsdcard_1_0_stub.vhdl | 2 +- .../zxnexys_zxvga_0_0/zxnexys_zxvga_0_0.dcp | Bin 84759 -> 84735 bytes .../zxnexys_zxvga_0_0/zxnexys_zxvga_0_0.xml | 10 +- .../zxnexys_zxvga_0_0_sim_netlist.v | 2 +- .../zxnexys_zxvga_0_0_sim_netlist.vhdl | 2 +- .../zxnexys_zxvga_0_0_stub.v | 2 +- .../zxnexys_zxvga_0_0_stub.vhdl | 2 +- .../ipshared/{b927/src => 2ed7/sim}/audio.v | 100 +- .../ipshared/2ed7}/sim/audio_DC_blocker_0_0.v | 0 .../ipshared/2ed7}/sim/audio_DC_blocker_1_0.v | 0 .../ipshared/2ed7}/sim/audio_IIR_filter_0_0.v | 0 .../sim/audio_audio_filter_control_0_0.v | 0 .../ipshared/2ed7/sim/audio_audio_mono_0_0.v | 75 + .../ipshared/2ed7/sim/audio_audio_mono_1_0.v | 75 + .../2ed7}/sim/audio_audio_prefilter_0_0.v | 0 .../2ed7}/sim/audio_audio_prefilter_1_0.v | 0 .../ipshared/2ed7/sim/audio_audio_psg_0_0.v | 70 + .../ipshared/2ed7/sim/audio_audio_reset_0_0.v | 83 + .../2ed7/sim/audio_audio_scaler_0_0.v} | 11 +- .../2ed7/sim/audio_audio_scaler_1_0.v} | 11 +- .../ipshared/2ed7/sim/audio_audio_sync_0_0.v | 76 + .../ipshared/2ed7}/sim/audio_audio_sync_1_0.v | 0 .../2ed7/sim/audio_i2s_transceiver_0_0.v | 99 + .../2ed7/sim/audio_sigma_delta_dac_0_0.v | 82 + .../2ed7/sim/audio_sigma_delta_dac_1_0.v | 82 + .../2ed7/sim/audio_tape_ear_0_0.v} | 30 +- .../2ed7/sim/audio_tape_mic_0_0.v} | 11 +- .../2ed7}/sim/audio_twos_complement_0_0.v | 0 .../2ed7}/sim/audio_twos_complement_1_0.v | 0 .../bd/zxnexys/ipshared/2ed7/src/audio.v | 156 + .../ipshared/2ed7/src}/audio_DC_blocker_0_0.v | 0 .../ipshared/2ed7/src}/audio_DC_blocker_1_0.v | 0 .../ipshared/2ed7/src}/audio_IIR_filter_0_0.v | 0 .../src}/audio_audio_filter_control_0_0.v | 0 .../{b927 => 2ed7}/src/audio_audio_mono_0_0.v | 5 +- .../{b927 => 2ed7}/src/audio_audio_mono_1_0.v | 5 +- .../2ed7/src}/audio_audio_prefilter_0_0.v | 0 .../2ed7/src}/audio_audio_prefilter_1_0.v | 0 .../{b927 => 2ed7}/src/audio_audio_psg_0_0.v | 0 .../src/audio_audio_reset_0_0.v | 0 .../src/audio_audio_scaler_0_0.v | 0 .../src/audio_audio_scaler_1_0.v | 0 .../{b927 => 2ed7}/src/audio_audio_sync_0_0.v | 0 .../ipshared/2ed7/src}/audio_audio_sync_1_0.v | 0 .../{b927 => 2ed7}/src/audio_filter_control.v | 0 .../src/audio_i2s_transceiver_0_0.v | 0 .../ipshared/{b927 => 2ed7}/src/audio_mono.v | 16 +- .../{b927 => 2ed7}/src/audio_prefilter.v | 0 .../ipshared/{b927 => 2ed7}/src/audio_psg.v | 0 .../ipshared/{b927 => 2ed7}/src/audio_reset.v | 0 .../{b927 => 2ed7}/src/audio_scaler.v | 0 .../src/audio_sigma_delta_dac_0_0.v | 0 .../src/audio_sigma_delta_dac_1_0.v | 0 .../ipshared/{b927 => 2ed7}/src/audio_sync.v | 0 .../{b927 => 2ed7}/src/audio_tape_ear_0_0.v | 0 .../{b927 => 2ed7}/src/audio_tape_mic_0_0.v | 0 .../2ed7/src}/audio_twos_complement_0_0.v | 0 .../2ed7/src}/audio_twos_complement_1_0.v | 0 .../{b927 => 2ed7}/src/audio_wrapper.v | 32 +- .../{b927 => 2ed7}/src/i2s_transceiver.vhd | 0 .../ipshared/{b927 => 2ed7}/src/iir_filter.v | 0 .../{b927 => 2ed7}/src/sigma_delta_dac.v | 0 .../ipshared/{b927 => 2ed7}/src/tape_ear.v | 0 .../ipshared/{b927 => 2ed7}/src/tape_mic.v | 0 .../{b927 => 2ed7}/src/twos_complement.v | 0 .../ipshared/b927/src/audio_DC_blocker_0_0.v | 84 - .../ipshared/b927/src/audio_DC_blocker_1_0.v | 84 - .../ipshared/b927/src/audio_IIR_filter_0_0.v | 123 - .../b927/src/audio_audio_filter_control_0_0.v | 113 - .../b927/src/audio_twos_complement_0_0.v | 72 - .../b927/src/audio_twos_complement_1_0.v | 72 - .../{e18e => bb16}/src/Ps2Interface.vhd | 0 .../ipshared/{e18e => bb16}/src/SyncAsync.vhd | 0 .../{e18e => bb16}/src/emu_fnkeys.vhd | 0 .../ipshared/{e18e => bb16}/src/keyb_clocks.v | 0 .../ipshared/{e18e => bb16}/src/keyboard.v | 76 +- .../src/keyboard_Ps2Interface_0_0.v | 0 .../src/keyboard_emu_fnkeys_0_0.v | 0 .../src/keyboard_keyb_clocks_0_0.v | 0 .../src/keyboard_membrane_0_0.v | 0 .../src/keyboard_ps2_keyb_0_0.v | 0 .../src/keyboard_special_keys_0_0.v | 0 .../{e18e => bb16}/src/keyboard_wrapper.v | 26 +- .../ipshared/{e18e => bb16}/src/keymaps.vhd | 0 .../ipshared/{e18e => bb16}/src/membrane.vhd | 0 .../ipshared/{e18e => bb16}/src/ps2_keyb.vhd | 0 .../{e18e => bb16}/src/special_keys.v | 0 srcs/sources/bd/zxnexys/sim/zxnexys.v | 24 +- .../synth/audio_DC_blocker_0_0.v | 84 - .../synth/audio_DC_blocker_1_0.v | 84 - .../synth/audio_IIR_filter_0_0.v | 123 - .../synth/audio_audio_filter_control_0_0.v | 113 - .../sim/audio_audio_mono_0_0.v | 3 +- .../synth/audio_audio_mono_0_0.v | 5 +- .../sim/audio_audio_mono_1_0.v | 3 +- .../synth/audio_audio_mono_1_0.v | 5 +- .../synth/audio_audio_prefilter_0_0.v | 72 - .../synth/audio_audio_prefilter_1_0.v | 72 - .../sim/audio_audio_sync_0_1.v | 76 + .../synth/audio_audio_sync_0_1.v | 77 + .../synth/audio_twos_complement_0_0.v | 72 - .../synth/audio_twos_complement_1_0.v | 72 - .../zxnexys/srcs/sources/bd/audio/sim/audio.v | 100 +- .../srcs/sources/bd/audio/synth/audio.v | 100 +- .../bd/keyboard/hdl/keyboard_wrapper.v | 26 +- .../keyboard_dist_mem_gen_0_0.mif | 64 + .../keyboard_dist_mem_gen_0_0.xci | 107 + .../keyboard_dist_mem_gen_0_0.xml | 878 + .../keyboard_dist_mem_gen_0_0_ooc.xdc | 56 + .../sim/keyboard_dist_mem_gen_0_0.v | 124 + .../synth/keyboard_dist_mem_gen_0_0.vhd | 187 + .../sim/keyboard_membrane_stick_0_0.v | 107 + .../synth/keyboard_membrane_stick_0_0.v | 108 + .../keyboard_util_vector_logic_0_0.xci} | 22 +- .../keyboard_util_vector_logic_0_0.xml | 267 + .../sim/keyboard_util_vector_logic_0_0.v | 74 + .../synth/keyboard_util_vector_logic_0_0.v | 75 + .../keyboard_xlconcat_0_0.xci | 436 + .../keyboard_xlconcat_0_0.xml | 5065 ++ .../sim/keyboard_xlconcat_0_0.v | 330 + .../synth/keyboard_xlconcat_0_0.v | 334 + .../keyboard_xlslice_0_0.xci | 56 + .../keyboard_xlslice_0_0.xml} | 187 +- .../sim/keyboard_xlslice_0_0.v | 72 + .../synth/keyboard_xlslice_0_0.v | 73 + .../keyboard_xlslice_1_0.xci | 57 + .../keyboard_xlslice_1_0.xml | 235 + .../sim/keyboard_xlslice_1_0.v | 72 + .../synth/keyboard_xlslice_1_0.v | 73 + .../keyboard_xlslice_1_1.xci | 56 + .../keyboard_xlslice_1_1.xml} | 187 +- .../sim/keyboard_xlslice_1_1.v | 72 + .../synth/keyboard_xlslice_1_1.v | 73 + .../0bf5/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd | 2491 + .../0bf5/simulation/dist_mem_gen_v8_0.v | 579 + .../ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v | 25 + .../3f90/hdl/util_vector_logic_v2_0_vl_rfs.v | 80 + .../ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v | 1041 + .../srcs/sources/bd/keyboard/sim/keyboard.v | 76 +- .../srcs/sources/bd/keyboard/synth/keyboard.v | 76 +- .../srcs/sources/imports/MISTer/iir_filter.v | 223 - .../ZXNext/input/membrane/membrane_stick.vhd | 190 + .../imports/ZXNext/ram/init/keyjoy_64_6.coe | 66 + .../sources/new/audio/audio_filter_control.v | 114 - .../srcs/sources/new/audio/audio_mono.v | 16 +- .../srcs/sources/new/audio/audio_prefilter.v | 34 - .../srcs/sources/new/audio/twos_complement.v | 32 - .../sources_1/imports}/hdl/audio_wrapper.v | 32 +- srcs/sources/bd/zxnexys/synth/zxnexys.hwdef | Bin 36855 -> 36950 bytes srcs/sources/bd/zxnexys/synth/zxnexys.v | 24 +- srcs/sources/bd/zxnexys/ui/bd_ddd9ecbd.ui | 374 +- srcs/sources/bd/zxnexys/zxnexys.bd | 58 +- srcs/sources/bd/zxnexys/zxnexys.bda | 34 +- srcs/sources/bd/zxnexys/zxnexys.bxml | 8 +- .../ZXNext/input/membrane/membrane_stick.vhd | 190 + .../imports/ZXNext/ram/init/keyjoy_64_6.coe | 66 + srcs/sources/new/audio/audio_mono.v | 16 +- .../sources_1/imports/hdl/audio_wrapper.v | 94 + synth/zxaudio/zxaudio.xpr | 474 +- .../imports/synth_1/keyboard_wrapper.dcp | Bin 0 -> 49721 bytes synth/zxkeyboard/zxkeyboard.xpr | 154 +- synth/zxnexys/ip_upgrade.log | 400 + .../imports/synth_1/zxnexys_wrapper.dcp | Bin 136192 -> 0 bytes synth/zxnexys/zxnexys.xpr | 216 +- 600 files changed, 127862 insertions(+), 194129 deletions(-) rename srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/sim/audio_audio_prefilter_0_0.v => ip/zxaudio/sim/audio_audio_prefilter_0_1.v (98%) rename srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/sim/audio_audio_sync_1_0.v => ip/zxaudio/sim/audio_audio_sync_0_1.v (98%) rename srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_prefilter_0_0.v => ip/zxaudio/src/audio_audio_prefilter_0_1.v (94%) rename srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/synth/audio_audio_sync_1_0.v => ip/zxaudio/src/audio_audio_sync_0_1.v (95%) create mode 100644 ip/zxaudio/xgui/zxaudio_v2_4.tcl rename srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/sim/audio_IIR_filter_0_0.v => ip/zxkeyboard/sim/keyboard_membrane_stick_0_0.v (53%) create mode 100644 ip/zxkeyboard/src/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xci create mode 100644 ip/zxkeyboard/src/keyboard_membrane_stick_0_0.v create mode 100644 ip/zxkeyboard/src/keyboard_membrane_stick_0_0_ooc.xdc rename srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0.xci => ip/zxkeyboard/src/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci (70%) create mode 100644 ip/zxkeyboard/src/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci rename srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.xci => ip/zxkeyboard/src/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci (66%) create mode 100644 ip/zxkeyboard/src/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci create mode 100644 ip/zxkeyboard/src/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci create mode 100644 ip/zxkeyboard/src/keyjoy_64_6.coe create mode 100644 ip/zxkeyboard/src/keyjoy_sdpram_64_6/keyjoy_sdpram_64_6.xci create mode 100644 ip/zxkeyboard/src/membrane_stick.vhd create mode 100644 ip/zxkeyboard/xgui/zxkeyboard_v2_4.tcl delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.xci delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.xml delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.v delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0.xci delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0.xml delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_sim_netlist.v delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_sim_netlist.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_stub.v delete mode 100644 srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_stub.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.xci delete mode 100644 srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.xml delete mode 100644 srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.v delete mode 100644 srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.v delete mode 100644 srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0.xci delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.v delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.v delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_sim_netlist.v delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_sim_netlist.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_sim_netlist.v delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_sim_netlist.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_stub.v delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_stub.vhdl create mode 100644 srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1.dcp rename srcs/sources/bd/audio/ip/{audio_audio_sync_1_0/audio_audio_sync_1_0.xci => audio_audio_sync_0_1/audio_audio_sync_0_1.xci} (98%) rename srcs/sources/bd/audio/ip/{audio_audio_sync_1_0/audio_audio_sync_1_0.xml => audio_audio_sync_0_1/audio_audio_sync_0_1.xml} (92%) rename srcs/sources/bd/audio/ip/{audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.v => audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.v} (97%) rename srcs/sources/bd/audio/ip/{audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.vhdl => audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.vhdl} (94%) rename srcs/sources/bd/audio/ip/{audio_audio_sync_1_0/audio_audio_sync_1_0_stub.v => audio_audio_sync_0_1/audio_audio_sync_0_1_stub.v} (82%) rename srcs/sources/bd/audio/ip/{audio_audio_sync_1_0/audio_audio_sync_1_0_stub.vhdl => audio_audio_sync_0_1/audio_audio_sync_0_1_stub.vhdl} (78%) rename srcs/sources/bd/{zxnexys/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/sim/audio_DC_blocker_1_0.v => audio/ip/audio_audio_sync_0_1/sim/audio_audio_sync_0_1.v} (88%) rename srcs/sources/bd/{zxnexys/ipshared/b927/src/audio_audio_sync_1_0.v => audio/ip/audio_audio_sync_0_1/synth/audio_audio_sync_0_1.v} (95%) delete mode 100644 srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.v delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0.dcp delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_sim_netlist.v delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_sim_netlist.vhdl delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_stub.v delete mode 100644 srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_stub.vhdl create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.dcp create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.mif create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xci create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xml create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_ooc.xdc create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.vhdl rename srcs/sources/bd/{audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.v => keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.v} (63%) rename srcs/sources/bd/{audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.vhdl => keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.vhdl} (50%) rename srcs/sources/bd/{zxnexys/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/sim/audio_audio_filter_control_0_0.v => keyboard/ip/keyboard_dist_mem_gen_0_0/sim/keyboard_dist_mem_gen_0_0.v} (64%) create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/synth/keyboard_dist_mem_gen_0_0.vhd create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.dcp create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.xci rename srcs/sources/bd/{audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0.xml => keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.xml} (65%) create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.vhdl create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.vhdl create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/sim/keyboard_membrane_stick_0_0.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/synth/keyboard_membrane_stick_0_0.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.dcp rename srcs/sources/bd/{audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0.xci => keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci} (70%) create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xml rename srcs/sources/bd/{audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.v => keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.v} (56%) create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.vhdl rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.v => keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.v} (63%) rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.vhdl => keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.vhdl} (53%) create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/sim/keyboard_util_vector_logic_0_0.v rename srcs/sources/bd/{zxnexys/ipshared/b927/src/audio_audio_prefilter_1_0.v => keyboard/ip/keyboard_util_vector_logic_0_0/synth/keyboard_util_vector_logic_0_0.v} (74%) create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xml create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/sim/keyboard_xlconcat_0_0.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/synth/keyboard_xlconcat_0_0.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0.xml => keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xml} (50%) create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/sim/keyboard_xlslice_0_0.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/synth/keyboard_xlslice_0_0.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xml create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/sim/keyboard_xlslice_1_0.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/synth/keyboard_xlslice_1_0.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.xml => keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xml} (50%) create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/sim/keyboard_xlslice_1_1.v create mode 100644 srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/synth/keyboard_xlslice_1_1.v create mode 100644 srcs/sources/bd/keyboard/ipshared/0bf5/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd create mode 100644 srcs/sources/bd/keyboard/ipshared/0bf5/simulation/dist_mem_gen_v8_0.v create mode 100644 srcs/sources/bd/keyboard/ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v create mode 100644 srcs/sources/bd/keyboard/ipshared/3f90/hdl/util_vector_logic_v2_0_vl_rfs.v create mode 100644 srcs/sources/bd/keyboard/ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v rename srcs/sources/bd/zxnexys/ipshared/{b927/src => 2ed7/sim}/audio.v (60%) rename srcs/sources/bd/{audio/ip/audio_DC_blocker_0_0 => zxnexys/ipshared/2ed7}/sim/audio_DC_blocker_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_DC_blocker_1_0 => zxnexys/ipshared/2ed7}/sim/audio_DC_blocker_1_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_IIR_filter_0_0 => zxnexys/ipshared/2ed7}/sim/audio_IIR_filter_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_audio_filter_control_0_0 => zxnexys/ipshared/2ed7}/sim/audio_audio_filter_control_0_0.v (100%) create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/sim/audio_audio_mono_0_0.v create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/sim/audio_audio_mono_1_0.v rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_0_0 => zxnexys/ipshared/2ed7}/sim/audio_audio_prefilter_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_1_0 => zxnexys/ipshared/2ed7}/sim/audio_audio_prefilter_1_0.v (100%) create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/sim/audio_audio_psg_0_0.v create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/sim/audio_audio_reset_0_0.v rename srcs/sources/bd/zxnexys/{srcs/sources/bd/audio/ip/audio_twos_complement_0_0/sim/audio_twos_complement_0_0.v => ipshared/2ed7/sim/audio_audio_scaler_0_0.v} (93%) rename srcs/sources/bd/zxnexys/{srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/sim/audio_audio_prefilter_1_0.v => ipshared/2ed7/sim/audio_audio_scaler_1_0.v} (93%) create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/sim/audio_audio_sync_0_0.v rename srcs/sources/bd/{audio/ip/audio_audio_sync_1_0 => zxnexys/ipshared/2ed7}/sim/audio_audio_sync_1_0.v (100%) create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/sim/audio_i2s_transceiver_0_0.v create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/sim/audio_sigma_delta_dac_0_0.v create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/sim/audio_sigma_delta_dac_1_0.v rename srcs/sources/bd/zxnexys/{srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/sim/audio_DC_blocker_0_0.v => ipshared/2ed7/sim/audio_tape_ear_0_0.v} (89%) rename srcs/sources/bd/zxnexys/{srcs/sources/bd/audio/ip/audio_twos_complement_1_0/sim/audio_twos_complement_1_0.v => ipshared/2ed7/sim/audio_tape_mic_0_0.v} (94%) rename srcs/sources/bd/{audio/ip/audio_twos_complement_0_0 => zxnexys/ipshared/2ed7}/sim/audio_twos_complement_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_twos_complement_1_0 => zxnexys/ipshared/2ed7}/sim/audio_twos_complement_1_0.v (100%) create mode 100644 srcs/sources/bd/zxnexys/ipshared/2ed7/src/audio.v rename srcs/sources/bd/{audio/ip/audio_DC_blocker_0_0/synth => zxnexys/ipshared/2ed7/src}/audio_DC_blocker_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_DC_blocker_1_0/synth => zxnexys/ipshared/2ed7/src}/audio_DC_blocker_1_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_IIR_filter_0_0/synth => zxnexys/ipshared/2ed7/src}/audio_IIR_filter_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_audio_filter_control_0_0/synth => zxnexys/ipshared/2ed7/src}/audio_audio_filter_control_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_audio_mono_0_0.v (96%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_audio_mono_1_0.v (96%) rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_0_0/synth => zxnexys/ipshared/2ed7/src}/audio_audio_prefilter_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_1_0/synth => zxnexys/ipshared/2ed7/src}/audio_audio_prefilter_1_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_audio_psg_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_audio_reset_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_audio_scaler_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_audio_scaler_1_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_audio_sync_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_audio_sync_1_0/synth => zxnexys/ipshared/2ed7/src}/audio_audio_sync_1_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_filter_control.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_i2s_transceiver_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_mono.v (74%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_prefilter.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_psg.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_reset.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_scaler.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_sigma_delta_dac_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_sigma_delta_dac_1_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_sync.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_tape_ear_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_tape_mic_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_twos_complement_0_0/synth => zxnexys/ipshared/2ed7/src}/audio_twos_complement_0_0.v (100%) rename srcs/sources/bd/{audio/ip/audio_twos_complement_1_0/synth => zxnexys/ipshared/2ed7/src}/audio_twos_complement_1_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/audio_wrapper.v (84%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/i2s_transceiver.vhd (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/iir_filter.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/sigma_delta_dac.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/tape_ear.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/tape_mic.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{b927 => 2ed7}/src/twos_complement.v (100%) delete mode 100644 srcs/sources/bd/zxnexys/ipshared/b927/src/audio_DC_blocker_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/ipshared/b927/src/audio_DC_blocker_1_0.v delete mode 100644 srcs/sources/bd/zxnexys/ipshared/b927/src/audio_IIR_filter_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_filter_control_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/ipshared/b927/src/audio_twos_complement_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/ipshared/b927/src/audio_twos_complement_1_0.v rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/Ps2Interface.vhd (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/SyncAsync.vhd (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/emu_fnkeys.vhd (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyb_clocks.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyboard.v (71%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyboard_Ps2Interface_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyboard_emu_fnkeys_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyboard_keyb_clocks_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyboard_membrane_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyboard_ps2_keyb_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyboard_special_keys_0_0.v (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keyboard_wrapper.v (77%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/keymaps.vhd (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/membrane.vhd (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/ps2_keyb.vhd (100%) rename srcs/sources/bd/zxnexys/ipshared/{e18e => bb16}/src/special_keys.v (100%) delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/synth/audio_DC_blocker_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/synth/audio_DC_blocker_1_0.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/synth/audio_IIR_filter_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/synth/audio_audio_filter_control_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/synth/audio_audio_prefilter_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/synth/audio_audio_prefilter_1_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/sim/audio_audio_sync_0_1.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/synth/audio_audio_sync_0_1.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/synth/audio_twos_complement_0_0.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/synth/audio_twos_complement_1_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.mif create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xci create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xml create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_ooc.xdc create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/sim/keyboard_dist_mem_gen_0_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/synth/keyboard_dist_mem_gen_0_0.vhd create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/sim/keyboard_membrane_stick_0_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/synth/keyboard_membrane_stick_0_0.v rename srcs/sources/bd/{audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0.xci => zxnexys/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci} (70%) create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xml create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/sim/keyboard_util_vector_logic_0_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/synth/keyboard_util_vector_logic_0_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xml create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/sim/keyboard_xlconcat_0_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/synth/keyboard_xlconcat_0_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci rename srcs/sources/bd/{audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0.xml => zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xml} (50%) create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/sim/keyboard_xlslice_0_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/synth/keyboard_xlslice_0_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xml create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/sim/keyboard_xlslice_1_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/synth/keyboard_xlslice_1_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci rename srcs/sources/bd/{audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0.xml => zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xml} (50%) create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/sim/keyboard_xlslice_1_1.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/synth/keyboard_xlslice_1_1.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ipshared/0bf5/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ipshared/0bf5/simulation/dist_mem_gen_v8_0.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ipshared/3f90/hdl/util_vector_logic_v2_0_vl_rfs.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/bd/keyboard/ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/imports/MISTer/iir_filter.v create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/imports/ZXNext/input/membrane/membrane_stick.vhd create mode 100644 srcs/sources/bd/zxnexys/srcs/sources/imports/ZXNext/ram/init/keyjoy_64_6.coe delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/new/audio/audio_filter_control.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/new/audio/audio_prefilter.v delete mode 100644 srcs/sources/bd/zxnexys/srcs/sources/new/audio/twos_complement.v rename srcs/sources/bd/zxnexys/{srcs/sources/bd/audio => synth/zxaudio/zxaudio.srcs/sources_1/imports}/hdl/audio_wrapper.v (84%) create mode 100644 srcs/sources/imports/ZXNext/input/membrane/membrane_stick.vhd create mode 100644 srcs/sources/imports/ZXNext/ram/init/keyjoy_64_6.coe create mode 100644 synth/zxaudio/zxaudio.srcs/sources_1/imports/hdl/audio_wrapper.v create mode 100644 synth/zxkeyboard/zxkeyboard.srcs/utils_1/imports/synth_1/keyboard_wrapper.dcp delete mode 100644 synth/zxnexys/zxnexys.srcs/utils_1/imports/synth_1/zxnexys_wrapper.dcp diff --git a/ip/zxaudio/component.xml b/ip/zxaudio/component.xml index ba3731ac..0800b1d6 100644 --- a/ip/zxaudio/component.xml +++ b/ip/zxaudio/component.xml @@ -3,7 +3,7 @@ specnext.com specnext zxaudio - 2.3 + 2.4 audio @@ -63,7 +63,7 @@ - true + true @@ -149,7 +149,7 @@ viewChecksum - 5ede5047 + ef2e2443 @@ -165,7 +165,7 @@ viewChecksum - b454b664 + e622d493 @@ -179,20 +179,16 @@ viewChecksum - 2d0354fb + f41f09c1 - audio_left + aud_sd - in - - 12 - 0 - + out wire @@ -200,15 +196,16 @@ xilinx_anylanguagebehavioralsimulation - - 0 - - audio_pwm + audio_left - out + in + + 12 + 0 + wire @@ -216,14 +213,10 @@ xilinx_anylanguagebehavioralsimulation + + 0 + - - - - false - - - audio_right @@ -245,26 +238,6 @@ - - audio_sd - - out - - - wire - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - - false - - - - clk_audio @@ -306,7 +279,7 @@ - true + true @@ -326,7 +299,7 @@ - true + true @@ -346,7 +319,7 @@ - true + true @@ -369,7 +342,7 @@ - true + true @@ -389,7 +362,7 @@ - true + true @@ -409,7 +382,7 @@ - true + true @@ -429,7 +402,7 @@ - true + true @@ -449,7 +422,7 @@ - true + true @@ -510,7 +483,7 @@ - tape_pwm + aud_pwm out @@ -521,163 +494,148 @@ - - - - true - - - - - - tape_sd - - out - - - wire - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - - true - - - + + + mono_tape + Mono Tape + 1 + + + + + choice_pairs_de212931 + 0 + 1 + + xilinx_anylanguagesynthesis_view_fileset - src/audio_ooc.xdc - xdc + src/audio_DC_blocker_0_0.v + verilogSource IMPORTED_FILE - SCOPED_TO_REF_audio - USED_IN_out_of_context - src/audio.v + src/audio_audio_prefilter_0_0.v verilogSource IMPORTED_FILE - src/audio_DC_blocker_0_0.v + src/audio_audio_reset_0_0.v verilogSource IMPORTED_FILE - src/audio_DC_blocker_1_0.v + src/audio_audio_scaler_0_0.v verilogSource IMPORTED_FILE - src/audio_IIR_filter_0_0.v + src/audio_audio_scaler_1_0.v verilogSource IMPORTED_FILE - src/audio_audio_filter_control_0_0.v + src/audio_audio_sync_0_0.v verilogSource IMPORTED_FILE - src/audio_audio_mono_0_0.v + src/audio_audio_sync_1_0.v verilogSource IMPORTED_FILE - src/audio_audio_mono_1_0.v + src/audio_i2s_transceiver_0_0.v verilogSource IMPORTED_FILE - src/audio_audio_prefilter_0_0.v + src/audio_sigma_delta_dac_0_0.v verilogSource IMPORTED_FILE - src/audio_audio_prefilter_1_0.v + src/audio_tape_ear_0_0.v verilogSource IMPORTED_FILE - src/audio_audio_psg_0_0.v + src/audio_tape_mic_0_0.v verilogSource IMPORTED_FILE - src/audio_audio_reset_0_0.v + src/audio_IIR_filter_0_0.v verilogSource IMPORTED_FILE - src/audio_audio_scaler_0_0.v + src/audio_twos_complement_0_0.v verilogSource IMPORTED_FILE - src/audio_audio_scaler_1_0.v + src/audio_audio_prefilter_1_0.v verilogSource IMPORTED_FILE - src/audio_audio_sync_0_0.v + src/audio_audio_psg_0_0.v verilogSource IMPORTED_FILE - src/audio_audio_sync_1_0.v + src/audio_sigma_delta_dac_1_0.v verilogSource IMPORTED_FILE - src/audio_filter_control.v + src/audio_twos_complement_1_0.v verilogSource IMPORTED_FILE - src/audio_i2s_transceiver_0_0.v + src/audio_DC_blocker_1_0.v verilogSource IMPORTED_FILE - src/audio_mono.v + src/audio_audio_filter_control_0_0.v verilogSource IMPORTED_FILE - src/audio_prefilter.v + src/audio_audio_mono_0_0.v verilogSource IMPORTED_FILE - src/audio_psg.v + src/audio_audio_mono_1_0.v verilogSource IMPORTED_FILE - src/audio_reset.v + src/iir_filter.v verilogSource IMPORTED_FILE - src/audio_scaler.v + src/audio_prefilter.v verilogSource IMPORTED_FILE - src/audio_sigma_delta_dac_0_0.v + src/audio_reset.v verilogSource IMPORTED_FILE - src/audio_sigma_delta_dac_1_0.v + src/audio_scaler.v verilogSource IMPORTED_FILE @@ -687,247 +645,271 @@ IMPORTED_FILE - src/audio_tape_ear_0_0.v - verilogSource + src/i2s_transceiver.vhd + vhdlSource IMPORTED_FILE - src/audio_tape_mic_0_0.v + src/sigma_delta_dac.v verilogSource IMPORTED_FILE - src/audio_twos_complement_0_0.v + src/tape_ear.v verilogSource IMPORTED_FILE - src/audio_twos_complement_1_0.v + src/tape_mic.v verilogSource IMPORTED_FILE - src/iir_filter.v + src/twos_complement.v verilogSource IMPORTED_FILE - src/sigma_delta_dac.v + src/audio_psg.v verilogSource IMPORTED_FILE - src/tape_ear.v + src/audio_filter_control.v verilogSource IMPORTED_FILE - src/tape_mic.v + src/audio_mono.v verilogSource IMPORTED_FILE - src/twos_complement.v + src/audio.v verilogSource IMPORTED_FILE - src/i2s_transceiver.vhd - vhdlSource + src/audio_ooc.xdc + xdc IMPORTED_FILE + SCOPED_TO_REF_audio + USED_IN_out_of_context src/audio_wrapper.v verilogSource - CHECKSUM_3f7698e0 + CHECKSUM_47df0a42 IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/synth/audio_DC_blocker_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_reset_0_0/synth/audio_audio_reset_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/synth/audio_audio_prefilter_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/synth/audio_audio_scaler_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_reset_0_0/synth/audio_audio_reset_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/synth/audio_audio_scaler_1_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/synth/audio_audio_scaler_0_0.v + ../../srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/synth/audio_i2s_transceiver_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/synth/audio_audio_scaler_1_0.v + ../../srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/synth/audio_sigma_delta_dac_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_sync_0_0/synth/audio_audio_sync_0_0.v + ../../srcs/sources/bd/audio/ip/audio_tape_ear_0_0/synth/audio_tape_ear_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_sync_1_0/synth/audio_audio_sync_1_0.v + ../../srcs/sources/bd/audio/ip/audio_tape_mic_0_0/synth/audio_tape_mic_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/synth/audio_i2s_transceiver_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_psg_0_0/synth/audio_audio_psg_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/synth/audio_sigma_delta_dac_0_0.v + ../../srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/synth/audio_sigma_delta_dac_1_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_tape_ear_0_0/synth/audio_tape_ear_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_mono_0_0/synth/audio_audio_mono_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_tape_mic_0_0/synth/audio_tape_mic_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_mono_1_0/synth/audio_audio_mono_1_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/synth/audio_IIR_filter_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_sync_0_0/synth/audio_audio_sync_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_twos_complement_0_0/synth/audio_twos_complement_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_sync_0_1/synth/audio_audio_sync_0_1.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_mono_1_0/synth/audio_audio_mono_1_0.v + ../../srcs/sources/new/audio/audio_reset.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_mono_0_0/synth/audio_audio_mono_0_0.v + ../../srcs/sources/new/audio/audio_scaler.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/synth/audio_audio_prefilter_1_0.v + ../../srcs/sources/imports/digikey/i2s_transceiver.vhd + vhdlSource + + + ../../srcs/sources/imports/MISTer/sigma_delta_dac.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_psg_0_0/synth/audio_audio_psg_0_0.v + ../../srcs/sources/new/tape/tape_ear.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/synth/audio_sigma_delta_dac_1_0.v + ../../srcs/sources/new/tape/tape_mic.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_twos_complement_1_0/synth/audio_twos_complement_1_0.v + ../../srcs/sources/new/audio/audio_psg.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/synth/audio_DC_blocker_1_0.v + ../../srcs/sources/new/audio/audio_mono.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/synth/audio_audio_filter_control_0_0.v + ../../srcs/sources/new/audio/audio_sync.v verilogSource - ../../srcs/sources/imports/MISTer/iir_filter.v + ../../srcs/sources/bd/audio/synth/audio.v verilogSource - ../../srcs/sources/new/audio/audio_prefilter.v + ../../srcs/sources/bd/audio/audio_ooc.xdc + xdc + SCOPED_TO_REF_audio + USED_IN_out_of_context + + + ../../synth/zxaudio/zxaudio.srcs/sources_1/imports/hdl/audio_wrapper.v verilogSource + CHECKSUM_47df0a42 + + + xilinx_anylanguagebehavioralsimulation_view_fileset - ../../srcs/sources/new/audio/audio_reset.v + sim/audio_DC_blocker_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/new/audio/audio_scaler.v + sim/audio_audio_prefilter_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/new/audio/audio_sync.v + sim/audio_audio_reset_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/imports/digikey/i2s_transceiver.vhd - vhdlSource + sim/audio_audio_scaler_0_0.v + verilogSource + IMPORTED_FILE - ../../srcs/sources/imports/MISTer/sigma_delta_dac.v + sim/audio_audio_scaler_1_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/new/tape/tape_ear.v + sim/audio_audio_sync_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/new/tape/tape_mic.v + sim/audio_audio_sync_1_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/new/audio/twos_complement.v + sim/audio_i2s_transceiver_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/new/audio/audio_mono.v + sim/audio_sigma_delta_dac_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/new/audio/audio_psg.v + sim/audio_tape_ear_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/new/audio/audio_filter_control.v + sim/audio_tape_mic_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/synth/audio.v + sim/audio_IIR_filter_0_0.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/audio_ooc.xdc - xdc - SCOPED_TO_REF_audio - USED_IN_out_of_context + sim/audio_twos_complement_0_0.v + verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/hdl/audio_wrapper.v + sim/audio_audio_prefilter_1_0.v verilogSource - CHECKSUM_3f7698e0 + IMPORTED_FILE - - - xilinx_anylanguagebehavioralsimulation_view_fileset - src/audio_filter_control.v + sim/audio_audio_psg_0_0.v verilogSource IMPORTED_FILE - src/audio_mono.v + sim/audio_sigma_delta_dac_1_0.v verilogSource IMPORTED_FILE - src/audio_prefilter.v + sim/audio_twos_complement_1_0.v verilogSource IMPORTED_FILE - src/audio_psg.v + sim/audio_DC_blocker_1_0.v verilogSource IMPORTED_FILE - src/audio_reset.v + sim/audio_audio_filter_control_0_0.v verilogSource IMPORTED_FILE - src/audio_scaler.v + sim/audio_audio_mono_0_0.v verilogSource IMPORTED_FILE - src/audio_sync.v + sim/audio_audio_mono_1_0.v verilogSource IMPORTED_FILE @@ -937,22 +919,22 @@ IMPORTED_FILE - src/sigma_delta_dac.v + src/audio_prefilter.v verilogSource IMPORTED_FILE - src/tape_ear.v + src/audio_reset.v verilogSource IMPORTED_FILE - src/tape_mic.v + src/audio_scaler.v verilogSource IMPORTED_FILE - src/twos_complement.v + src/audio_sync.v verilogSource IMPORTED_FILE @@ -962,72 +944,76 @@ IMPORTED_FILE - src/audio_wrapper.v + src/sigma_delta_dac.v verilogSource IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/sim/audio_DC_blocker_0_0.v - verilogSource - - - ../../srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/sim/audio_audio_prefilter_0_0.v + src/tape_ear.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_audio_reset_0_0/sim/audio_audio_reset_0_0.v + src/tape_mic.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/sim/audio_audio_scaler_0_0.v + src/twos_complement.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/sim/audio_audio_scaler_1_0.v + src/audio_psg.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_audio_sync_0_0/sim/audio_audio_sync_0_0.v + src/audio_filter_control.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_audio_sync_1_0/sim/audio_audio_sync_1_0.v + src/audio_mono.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/sim/audio_i2s_transceiver_0_0.v + sim/audio.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/sim/audio_sigma_delta_dac_0_0.v + src/audio_wrapper.v verilogSource + IMPORTED_FILE - ../../srcs/sources/bd/audio/ip/audio_tape_ear_0_0/sim/audio_tape_ear_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_reset_0_0/sim/audio_audio_reset_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_tape_mic_0_0/sim/audio_tape_mic_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/sim/audio_audio_scaler_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/sim/audio_IIR_filter_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/sim/audio_audio_scaler_1_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_twos_complement_0_0/sim/audio_twos_complement_0_0.v + ../../srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/sim/audio_i2s_transceiver_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_mono_1_0/sim/audio_audio_mono_1_0.v + ../../srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/sim/audio_sigma_delta_dac_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_mono_0_0/sim/audio_audio_mono_0_0.v + ../../srcs/sources/bd/audio/ip/audio_tape_ear_0_0/sim/audio_tape_ear_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/sim/audio_audio_prefilter_1_0.v + ../../srcs/sources/bd/audio/ip/audio_tape_mic_0_0/sim/audio_tape_mic_0_0.v verilogSource @@ -1039,23 +1025,19 @@ verilogSource - ../../srcs/sources/bd/audio/ip/audio_twos_complement_1_0/sim/audio_twos_complement_1_0.v - verilogSource - - - ../../srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/sim/audio_DC_blocker_1_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_mono_0_0/sim/audio_audio_mono_0_0.v verilogSource - ../../srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/sim/audio_audio_filter_control_0_0.v + ../../srcs/sources/bd/audio/ip/audio_audio_mono_1_0/sim/audio_audio_mono_1_0.v verilogSource - ../../srcs/sources/imports/MISTer/iir_filter.v + ../../srcs/sources/bd/audio/ip/audio_audio_sync_0_0/sim/audio_audio_sync_0_0.v verilogSource - ../../srcs/sources/new/audio/audio_prefilter.v + ../../srcs/sources/bd/audio/ip/audio_audio_sync_0_1/sim/audio_audio_sync_0_1.v verilogSource @@ -1066,10 +1048,6 @@ ../../srcs/sources/new/audio/audio_scaler.v verilogSource - - ../../srcs/sources/new/audio/audio_sync.v - verilogSource - ../../srcs/sources/imports/digikey/i2s_transceiver.vhd vhdlSource @@ -1087,7 +1065,7 @@ verilogSource - ../../srcs/sources/new/audio/twos_complement.v + ../../srcs/sources/new/audio/audio_psg.v verilogSource @@ -1095,11 +1073,7 @@ verilogSource - ../../srcs/sources/new/audio/audio_psg.v - verilogSource - - - ../../srcs/sources/new/audio/audio_filter_control.v + ../../srcs/sources/new/audio/audio_sync.v verilogSource @@ -1107,30 +1081,40 @@ verilogSource - ../../srcs/sources/bd/audio/hdl/audio_wrapper.v + ../../synth/zxaudio/zxaudio.srcs/sources_1/imports/hdl/audio_wrapper.v verilogSource xilinx_xpgui_view_fileset - xgui/zxaudio_v2_3.tcl + xgui/zxaudio_v2_4.tcl tclSource - CHECKSUM_2d0354fb + CHECKSUM_f41f09c1 XGUI_VERSION_2 - zxaudio_v2_3 + zxaudio_v2_4 Component_Name audio_wrapper_v1_0 - PMOD_I2S2 - Pmod I2s2 - true + mono_tape + PWM Output + 1 + + + i2s2_out + I2s2 Out + true + + + i2s2_in + I2s2 In + true @@ -1141,13 +1125,13 @@ /specnext - zxaudio_v2_3 + zxaudio_v2_4 package_project - 5 + 21 specnext.com:specnext:audio_wrapper:1.0 - 2021-12-22T19:06:38Z + 2021-12-29T09:08:19Z v:/ip/zxaudio v:/ip/zxaudio @@ -1224,14 +1208,210 @@ v:/ip/zxaudio v:/ip/zxaudio v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio + v:/ip/zxaudio 2021.2 - - - - + + + + + diff --git a/ip/zxaudio/sim/audio.v b/ip/zxaudio/sim/audio.v index 7a04b17f..40e23dbc 100644 --- a/ip/zxaudio/sim/audio.v +++ b/ip/zxaudio/sim/audio.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 12:12:24 2021 +//Date : Wed Dec 29 09:01:01 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target audio.bd //Design : audio @@ -9,12 +9,12 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CORE_GENERATION_INFO = "audio,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=audio,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=21,numReposBlks=21,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=21,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "audio.hwdef" *) +(* CORE_GENERATION_INFO = "audio,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=audio,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=13,numReposBlks=13,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=13,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "audio.hwdef" *) module audio - (audio_left, + (aud_sd, + audio_left, audio_pwm, audio_right, - audio_sd, clk_audio, clk_peripheral, linein_lrck, @@ -29,12 +29,11 @@ module audio reset, tape_ear, tape_mic, - tape_pwm, - tape_sd); + tape_pwm); + output aud_sd; input [12:0]audio_left; output audio_pwm; input [12:0]audio_right; - output audio_sd; (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_AUDIO CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_AUDIO, CLK_DOMAIN audio_clk_audio, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_audio; (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_PERIPHERAL CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_PERIPHERAL, CLK_DOMAIN audio_clk_peripheral, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_peripheral; output linein_lrck; @@ -50,35 +49,16 @@ module audio output tape_ear; input tape_mic; output tape_pwm; - output tape_sd; - wire [15:0]IIR_filter_0_output_l; - wire [15:0]IIR_filter_0_output_r; - wire [39:0]audio_filter_control_0_cx; - wire [7:0]audio_filter_control_0_cx0; - wire [7:0]audio_filter_control_0_cx1; - wire [7:0]audio_filter_control_0_cx2; - wire [23:0]audio_filter_control_0_cy0; - wire [23:0]audio_filter_control_0_cy1; - wire [23:0]audio_filter_control_0_cy2; - wire audio_filter_control_0_dc_ce; - wire audio_filter_control_0_dc_mute; - wire audio_filter_control_0_dc_sample_rate; - wire audio_filter_control_0_iir_ce; - wire audio_filter_control_0_iir_sample_ce; wire [12:0]audio_left_1; wire [15:0]audio_mono_0_mono_out; wire [15:0]audio_mono_1_mono_out; - wire [15:0]audio_prefilter_0_dout; - wire [15:0]audio_prefilter_1_dout; wire audio_psg_0_psg_en; wire audio_reset_0_rst; wire audio_reset_0_rstn; wire [12:0]audio_right_1; wire [15:0]audio_scaler_0_dout; - wire [15:0]audio_scaler_0_dout1; wire [15:0]audio_scaler_1_dout; - wire [15:0]audio_scaler_1_dout1; wire [12:0]audio_sync_0_dout; wire [12:0]audio_sync_1_dout; wire clk_audio_1; @@ -95,13 +75,11 @@ module audio wire tape_ear_0_ear; wire [15:0]tape_mic_0_dout; wire tape_mic_1; - wire [15:0]twos_complement_0_dout; - wire [15:0]twos_complement_1_dout; + assign aud_sd = audio_reset_0_rstn; assign audio_left_1 = audio_left[12:0]; assign audio_pwm = sigma_delta_dac_0_DACout; assign audio_right_1 = audio_right[12:0]; - assign audio_sd = audio_reset_0_rstn; assign clk_audio_1 = clk_audio; assign clk_peripheral_1 = clk_peripheral; assign linein_lrck = i2s_transceiver_0_ws; @@ -117,52 +95,6 @@ module audio assign tape_ear = tape_ear_0_ear; assign tape_mic_1 = tape_mic; assign tape_pwm = sigma_delta_dac_1_DACout; - assign tape_sd = audio_reset_0_rstn; - audio_DC_blocker_0_0 DC_blocker_0 - (.ce(audio_filter_control_0_dc_ce), - .clk(clk_audio_1), - .din(IIR_filter_0_output_l), - .dout(audio_scaler_0_dout), - .mute(audio_filter_control_0_dc_mute), - .sample_rate(audio_filter_control_0_dc_sample_rate)); - audio_DC_blocker_1_0 DC_blocker_1 - (.ce(audio_filter_control_0_dc_ce), - .clk(clk_audio_1), - .din(IIR_filter_0_output_r), - .dout(audio_scaler_1_dout), - .mute(audio_filter_control_0_dc_mute), - .sample_rate(audio_filter_control_0_dc_sample_rate)); - audio_IIR_filter_0_0 IIR_filter_0 - (.ce(audio_filter_control_0_iir_ce), - .clk(clk_audio_1), - .cx(audio_filter_control_0_cx), - .cx0(audio_filter_control_0_cx0), - .cx1(audio_filter_control_0_cx1), - .cx2(audio_filter_control_0_cx2), - .cy0(audio_filter_control_0_cy0), - .cy1(audio_filter_control_0_cy1), - .cy2(audio_filter_control_0_cy2), - .input_l(twos_complement_0_dout), - .input_r(twos_complement_1_dout), - .output_l(IIR_filter_0_output_l), - .output_r(IIR_filter_0_output_r), - .reset(audio_reset_0_rst), - .sample_ce(audio_filter_control_0_iir_sample_ce)); - audio_audio_filter_control_0_0 audio_filter_control_0 - (.clk(clk_audio_1), - .cx(audio_filter_control_0_cx), - .cx0(audio_filter_control_0_cx0), - .cx1(audio_filter_control_0_cx1), - .cx2(audio_filter_control_0_cx2), - .cy0(audio_filter_control_0_cy0), - .cy1(audio_filter_control_0_cy1), - .cy2(audio_filter_control_0_cy2), - .dc_ce(audio_filter_control_0_dc_ce), - .dc_mute(audio_filter_control_0_dc_mute), - .dc_sample_rate(audio_filter_control_0_dc_sample_rate), - .iir_ce(audio_filter_control_0_iir_ce), - .iir_sample_ce(audio_filter_control_0_iir_sample_ce), - .reset(audio_reset_0_rst)); audio_audio_mono_0_0 audio_mono_0 (.left_in(audio_scaler_0_dout), .mono_out(audio_mono_0_mono_out), @@ -171,12 +103,6 @@ module audio (.left_in(i2s_transceiver_0_l_data_rx), .mono_out(audio_mono_1_mono_out), .right_in(i2s_transceiver_0_r_data_rx)); - audio_audio_prefilter_0_0 audio_prefilter_0 - (.din(audio_scaler_0_dout1), - .dout(audio_prefilter_0_dout)); - audio_audio_prefilter_1_0 audio_prefilter_1 - (.din(audio_scaler_1_dout1), - .dout(audio_prefilter_1_dout)); audio_audio_psg_0_0 audio_psg_0 (.clk_peripheral(clk_peripheral_1), .psg_en(audio_psg_0_psg_en)); @@ -187,15 +113,15 @@ module audio .rstn(audio_reset_0_rstn)); audio_audio_scaler_0_0 audio_scaler_0 (.din(audio_sync_0_dout), - .dout(audio_scaler_0_dout1)); + .dout(audio_scaler_0_dout)); audio_audio_scaler_1_0 audio_scaler_1 (.din(audio_sync_1_dout), - .dout(audio_scaler_1_dout1)); + .dout(audio_scaler_1_dout)); audio_audio_sync_0_0 audio_sync_0 (.clk(clk_audio_1), .din(audio_left_1), .dout(audio_sync_0_dout)); - audio_audio_sync_1_0 audio_sync_1 + audio_audio_sync_0_1 audio_sync_1 (.clk(clk_audio_1), .din(audio_right_1), .dout(audio_sync_1_dout)); @@ -227,10 +153,4 @@ module audio audio_tape_mic_0_0 tape_mic_0 (.din(tape_mic_1), .dout(tape_mic_0_dout)); - audio_twos_complement_0_0 twos_complement_0 - (.din(audio_prefilter_0_dout), - .dout(twos_complement_0_dout)); - audio_twos_complement_1_0 twos_complement_1 - (.din(audio_prefilter_1_dout), - .dout(twos_complement_1_dout)); endmodule diff --git a/ip/zxaudio/sim/audio_audio_mono_0_0.v b/ip/zxaudio/sim/audio_audio_mono_0_0.v index a9620d56..7adf834d 100644 --- a/ip/zxaudio/sim/audio_audio_mono_0_0.v +++ b/ip/zxaudio/sim/audio_audio_mono_0_0.v @@ -65,7 +65,8 @@ input wire [15 : 0] right_in; output wire [15 : 0] mono_out; audio_mono #( - .AUDIO_DW(16) + .AUDIO_DW(16), + .SHIFT(1) ) inst ( .left_in(left_in), .right_in(right_in), diff --git a/ip/zxaudio/sim/audio_audio_mono_1_0.v b/ip/zxaudio/sim/audio_audio_mono_1_0.v index dd92142c..4497f2bd 100644 --- a/ip/zxaudio/sim/audio_audio_mono_1_0.v +++ b/ip/zxaudio/sim/audio_audio_mono_1_0.v @@ -65,7 +65,8 @@ input wire [15 : 0] right_in; output wire [15 : 0] mono_out; audio_mono #( - .AUDIO_DW(16) + .AUDIO_DW(16), + .SHIFT(1) ) inst ( .left_in(left_in), .right_in(right_in), diff --git a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/sim/audio_audio_prefilter_0_0.v b/ip/zxaudio/sim/audio_audio_prefilter_0_1.v similarity index 98% rename from srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/sim/audio_audio_prefilter_0_0.v rename to ip/zxaudio/sim/audio_audio_prefilter_0_1.v index 7f6efffe..df37760e 100644 --- a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/sim/audio_audio_prefilter_0_0.v +++ b/ip/zxaudio/sim/audio_audio_prefilter_0_1.v @@ -54,7 +54,7 @@ (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_audio_prefilter_0_0 ( +module audio_audio_prefilter_0_1 ( din, dout ); diff --git a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/sim/audio_audio_sync_1_0.v b/ip/zxaudio/sim/audio_audio_sync_0_1.v similarity index 98% rename from srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/sim/audio_audio_sync_1_0.v rename to ip/zxaudio/sim/audio_audio_sync_0_1.v index cd17413d..91020e73 100644 --- a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/sim/audio_audio_sync_1_0.v +++ b/ip/zxaudio/sim/audio_audio_sync_0_1.v @@ -54,7 +54,7 @@ (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_audio_sync_1_0 ( +module audio_audio_sync_0_1 ( din, dout, clk diff --git a/ip/zxaudio/src/audio.v b/ip/zxaudio/src/audio.v index 7a04b17f..40e23dbc 100644 --- a/ip/zxaudio/src/audio.v +++ b/ip/zxaudio/src/audio.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 12:12:24 2021 +//Date : Wed Dec 29 09:01:01 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target audio.bd //Design : audio @@ -9,12 +9,12 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CORE_GENERATION_INFO = "audio,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=audio,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=21,numReposBlks=21,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=21,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "audio.hwdef" *) +(* CORE_GENERATION_INFO = "audio,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=audio,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=13,numReposBlks=13,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=13,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "audio.hwdef" *) module audio - (audio_left, + (aud_sd, + audio_left, audio_pwm, audio_right, - audio_sd, clk_audio, clk_peripheral, linein_lrck, @@ -29,12 +29,11 @@ module audio reset, tape_ear, tape_mic, - tape_pwm, - tape_sd); + tape_pwm); + output aud_sd; input [12:0]audio_left; output audio_pwm; input [12:0]audio_right; - output audio_sd; (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_AUDIO CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_AUDIO, CLK_DOMAIN audio_clk_audio, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_audio; (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_PERIPHERAL CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_PERIPHERAL, CLK_DOMAIN audio_clk_peripheral, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_peripheral; output linein_lrck; @@ -50,35 +49,16 @@ module audio output tape_ear; input tape_mic; output tape_pwm; - output tape_sd; - wire [15:0]IIR_filter_0_output_l; - wire [15:0]IIR_filter_0_output_r; - wire [39:0]audio_filter_control_0_cx; - wire [7:0]audio_filter_control_0_cx0; - wire [7:0]audio_filter_control_0_cx1; - wire [7:0]audio_filter_control_0_cx2; - wire [23:0]audio_filter_control_0_cy0; - wire [23:0]audio_filter_control_0_cy1; - wire [23:0]audio_filter_control_0_cy2; - wire audio_filter_control_0_dc_ce; - wire audio_filter_control_0_dc_mute; - wire audio_filter_control_0_dc_sample_rate; - wire audio_filter_control_0_iir_ce; - wire audio_filter_control_0_iir_sample_ce; wire [12:0]audio_left_1; wire [15:0]audio_mono_0_mono_out; wire [15:0]audio_mono_1_mono_out; - wire [15:0]audio_prefilter_0_dout; - wire [15:0]audio_prefilter_1_dout; wire audio_psg_0_psg_en; wire audio_reset_0_rst; wire audio_reset_0_rstn; wire [12:0]audio_right_1; wire [15:0]audio_scaler_0_dout; - wire [15:0]audio_scaler_0_dout1; wire [15:0]audio_scaler_1_dout; - wire [15:0]audio_scaler_1_dout1; wire [12:0]audio_sync_0_dout; wire [12:0]audio_sync_1_dout; wire clk_audio_1; @@ -95,13 +75,11 @@ module audio wire tape_ear_0_ear; wire [15:0]tape_mic_0_dout; wire tape_mic_1; - wire [15:0]twos_complement_0_dout; - wire [15:0]twos_complement_1_dout; + assign aud_sd = audio_reset_0_rstn; assign audio_left_1 = audio_left[12:0]; assign audio_pwm = sigma_delta_dac_0_DACout; assign audio_right_1 = audio_right[12:0]; - assign audio_sd = audio_reset_0_rstn; assign clk_audio_1 = clk_audio; assign clk_peripheral_1 = clk_peripheral; assign linein_lrck = i2s_transceiver_0_ws; @@ -117,52 +95,6 @@ module audio assign tape_ear = tape_ear_0_ear; assign tape_mic_1 = tape_mic; assign tape_pwm = sigma_delta_dac_1_DACout; - assign tape_sd = audio_reset_0_rstn; - audio_DC_blocker_0_0 DC_blocker_0 - (.ce(audio_filter_control_0_dc_ce), - .clk(clk_audio_1), - .din(IIR_filter_0_output_l), - .dout(audio_scaler_0_dout), - .mute(audio_filter_control_0_dc_mute), - .sample_rate(audio_filter_control_0_dc_sample_rate)); - audio_DC_blocker_1_0 DC_blocker_1 - (.ce(audio_filter_control_0_dc_ce), - .clk(clk_audio_1), - .din(IIR_filter_0_output_r), - .dout(audio_scaler_1_dout), - .mute(audio_filter_control_0_dc_mute), - .sample_rate(audio_filter_control_0_dc_sample_rate)); - audio_IIR_filter_0_0 IIR_filter_0 - (.ce(audio_filter_control_0_iir_ce), - .clk(clk_audio_1), - .cx(audio_filter_control_0_cx), - .cx0(audio_filter_control_0_cx0), - .cx1(audio_filter_control_0_cx1), - .cx2(audio_filter_control_0_cx2), - .cy0(audio_filter_control_0_cy0), - .cy1(audio_filter_control_0_cy1), - .cy2(audio_filter_control_0_cy2), - .input_l(twos_complement_0_dout), - .input_r(twos_complement_1_dout), - .output_l(IIR_filter_0_output_l), - .output_r(IIR_filter_0_output_r), - .reset(audio_reset_0_rst), - .sample_ce(audio_filter_control_0_iir_sample_ce)); - audio_audio_filter_control_0_0 audio_filter_control_0 - (.clk(clk_audio_1), - .cx(audio_filter_control_0_cx), - .cx0(audio_filter_control_0_cx0), - .cx1(audio_filter_control_0_cx1), - .cx2(audio_filter_control_0_cx2), - .cy0(audio_filter_control_0_cy0), - .cy1(audio_filter_control_0_cy1), - .cy2(audio_filter_control_0_cy2), - .dc_ce(audio_filter_control_0_dc_ce), - .dc_mute(audio_filter_control_0_dc_mute), - .dc_sample_rate(audio_filter_control_0_dc_sample_rate), - .iir_ce(audio_filter_control_0_iir_ce), - .iir_sample_ce(audio_filter_control_0_iir_sample_ce), - .reset(audio_reset_0_rst)); audio_audio_mono_0_0 audio_mono_0 (.left_in(audio_scaler_0_dout), .mono_out(audio_mono_0_mono_out), @@ -171,12 +103,6 @@ module audio (.left_in(i2s_transceiver_0_l_data_rx), .mono_out(audio_mono_1_mono_out), .right_in(i2s_transceiver_0_r_data_rx)); - audio_audio_prefilter_0_0 audio_prefilter_0 - (.din(audio_scaler_0_dout1), - .dout(audio_prefilter_0_dout)); - audio_audio_prefilter_1_0 audio_prefilter_1 - (.din(audio_scaler_1_dout1), - .dout(audio_prefilter_1_dout)); audio_audio_psg_0_0 audio_psg_0 (.clk_peripheral(clk_peripheral_1), .psg_en(audio_psg_0_psg_en)); @@ -187,15 +113,15 @@ module audio .rstn(audio_reset_0_rstn)); audio_audio_scaler_0_0 audio_scaler_0 (.din(audio_sync_0_dout), - .dout(audio_scaler_0_dout1)); + .dout(audio_scaler_0_dout)); audio_audio_scaler_1_0 audio_scaler_1 (.din(audio_sync_1_dout), - .dout(audio_scaler_1_dout1)); + .dout(audio_scaler_1_dout)); audio_audio_sync_0_0 audio_sync_0 (.clk(clk_audio_1), .din(audio_left_1), .dout(audio_sync_0_dout)); - audio_audio_sync_1_0 audio_sync_1 + audio_audio_sync_0_1 audio_sync_1 (.clk(clk_audio_1), .din(audio_right_1), .dout(audio_sync_1_dout)); @@ -227,10 +153,4 @@ module audio audio_tape_mic_0_0 tape_mic_0 (.din(tape_mic_1), .dout(tape_mic_0_dout)); - audio_twos_complement_0_0 twos_complement_0 - (.din(audio_prefilter_0_dout), - .dout(twos_complement_0_dout)); - audio_twos_complement_1_0 twos_complement_1 - (.din(audio_prefilter_1_dout), - .dout(twos_complement_1_dout)); endmodule diff --git a/ip/zxaudio/src/audio_audio_mono_0_0.v b/ip/zxaudio/src/audio_audio_mono_0_0.v index 6f75fae4..6d129196 100644 --- a/ip/zxaudio/src/audio_audio_mono_0_0.v +++ b/ip/zxaudio/src/audio_audio_mono_0_0.v @@ -52,7 +52,7 @@ (* X_CORE_INFO = "audio_mono,Vivado 2021.2" *) (* CHECK_LICENSE_TYPE = "audio_audio_mono_0_0,audio_mono,{}" *) -(* CORE_GENERATION_INFO = "audio_audio_mono_0_0,audio_mono,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_mono,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16}" *) +(* CORE_GENERATION_INFO = "audio_audio_mono_0_0,audio_mono,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_mono,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16,SHIFT=1}" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module audio_audio_mono_0_0 ( @@ -66,7 +66,8 @@ input wire [15 : 0] right_in; output wire [15 : 0] mono_out; audio_mono #( - .AUDIO_DW(16) + .AUDIO_DW(16), + .SHIFT(1) ) inst ( .left_in(left_in), .right_in(right_in), diff --git a/ip/zxaudio/src/audio_audio_mono_1_0.v b/ip/zxaudio/src/audio_audio_mono_1_0.v index 767e0df5..76482068 100644 --- a/ip/zxaudio/src/audio_audio_mono_1_0.v +++ b/ip/zxaudio/src/audio_audio_mono_1_0.v @@ -52,7 +52,7 @@ (* X_CORE_INFO = "audio_mono,Vivado 2021.2" *) (* CHECK_LICENSE_TYPE = "audio_audio_mono_1_0,audio_mono,{}" *) -(* CORE_GENERATION_INFO = "audio_audio_mono_1_0,audio_mono,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_mono,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16}" *) +(* CORE_GENERATION_INFO = "audio_audio_mono_1_0,audio_mono,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_mono,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16,SHIFT=1}" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module audio_audio_mono_1_0 ( @@ -66,7 +66,8 @@ input wire [15 : 0] right_in; output wire [15 : 0] mono_out; audio_mono #( - .AUDIO_DW(16) + .AUDIO_DW(16), + .SHIFT(1) ) inst ( .left_in(left_in), .right_in(right_in), diff --git a/srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_prefilter_0_0.v b/ip/zxaudio/src/audio_audio_prefilter_0_1.v similarity index 94% rename from srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_prefilter_0_0.v rename to ip/zxaudio/src/audio_audio_prefilter_0_1.v index 2bb96c72..c7b94fe3 100644 --- a/srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_prefilter_0_0.v +++ b/ip/zxaudio/src/audio_audio_prefilter_0_1.v @@ -51,11 +51,11 @@ // IP Revision: 1 (* X_CORE_INFO = "audio_prefilter,Vivado 2021.2" *) -(* CHECK_LICENSE_TYPE = "audio_audio_prefilter_0_0,audio_prefilter,{}" *) -(* CORE_GENERATION_INFO = "audio_audio_prefilter_0_0,audio_prefilter,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_prefilter,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16}" *) +(* CHECK_LICENSE_TYPE = "audio_audio_prefilter_0_1,audio_prefilter,{}" *) +(* CORE_GENERATION_INFO = "audio_audio_prefilter_0_1,audio_prefilter,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_prefilter,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16}" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_audio_prefilter_0_0 ( +module audio_audio_prefilter_0_1 ( din, dout ); diff --git a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/synth/audio_audio_sync_1_0.v b/ip/zxaudio/src/audio_audio_sync_0_1.v similarity index 95% rename from srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/synth/audio_audio_sync_1_0.v rename to ip/zxaudio/src/audio_audio_sync_0_1.v index 3ae05974..136a1620 100644 --- a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/synth/audio_audio_sync_1_0.v +++ b/ip/zxaudio/src/audio_audio_sync_0_1.v @@ -51,11 +51,11 @@ // IP Revision: 1 (* X_CORE_INFO = "audio_sync,Vivado 2021.2" *) -(* CHECK_LICENSE_TYPE = "audio_audio_sync_1_0,audio_sync,{}" *) -(* CORE_GENERATION_INFO = "audio_audio_sync_1_0,audio_sync,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_sync,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=13}" *) +(* CHECK_LICENSE_TYPE = "audio_audio_sync_0_1,audio_sync,{}" *) +(* CORE_GENERATION_INFO = "audio_audio_sync_0_1,audio_sync,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_sync,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=13}" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_audio_sync_1_0 ( +module audio_audio_sync_0_1 ( din, dout, clk diff --git a/ip/zxaudio/src/audio_mono.v b/ip/zxaudio/src/audio_mono.v index 30773891..13293d9a 100644 --- a/ip/zxaudio/src/audio_mono.v +++ b/ip/zxaudio/src/audio_mono.v @@ -23,7 +23,8 @@ module audio_mono #( - parameter AUDIO_DW = 16 + parameter AUDIO_DW = 16, + parameter SHIFT = 1 )( input [AUDIO_DW-1:0] left_in, input [AUDIO_DW-1:0] right_in, @@ -31,14 +32,11 @@ module audio_mono #( output [AUDIO_DW-1:0] mono_out ); - wire [AUDIO_DW+7:0] l; - wire [AUDIO_DW+7:0] r; - wire [AUDIO_DW+7:0] m; + wire [AUDIO_DW-1:0] l; + wire [AUDIO_DW-1:0] r; - assign l = {{8{ left_in[AUDIO_DW-1]}}, left_in[AUDIO_DW-1:0]}; - assign r = {{8{right_in[AUDIO_DW-1]}},right_in[AUDIO_DW-1:0]}; - assign m = l + r; - - assign mono_out = {m[AUDIO_DW+7], m[AUDIO_DW-1:1]}; + assign l = left_in >>> SHIFT; + assign r = right_in >>> SHIFT; + assign mono_out = l + r; endmodule diff --git a/ip/zxaudio/src/audio_wrapper.v b/ip/zxaudio/src/audio_wrapper.v index 0a2defe0..d1444bce 100644 --- a/ip/zxaudio/src/audio_wrapper.v +++ b/ip/zxaudio/src/audio_wrapper.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 12:12:24 2021 +//Date : Tue Dec 28 12:15:23 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target audio_wrapper.bd //Design : audio_wrapper @@ -9,11 +9,11 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -module audio_wrapper - (audio_left, - audio_pwm, +module audio_wrapper #( + parameter mono_tape = 1 + )(aud_sd, + audio_left, audio_right, - audio_sd, clk_audio, clk_peripheral, linein_lrck, @@ -28,12 +28,10 @@ module audio_wrapper reset, tape_ear, tape_mic, - tape_pwm, - tape_sd); + aud_pwm); + output aud_sd; input [12:0]audio_left; - output audio_pwm; input [12:0]audio_right; - output audio_sd; input clk_audio; input clk_peripheral; output linein_lrck; @@ -48,13 +46,12 @@ module audio_wrapper input reset; output tape_ear; input tape_mic; - output tape_pwm; - output tape_sd; + output aud_pwm; + wire aud_sd; wire [12:0]audio_left; wire audio_pwm; wire [12:0]audio_right; - wire audio_sd; wire clk_audio; wire clk_peripheral; wire linein_lrck; @@ -70,13 +67,15 @@ module audio_wrapper wire tape_ear; wire tape_mic; wire tape_pwm; - wire tape_sd; + wire aud_pwm; + + assign aud_pwm = mono_tape ? tape_pwm : audio_pwm; audio audio_i - (.audio_left(audio_left), + (.aud_sd(aud_sd), + .audio_left(audio_left), .audio_pwm(audio_pwm), .audio_right(audio_right), - .audio_sd(audio_sd), .clk_audio(clk_audio), .clk_peripheral(clk_peripheral), .linein_lrck(linein_lrck), @@ -91,6 +90,5 @@ module audio_wrapper .reset(reset), .tape_ear(tape_ear), .tape_mic(tape_mic), - .tape_pwm(tape_pwm), - .tape_sd(tape_sd)); + .tape_pwm(tape_pwm)); endmodule diff --git a/ip/zxaudio/xgui/zxaudio_v2_3.tcl b/ip/zxaudio/xgui/zxaudio_v2_3.tcl index 9bd88a3c..d34a6731 100644 --- a/ip/zxaudio/xgui/zxaudio_v2_3.tcl +++ b/ip/zxaudio/xgui/zxaudio_v2_3.tcl @@ -3,18 +3,43 @@ proc init_gui { IPINST } { ipgui::add_param $IPINST -name "Component_Name" #Adding Page set Page_0 [ipgui::add_page $IPINST -name "Page 0"] - ipgui::add_param $IPINST -name "PMOD_I2S2" -parent ${Page_0} + ipgui::add_param $IPINST -name "mono_tape" -parent ${Page_0} -widget comboBox + ipgui::add_param $IPINST -name "i2s2_out" -parent ${Page_0} + ipgui::add_param $IPINST -name "i2s2_in" -parent ${Page_0} } -proc update_PARAM_VALUE.PMOD_I2S2 { PARAM_VALUE.PMOD_I2S2 } { - # Procedure called to update PMOD_I2S2 when any of the dependent parameters in the arguments change +proc update_PARAM_VALUE.i2s2_in { PARAM_VALUE.i2s2_in } { + # Procedure called to update i2s2_in when any of the dependent parameters in the arguments change } -proc validate_PARAM_VALUE.PMOD_I2S2 { PARAM_VALUE.PMOD_I2S2 } { - # Procedure called to validate PMOD_I2S2 +proc validate_PARAM_VALUE.i2s2_in { PARAM_VALUE.i2s2_in } { + # Procedure called to validate i2s2_in return true } +proc update_PARAM_VALUE.i2s2_out { PARAM_VALUE.i2s2_out } { + # Procedure called to update i2s2_out when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.i2s2_out { PARAM_VALUE.i2s2_out } { + # Procedure called to validate i2s2_out + return true +} + +proc update_PARAM_VALUE.mono_tape { PARAM_VALUE.mono_tape } { + # Procedure called to update mono_tape when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.mono_tape { PARAM_VALUE.mono_tape } { + # Procedure called to validate mono_tape + return true +} + + +proc update_MODELPARAM_VALUE.mono_tape { MODELPARAM_VALUE.mono_tape PARAM_VALUE.mono_tape } { + # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value + set_property value [get_property value ${PARAM_VALUE.mono_tape}] ${MODELPARAM_VALUE.mono_tape} +} diff --git a/ip/zxaudio/xgui/zxaudio_v2_4.tcl b/ip/zxaudio/xgui/zxaudio_v2_4.tcl new file mode 100644 index 00000000..d34a6731 --- /dev/null +++ b/ip/zxaudio/xgui/zxaudio_v2_4.tcl @@ -0,0 +1,45 @@ +# Definitional proc to organize widgets for parameters. +proc init_gui { IPINST } { + ipgui::add_param $IPINST -name "Component_Name" + #Adding Page + set Page_0 [ipgui::add_page $IPINST -name "Page 0"] + ipgui::add_param $IPINST -name "mono_tape" -parent ${Page_0} -widget comboBox + ipgui::add_param $IPINST -name "i2s2_out" -parent ${Page_0} + ipgui::add_param $IPINST -name "i2s2_in" -parent ${Page_0} + + +} + +proc update_PARAM_VALUE.i2s2_in { PARAM_VALUE.i2s2_in } { + # Procedure called to update i2s2_in when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.i2s2_in { PARAM_VALUE.i2s2_in } { + # Procedure called to validate i2s2_in + return true +} + +proc update_PARAM_VALUE.i2s2_out { PARAM_VALUE.i2s2_out } { + # Procedure called to update i2s2_out when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.i2s2_out { PARAM_VALUE.i2s2_out } { + # Procedure called to validate i2s2_out + return true +} + +proc update_PARAM_VALUE.mono_tape { PARAM_VALUE.mono_tape } { + # Procedure called to update mono_tape when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.mono_tape { PARAM_VALUE.mono_tape } { + # Procedure called to validate mono_tape + return true +} + + +proc update_MODELPARAM_VALUE.mono_tape { MODELPARAM_VALUE.mono_tape PARAM_VALUE.mono_tape } { + # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value + set_property value [get_property value ${PARAM_VALUE.mono_tape}] ${MODELPARAM_VALUE.mono_tape} +} + diff --git a/ip/zxkeyboard/component.xml b/ip/zxkeyboard/component.xml index 12fc9537..9efca601 100644 --- a/ip/zxkeyboard/component.xml +++ b/ip/zxkeyboard/component.xml @@ -3,7 +3,7 @@ specnext.com specnext zxkeyboard - 2.3 + 2.4 keyboard @@ -83,6 +83,14 @@ keymap_we + + + joymap_we + + + joymap_we + + @@ -235,6 +243,61 @@ + + joystick + + + + + + + joy_io_mode_en + + + joy_io_mode_en + + + + + joy_left + + + joy_left + + + + + joy_left_type + + + joy_left_type + + + + + joy_right_type + + + joy_right_type + + + + + joy_right + + + joy_right + + + + + + + true + + + + @@ -247,13 +310,25 @@ xilinx_anylanguagesynthesis_xilinx_com_ip_xlconstant_1_1__ref_view_fileset + + xilinx_anylanguagesynthesis_xilinx_com_ip_dist_mem_gen_8_0__ref_view_fileset + + + xilinx_anylanguagesynthesis_xilinx_com_ip_xlslice_1_0__ref_view_fileset + + + xilinx_anylanguagesynthesis_xilinx_com_ip_util_vector_logic_2_0__ref_view_fileset + + + xilinx_anylanguagesynthesis_xilinx_com_ip_xlconcat_2_1__ref_view_fileset + xilinx_anylanguagesynthesis_view_fileset viewChecksum - d7494bab + 74e71ab7 @@ -266,13 +341,25 @@ xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_xlconstant_1_1__ref_view_fileset + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_dist_mem_gen_8_0__ref_view_fileset + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_xlslice_1_0__ref_view_fileset + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_util_vector_logic_2_0__ref_view_fileset + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_xlconcat_2_1__ref_view_fileset + xilinx_anylanguagebehavioralsimulation_view_fileset viewChecksum - 08496f4b + 4b390eeb @@ -286,7 +373,7 @@ viewChecksum - f64a5dae + 560cdf21 @@ -368,6 +455,100 @@ + + joy_io_mode_en + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + joy_left + + in + + 10 + 0 + + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + joy_left_type + + in + + 2 + 0 + + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + joy_right + + in + + 10 + 0 + + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + joy_right_type + + in + + 2 + 0 + + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + joymap_we + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + keymap_addr @@ -698,6 +879,14 @@ ../../srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/synth/keyboard_membrane_0_0.v verilogSource + + ../../srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/synth/keyboard_membrane_stick_0_0.v + verilogSource + + + ../../srcs/sources/imports/ZXNext/ram/init/keyjoy_64_6.coe + coe + ../../srcs/sources/imports/ZXNext/input/keyboard/keymaps.vhd vhdlSource @@ -730,6 +919,20 @@ ../../srcs/sources/imports/ZXNext/input/membrane/membrane.vhd vhdlSource + + ../../srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci + xci + CELL_NAME_util_vector_logic_0 + + + ../../srcs/sources/imports/ZXNext/input/membrane/membrane_stick.vhd + vhdlSource + + + ../../srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xci + xci + CELL_NAME_udk_map_0 + ../../srcs/sources/bd/keyboard/ip/keyboard_xlconstant_high_0/keyboard_xlconstant_high_0.xci xci @@ -740,6 +943,26 @@ xci CELL_NAME_xlconstant_selftest_ok + + ../../srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci + xci + CELL_NAME_xlslice_0 + + + ../../srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci + xci + CELL_NAME_xlslice_1 + + + ../../srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci + xci + CELL_NAME_xlslice_2 + + + ../../srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci + xci + CELL_NAME_xlconcat_0 + ../../srcs/sources/bd/keyboard/synth/keyboard.v verilogSource @@ -753,7 +976,7 @@ ../../srcs/sources/bd/keyboard/hdl/keyboard_wrapper.v verilogSource - CHECKSUM_dde669f7 + CHECKSUM_4bece4ef @@ -766,6 +989,46 @@ + + xilinx_anylanguagesynthesis_xilinx_com_ip_dist_mem_gen_8_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagesynthesis_xilinx_com_ip_xlslice_1_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagesynthesis_xilinx_com_ip_util_vector_logic_2_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagesynthesis_xilinx_com_ip_xlconcat_2_1__ref_view_fileset + + + + + + + + xilinx_anylanguagebehavioralsimulation_view_fileset @@ -849,6 +1112,14 @@ ../../srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/sim/keyboard_membrane_0_0.v verilogSource + + ../../srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/sim/keyboard_membrane_stick_0_0.v + verilogSource + + + ../../srcs/sources/imports/ZXNext/ram/init/keyjoy_64_6.coe + coe + ../../srcs/sources/imports/ZXNext/input/keyboard/keymaps.vhd vhdlSource @@ -881,6 +1152,20 @@ ../../srcs/sources/imports/ZXNext/input/membrane/membrane.vhd vhdlSource + + ../../srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci + xci + CELL_NAME_util_vector_logic_0 + + + ../../srcs/sources/imports/ZXNext/input/membrane/membrane_stick.vhd + vhdlSource + + + ../../srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xci + xci + CELL_NAME_udk_map_0 + ../../srcs/sources/bd/keyboard/ip/keyboard_xlconstant_high_0/keyboard_xlconstant_high_0.xci xci @@ -891,6 +1176,26 @@ xci CELL_NAME_xlconstant_selftest_ok + + ../../srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci + xci + CELL_NAME_xlslice_0 + + + ../../srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci + xci + CELL_NAME_xlslice_1 + + + ../../srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci + xci + CELL_NAME_xlslice_2 + + + ../../srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci + xci + CELL_NAME_xlconcat_0 + ../../srcs/sources/bd/keyboard/sim/keyboard.v verilogSource @@ -910,22 +1215,67 @@ + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_dist_mem_gen_8_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_xlslice_1_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_util_vector_logic_2_0__ref_view_fileset + + + + + + + + + + xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_xlconcat_2_1__ref_view_fileset + + + + + + + + xilinx_xpgui_view_fileset - xgui/zxkeyboard_v2_3.tcl + xgui/zxkeyboard_v2_4.tcl tclSource - CHECKSUM_f64a5dae + CHECKSUM_560cdf21 XGUI_VERSION_2 - zxkeyboard_v2_3 + zxkeyboard_v2_4 Component_Name keyboard_wrapper_v1_0 + + joystick + Joystick support + true + @@ -935,10 +1285,10 @@ /specnext - zxkeyboard_v2_3 + zxkeyboard_v2_4 package_project - 5 - 2021-12-22T20:16:43Z + 11 + 2021-12-28T18:59:11Z v:/ip/zxkeyboard v:/ip/zxkeyboard @@ -999,14 +1349,101 @@ v:/ip/zxkeyboard v:/ip/zxkeyboard v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard + v:/ip/zxkeyboard 2021.2 - - - - + + + + diff --git a/ip/zxkeyboard/sim/keyboard.v b/ip/zxkeyboard/sim/keyboard.v index 58479950..eda967fd 100644 --- a/ip/zxkeyboard/sim/keyboard.v +++ b/ip/zxkeyboard/sim/keyboard.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 14:11:29 2021 +//Date : Tue Dec 28 18:55:34 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target keyboard.bd //Design : keyboard @@ -9,13 +9,19 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CORE_GENERATION_INFO = "keyboard,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=keyboard,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=8,numReposBlks=8,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=6,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "keyboard.hwdef" *) +(* CORE_GENERATION_INFO = "keyboard,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=keyboard,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=15,numReposBlks=15,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=7,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "keyboard.hwdef" *) module keyboard (cancel, clk_peripheral, clk_peripheral_n, column, extended_keys, + joy_io_mode_en, + joy_left, + joy_left_type, + joy_right, + joy_right_type, + joymap_we, keymap_addr, keymap_data, keymap_we, @@ -34,6 +40,12 @@ module keyboard (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_PERIPHERAL_N CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_PERIPHERAL_N, CLK_DOMAIN keyboard_clk_peripheral_n, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_peripheral_n; output [4:0]column; output [15:0]extended_keys; + input joy_io_mode_en; + input [10:0]joy_left; + input [2:0]joy_left_type; + input [10:0]joy_right; + input [2:0]joy_right_type; + input joymap_we; input [8:0]keymap_addr; input [7:0]keymap_data; input keymap_we; @@ -57,9 +69,16 @@ module keyboard wire cancel_1; wire clk_peripheral_1; wire clk_peripheral_n_1; + wire [5:0]dist_mem_gen_0_dpo; wire [4:0]emu_fnkeys_0_o_cols_filtered; wire [10:1]emu_fnkeys_0_o_fnkeys; wire [7:0]emu_fnkeys_0_o_rows_filtered; + wire joy_io_mode_en_1; + wire [10:0]joy_left_1; + wire [2:0]joy_left_type_1; + wire [10:0]joy_right_1; + wire [2:0]joy_right_type_1; + wire joymap_we_1; wire keyb_clocks_0_clk_ps2; wire keyb_clocks_0_fnkeys_enable; wire keyb_clocks_0_membrane_enable; @@ -69,6 +88,8 @@ module keyboard wire [4:0]membrane_0_o_cols; wire [15:0]membrane_0_o_extended_keys; wire [2:0]membrane_0_o_membrane_ridx; + wire [5:0]membrane_stick_0_joy_keymap_addr; + wire [6:0]membrane_stick_0_o_membrane_col; wire ps2_clk_i_1; wire ps2_data_i_1; wire ps2_keyb_0_o_divmmc_nmi_n; @@ -80,14 +101,25 @@ module keyboard wire [7:0]row_1; wire [1:0]special_keys_0_spkey_buttons; wire [10:1]special_keys_0_spkey_function; + wire [6:0]util_vector_logic_0_Res; + wire [5:0]xlconcat_0_dout; wire [7:0]xlconstant_high1_dout; wire [0:0]xlconstant_high_dout; + wire [3:0]xlslice_0_Dout; + wire [0:0]xlslice_1_Dout; + wire [5:0]xlslice_2_Dout; assign cancel_1 = cancel; assign clk_peripheral_1 = clk_peripheral; assign clk_peripheral_n_1 = clk_peripheral_n; assign column[4:0] = emu_fnkeys_0_o_cols_filtered; assign extended_keys[15:0] = membrane_0_o_extended_keys; + assign joy_io_mode_en_1 = joy_io_mode_en; + assign joy_left_1 = joy_left[10:0]; + assign joy_left_type_1 = joy_left_type[2:0]; + assign joy_right_1 = joy_right[10:0]; + assign joy_right_type_1 = joy_right_type[2:0]; + assign joymap_we_1 = joymap_we; assign keymap_addr_1 = keymap_addr[8:0]; assign keymap_data_1 = keymap_data[7:0]; assign keymap_we_1 = keymap_we; @@ -135,12 +167,25 @@ module keyboard (.i_CLK(clk_peripheral_1), .i_CLK_EN(keyb_clocks_0_membrane_enable), .i_cancel_extended_entries(cancel_1), - .i_membrane_cols(ps2_keyb_0_o_membrane_col), + .i_membrane_cols(util_vector_logic_0_Res), .i_reset(reset_1), .i_rows(emu_fnkeys_0_o_rows_filtered), .o_cols(membrane_0_o_cols), .o_extended_keys(membrane_0_o_extended_keys), .o_membrane_ridx(membrane_0_o_membrane_ridx)); + keyboard_membrane_stick_0_0 membrane_stick_0 + (.i_CLK(clk_peripheral_1), + .i_CLK_EN(keyb_clocks_0_membrane_enable), + .i_joy_en_n(joy_io_mode_en_1), + .i_joy_left(joy_left_1), + .i_joy_left_type(joy_left_type_1), + .i_joy_right(joy_right_1), + .i_joy_right_type(joy_right_type_1), + .i_membrane_row(membrane_0_o_membrane_ridx), + .i_reset(reset_1), + .joy_keymap_addr(membrane_stick_0_joy_keymap_addr), + .joy_keymap_do(dist_mem_gen_0_dpo), + .o_membrane_col(membrane_stick_0_o_membrane_col)); keyboard_ps2_keyb_0_0 ps2_keyb_0 (.i_CLK(clk_peripheral_1), .i_CLK_PS2(keyb_clocks_0_clk_ps2), @@ -164,8 +209,33 @@ module keyboard .ps2_mmc_n(ps2_keyb_0_o_divmmc_nmi_n), .spkey_buttons(special_keys_0_spkey_buttons), .spkey_function(special_keys_0_spkey_function)); + keyboard_dist_mem_gen_0_0 udk_map_0 + (.a(xlconcat_0_dout), + .clk(clk_peripheral_1), + .d(xlslice_2_Dout), + .dpo(dist_mem_gen_0_dpo), + .dpra(membrane_stick_0_joy_keymap_addr), + .we(joymap_we_1)); + keyboard_util_vector_logic_0_0 util_vector_logic_0 + (.Op1(membrane_stick_0_o_membrane_col), + .Op2(ps2_keyb_0_o_membrane_col), + .Res(util_vector_logic_0_Res)); + keyboard_xlconcat_0_0 xlconcat_0 + (.In0(xlslice_0_Dout), + .In1(xlconstant_high_dout), + .In2(xlslice_1_Dout), + .dout(xlconcat_0_dout)); keyboard_xlconstant_high_0 xlconstant_high (.dout(xlconstant_high_dout)); keyboard_xlconstant_selftest_ok_0 xlconstant_selftest_ok (.dout(xlconstant_high1_dout)); + keyboard_xlslice_0_0 xlslice_0 + (.Din(keymap_addr_1), + .Dout(xlslice_0_Dout)); + keyboard_xlslice_1_0 xlslice_1 + (.Din(keymap_addr_1), + .Dout(xlslice_1_Dout)); + keyboard_xlslice_1_1 xlslice_2 + (.Din(keymap_data_1), + .Dout(xlslice_2_Dout)); endmodule diff --git a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/sim/audio_IIR_filter_0_0.v b/ip/zxkeyboard/sim/keyboard_membrane_stick_0_0.v similarity index 53% rename from srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/sim/audio_IIR_filter_0_0.v rename to ip/zxkeyboard/sim/keyboard_membrane_stick_0_0.v index d37db346..48fcf484 100644 --- a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/sim/audio_IIR_filter_0_0.v +++ b/ip/zxkeyboard/sim/keyboard_membrane_stick_0_0.v @@ -47,76 +47,61 @@ // DO NOT MODIFY THIS FILE. -// IP VLNV: xilinx.com:module_ref:IIR_filter:1.0 +// IP VLNV: xilinx.com:module_ref:membrane_stick:1.0 // IP Revision: 1 `timescale 1ns/1ps (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_IIR_filter_0_0 ( - clk, - reset, - ce, - sample_ce, - cx, - cx0, - cx1, - cx2, - cy0, - cy1, - cy2, - input_l, - input_r, - output_l, - output_r +module keyboard_membrane_stick_0_0 ( + i_CLK, + i_CLK_EN, + i_reset, + i_joy_en_n, + i_joy_left, + i_joy_left_type, + i_joy_right, + i_joy_right_type, + i_membrane_row, + o_membrane_col, + joy_keymap_addr, + joy_keymap_do ); -(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, ASSOCIATED_RESET reset, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0" *) -(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) -input wire clk; -(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) -(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 reset RST" *) -input wire reset; -input wire ce; -input wire sample_ce; -input wire [39 : 0] cx; -input wire [7 : 0] cx0; -input wire [7 : 0] cx1; -input wire [7 : 0] cx2; -input wire [23 : 0] cy0; -input wire [23 : 0] cy1; -input wire [23 : 0] cy2; -input wire [15 : 0] input_l; -input wire [15 : 0] input_r; -output wire [15 : 0] output_l; -output wire [15 : 0] output_r; +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_CLK, ASSOCIATED_RESET i_reset, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN keyboard_clk_peripheral, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 i_CLK CLK" *) +input wire i_CLK; +input wire i_CLK_EN; +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 i_reset RST" *) +input wire i_reset; +input wire i_joy_en_n; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy left, specnext.com:specnext:joystick:1.0 i joy_left" *) +input wire [10 : 0] i_joy_left; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type left, specnext.com:specnext:joystick:1.0 i joy_left_type, specnext.com:specnext:joystick:1.0 i_type joy_left" *) +input wire [2 : 0] i_joy_left_type; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy right, specnext.com:specnext:joystick:1.0 i joy_right" *) +input wire [10 : 0] i_joy_right; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type right, specnext.com:specnext:joystick:1.0 i joy_right_type, specnext.com:specnext:joystick:1.0 i_type joy_right" *) +input wire [2 : 0] i_joy_right_type; +input wire [2 : 0] i_membrane_row; +output wire [6 : 0] o_membrane_col; +output wire [5 : 0] joy_keymap_addr; +input wire [5 : 0] joy_keymap_do; - IIR_filter #( - .use_params(0), - .stereo(1), - .coeff_x(7.7470198351366008e-06), - .coeff_x0(3), - .coeff_x1(3), - .coeff_x2(1), - .coeff_y0(-2.9643815062655108), - .coeff_y1(2.929394527351211), - .coeff_y2(-0.96500747158831091) - ) inst ( - .clk(clk), - .reset(reset), - .ce(ce), - .sample_ce(sample_ce), - .cx(cx), - .cx0(cx0), - .cx1(cx1), - .cx2(cx2), - .cy0(cy0), - .cy1(cy1), - .cy2(cy2), - .input_l(input_l), - .input_r(input_r), - .output_l(output_l), - .output_r(output_r) + membrane_stick inst ( + .i_CLK(i_CLK), + .i_CLK_EN(i_CLK_EN), + .i_reset(i_reset), + .i_joy_en_n(i_joy_en_n), + .i_joy_left(i_joy_left), + .i_joy_left_type(i_joy_left_type), + .i_joy_right(i_joy_right), + .i_joy_right_type(i_joy_right_type), + .i_membrane_row(i_membrane_row), + .o_membrane_col(o_membrane_col), + .joy_keymap_addr(joy_keymap_addr), + .joy_keymap_do(joy_keymap_do) ); endmodule diff --git a/ip/zxkeyboard/src/keyboard.v b/ip/zxkeyboard/src/keyboard.v index 58479950..eda967fd 100644 --- a/ip/zxkeyboard/src/keyboard.v +++ b/ip/zxkeyboard/src/keyboard.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 14:11:29 2021 +//Date : Tue Dec 28 18:55:34 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target keyboard.bd //Design : keyboard @@ -9,13 +9,19 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CORE_GENERATION_INFO = "keyboard,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=keyboard,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=8,numReposBlks=8,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=6,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "keyboard.hwdef" *) +(* CORE_GENERATION_INFO = "keyboard,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=keyboard,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=15,numReposBlks=15,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=7,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "keyboard.hwdef" *) module keyboard (cancel, clk_peripheral, clk_peripheral_n, column, extended_keys, + joy_io_mode_en, + joy_left, + joy_left_type, + joy_right, + joy_right_type, + joymap_we, keymap_addr, keymap_data, keymap_we, @@ -34,6 +40,12 @@ module keyboard (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_PERIPHERAL_N CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_PERIPHERAL_N, CLK_DOMAIN keyboard_clk_peripheral_n, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_peripheral_n; output [4:0]column; output [15:0]extended_keys; + input joy_io_mode_en; + input [10:0]joy_left; + input [2:0]joy_left_type; + input [10:0]joy_right; + input [2:0]joy_right_type; + input joymap_we; input [8:0]keymap_addr; input [7:0]keymap_data; input keymap_we; @@ -57,9 +69,16 @@ module keyboard wire cancel_1; wire clk_peripheral_1; wire clk_peripheral_n_1; + wire [5:0]dist_mem_gen_0_dpo; wire [4:0]emu_fnkeys_0_o_cols_filtered; wire [10:1]emu_fnkeys_0_o_fnkeys; wire [7:0]emu_fnkeys_0_o_rows_filtered; + wire joy_io_mode_en_1; + wire [10:0]joy_left_1; + wire [2:0]joy_left_type_1; + wire [10:0]joy_right_1; + wire [2:0]joy_right_type_1; + wire joymap_we_1; wire keyb_clocks_0_clk_ps2; wire keyb_clocks_0_fnkeys_enable; wire keyb_clocks_0_membrane_enable; @@ -69,6 +88,8 @@ module keyboard wire [4:0]membrane_0_o_cols; wire [15:0]membrane_0_o_extended_keys; wire [2:0]membrane_0_o_membrane_ridx; + wire [5:0]membrane_stick_0_joy_keymap_addr; + wire [6:0]membrane_stick_0_o_membrane_col; wire ps2_clk_i_1; wire ps2_data_i_1; wire ps2_keyb_0_o_divmmc_nmi_n; @@ -80,14 +101,25 @@ module keyboard wire [7:0]row_1; wire [1:0]special_keys_0_spkey_buttons; wire [10:1]special_keys_0_spkey_function; + wire [6:0]util_vector_logic_0_Res; + wire [5:0]xlconcat_0_dout; wire [7:0]xlconstant_high1_dout; wire [0:0]xlconstant_high_dout; + wire [3:0]xlslice_0_Dout; + wire [0:0]xlslice_1_Dout; + wire [5:0]xlslice_2_Dout; assign cancel_1 = cancel; assign clk_peripheral_1 = clk_peripheral; assign clk_peripheral_n_1 = clk_peripheral_n; assign column[4:0] = emu_fnkeys_0_o_cols_filtered; assign extended_keys[15:0] = membrane_0_o_extended_keys; + assign joy_io_mode_en_1 = joy_io_mode_en; + assign joy_left_1 = joy_left[10:0]; + assign joy_left_type_1 = joy_left_type[2:0]; + assign joy_right_1 = joy_right[10:0]; + assign joy_right_type_1 = joy_right_type[2:0]; + assign joymap_we_1 = joymap_we; assign keymap_addr_1 = keymap_addr[8:0]; assign keymap_data_1 = keymap_data[7:0]; assign keymap_we_1 = keymap_we; @@ -135,12 +167,25 @@ module keyboard (.i_CLK(clk_peripheral_1), .i_CLK_EN(keyb_clocks_0_membrane_enable), .i_cancel_extended_entries(cancel_1), - .i_membrane_cols(ps2_keyb_0_o_membrane_col), + .i_membrane_cols(util_vector_logic_0_Res), .i_reset(reset_1), .i_rows(emu_fnkeys_0_o_rows_filtered), .o_cols(membrane_0_o_cols), .o_extended_keys(membrane_0_o_extended_keys), .o_membrane_ridx(membrane_0_o_membrane_ridx)); + keyboard_membrane_stick_0_0 membrane_stick_0 + (.i_CLK(clk_peripheral_1), + .i_CLK_EN(keyb_clocks_0_membrane_enable), + .i_joy_en_n(joy_io_mode_en_1), + .i_joy_left(joy_left_1), + .i_joy_left_type(joy_left_type_1), + .i_joy_right(joy_right_1), + .i_joy_right_type(joy_right_type_1), + .i_membrane_row(membrane_0_o_membrane_ridx), + .i_reset(reset_1), + .joy_keymap_addr(membrane_stick_0_joy_keymap_addr), + .joy_keymap_do(dist_mem_gen_0_dpo), + .o_membrane_col(membrane_stick_0_o_membrane_col)); keyboard_ps2_keyb_0_0 ps2_keyb_0 (.i_CLK(clk_peripheral_1), .i_CLK_PS2(keyb_clocks_0_clk_ps2), @@ -164,8 +209,33 @@ module keyboard .ps2_mmc_n(ps2_keyb_0_o_divmmc_nmi_n), .spkey_buttons(special_keys_0_spkey_buttons), .spkey_function(special_keys_0_spkey_function)); + keyboard_dist_mem_gen_0_0 udk_map_0 + (.a(xlconcat_0_dout), + .clk(clk_peripheral_1), + .d(xlslice_2_Dout), + .dpo(dist_mem_gen_0_dpo), + .dpra(membrane_stick_0_joy_keymap_addr), + .we(joymap_we_1)); + keyboard_util_vector_logic_0_0 util_vector_logic_0 + (.Op1(membrane_stick_0_o_membrane_col), + .Op2(ps2_keyb_0_o_membrane_col), + .Res(util_vector_logic_0_Res)); + keyboard_xlconcat_0_0 xlconcat_0 + (.In0(xlslice_0_Dout), + .In1(xlconstant_high_dout), + .In2(xlslice_1_Dout), + .dout(xlconcat_0_dout)); keyboard_xlconstant_high_0 xlconstant_high (.dout(xlconstant_high_dout)); keyboard_xlconstant_selftest_ok_0 xlconstant_selftest_ok (.dout(xlconstant_high1_dout)); + keyboard_xlslice_0_0 xlslice_0 + (.Din(keymap_addr_1), + .Dout(xlslice_0_Dout)); + keyboard_xlslice_1_0 xlslice_1 + (.Din(keymap_addr_1), + .Dout(xlslice_1_Dout)); + keyboard_xlslice_1_1 xlslice_2 + (.Din(keymap_data_1), + .Dout(xlslice_2_Dout)); endmodule diff --git a/ip/zxkeyboard/src/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xci b/ip/zxkeyboard/src/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xci new file mode 100644 index 00000000..21909170 --- /dev/null +++ b/ip/zxkeyboard/src/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xci @@ -0,0 +1,107 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_dist_mem_gen_0_0 + + + 6 + 0 + 64 + ./ + artix7 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + keyboard_dist_mem_gen_0_0.mif + 4 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 6 + keyboard_dist_mem_gen_0_0 + 0 + ce_overrides_sync_controls + ../../../../imports/ZXNext/ram/init/keyjoy_64_6.coe + false + false + 6 + 0 + 2 + 64 + non_registered + false + false + non_registered + simple_dual_port_ram + non_registered + false + false + false + false + non_registered + false + false + false + false + false + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 13 + TRUE + . + + ../../ipshared + 2021.2 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + diff --git a/ip/zxkeyboard/src/keyboard_membrane_stick_0_0.v b/ip/zxkeyboard/src/keyboard_membrane_stick_0_0.v new file mode 100644 index 00000000..c6d73583 --- /dev/null +++ b/ip/zxkeyboard/src/keyboard_membrane_stick_0_0.v @@ -0,0 +1,108 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:module_ref:membrane_stick:1.0 +// IP Revision: 1 + +(* X_CORE_INFO = "membrane_stick,Vivado 2021.2" *) +(* CHECK_LICENSE_TYPE = "keyboard_membrane_stick_0_0,membrane_stick,{}" *) +(* CORE_GENERATION_INFO = "keyboard_membrane_stick_0_0,membrane_stick,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=membrane_stick,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *) +(* IP_DEFINITION_SOURCE = "module_ref" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_membrane_stick_0_0 ( + i_CLK, + i_CLK_EN, + i_reset, + i_joy_en_n, + i_joy_left, + i_joy_left_type, + i_joy_right, + i_joy_right_type, + i_membrane_row, + o_membrane_col, + joy_keymap_addr, + joy_keymap_do +); + +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_CLK, ASSOCIATED_RESET i_reset, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN keyboard_clk_peripheral, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 i_CLK CLK" *) +input wire i_CLK; +input wire i_CLK_EN; +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 i_reset RST" *) +input wire i_reset; +input wire i_joy_en_n; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy left, specnext.com:specnext:joystick:1.0 i joy_left" *) +input wire [10 : 0] i_joy_left; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type left, specnext.com:specnext:joystick:1.0 i joy_left_type, specnext.com:specnext:joystick:1.0 i_type joy_left" *) +input wire [2 : 0] i_joy_left_type; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy right, specnext.com:specnext:joystick:1.0 i joy_right" *) +input wire [10 : 0] i_joy_right; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type right, specnext.com:specnext:joystick:1.0 i joy_right_type, specnext.com:specnext:joystick:1.0 i_type joy_right" *) +input wire [2 : 0] i_joy_right_type; +input wire [2 : 0] i_membrane_row; +output wire [6 : 0] o_membrane_col; +output wire [5 : 0] joy_keymap_addr; +input wire [5 : 0] joy_keymap_do; + + membrane_stick inst ( + .i_CLK(i_CLK), + .i_CLK_EN(i_CLK_EN), + .i_reset(i_reset), + .i_joy_en_n(i_joy_en_n), + .i_joy_left(i_joy_left), + .i_joy_left_type(i_joy_left_type), + .i_joy_right(i_joy_right), + .i_joy_right_type(i_joy_right_type), + .i_membrane_row(i_membrane_row), + .o_membrane_col(o_membrane_col), + .joy_keymap_addr(joy_keymap_addr), + .joy_keymap_do(joy_keymap_do) + ); +endmodule diff --git a/ip/zxkeyboard/src/keyboard_membrane_stick_0_0_ooc.xdc b/ip/zxkeyboard/src/keyboard_membrane_stick_0_0_ooc.xdc new file mode 100644 index 00000000..db3815aa --- /dev/null +++ b/ip/zxkeyboard/src/keyboard_membrane_stick_0_0_ooc.xdc @@ -0,0 +1,57 @@ +# (c) Copyright 2012-2021 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# DO NOT MODIFY THIS FILE. +# ######################################################### +# +# This XDC is used only in OOC mode for synthesis, implementation +# +# ######################################################### + + +create_clock -period 35.714 -name i_CLK [get_ports i_CLK] + + diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0.xci b/ip/zxkeyboard/src/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci similarity index 70% rename from srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0.xci rename to ip/zxkeyboard/src/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci index 1cca0929..4951c68e 100644 --- a/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0.xci +++ b/ip/zxkeyboard/src/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci @@ -6,12 +6,15 @@ 1.0 - audio_twos_complement_1_0 - + keyboard_util_vector_logic_0_0 + - 16 - 16 - audio_twos_complement_1_0 + and + 7 + and + 7 + keyboard_util_vector_logic_0_0 + data/sym_andgate.png artix7 digilentinc.com:nexys-a7-100t:part0:1.0 @@ -32,12 +35,15 @@ ../../ipshared 2021.2 - OOC_HIERARCHICAL + OUT_OF_CONTEXT + + + - + diff --git a/ip/zxkeyboard/src/keyboard_wrapper.v b/ip/zxkeyboard/src/keyboard_wrapper.v index 8b9028de..1b2b9b57 100644 --- a/ip/zxkeyboard/src/keyboard_wrapper.v +++ b/ip/zxkeyboard/src/keyboard_wrapper.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 14:11:29 2021 +//Date : Tue Dec 28 18:55:34 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target keyboard_wrapper.bd //Design : keyboard_wrapper @@ -15,6 +15,12 @@ module keyboard_wrapper clk_peripheral_n, column, extended_keys, + joy_io_mode_en, + joy_left, + joy_left_type, + joy_right, + joy_right_type, + joymap_we, keymap_addr, keymap_data, keymap_we, @@ -33,6 +39,12 @@ module keyboard_wrapper input clk_peripheral_n; output [4:0]column; output [15:0]extended_keys; + input joy_io_mode_en; + input [10:0]joy_left; + input [2:0]joy_left_type; + input [10:0]joy_right; + input [2:0]joy_right_type; + input joymap_we; input [8:0]keymap_addr; input [7:0]keymap_data; input keymap_we; @@ -52,6 +64,12 @@ module keyboard_wrapper wire clk_peripheral_n; wire [4:0]column; wire [15:0]extended_keys; + wire joy_io_mode_en; + wire [10:0]joy_left; + wire [2:0]joy_left_type; + wire [10:0]joy_right; + wire [2:0]joy_right_type; + wire joymap_we; wire [8:0]keymap_addr; wire [7:0]keymap_data; wire keymap_we; @@ -72,6 +90,12 @@ module keyboard_wrapper .clk_peripheral_n(clk_peripheral_n), .column(column), .extended_keys(extended_keys), + .joy_io_mode_en(joy_io_mode_en), + .joy_left(joy_left), + .joy_left_type(joy_left_type), + .joy_right(joy_right), + .joy_right_type(joy_right_type), + .joymap_we(joymap_we), .keymap_addr(keymap_addr), .keymap_data(keymap_data), .keymap_we(keymap_we), diff --git a/ip/zxkeyboard/src/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci b/ip/zxkeyboard/src/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci new file mode 100644 index 00000000..883f9319 --- /dev/null +++ b/ip/zxkeyboard/src/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci @@ -0,0 +1,436 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_xlconcat_0_0 + + + 4 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 3 + 6 + keyboard_xlconcat_0_0 + 4 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 3 + 6 + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 4 + TRUE + . + + ../../ipshared + 2021.2 + GLOBAL + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.xci b/ip/zxkeyboard/src/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci similarity index 66% rename from srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.xci rename to ip/zxkeyboard/src/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci index 24bc23ad..eef2e7c9 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.xci +++ b/ip/zxkeyboard/src/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci @@ -6,12 +6,17 @@ 1.0 - audio_audio_prefilter_1_0 - + keyboard_xlslice_0_0 + - 16 - 16 - audio_audio_prefilter_1_0 + 3 + 0 + 9 + keyboard_xlslice_0_0 + 3 + 0 + 9 + 4 artix7 digilentinc.com:nexys-a7-100t:part0:1.0 @@ -26,18 +31,23 @@ TRUE TRUE IP_Integrator - 1 + 2 TRUE . ../../ipshared 2021.2 - OOC_HIERARCHICAL + GLOBAL + + + + + - + diff --git a/ip/zxkeyboard/src/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci b/ip/zxkeyboard/src/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci new file mode 100644 index 00000000..8f324bfc --- /dev/null +++ b/ip/zxkeyboard/src/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci @@ -0,0 +1,57 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_xlslice_1_0 + + + 4 + 4 + 9 + keyboard_xlslice_1_0 + 4 + 4 + 9 + 1 + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 2 + TRUE + . + + ../../ipshared + 2021.2 + GLOBAL + + + + + + + + + + + + + + + + + diff --git a/ip/zxkeyboard/src/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci b/ip/zxkeyboard/src/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci new file mode 100644 index 00000000..02dd3534 --- /dev/null +++ b/ip/zxkeyboard/src/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci @@ -0,0 +1,56 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_xlslice_1_1 + + + 5 + 0 + 8 + keyboard_xlslice_1_1 + 5 + 0 + 8 + 6 + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 2 + TRUE + . + + ../../ipshared + 2021.2 + GLOBAL + + + + + + + + + + + + + + + + diff --git a/ip/zxkeyboard/src/keyjoy_64_6.coe b/ip/zxkeyboard/src/keyjoy_64_6.coe new file mode 100644 index 00000000..e49a2f93 --- /dev/null +++ b/ip/zxkeyboard/src/keyjoy_64_6.coe @@ -0,0 +1,66 @@ +memory_initialization_radix=2; +memory_initialization_vector= +100011, +100100, +100010, +100001, +100000, +011001, +011000, +011010, +011011, +011100, +100010, +011100, +100100, +100011, +100000, +111111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +100011, +100100, +100010, +100001, +100000, +011001, +011000, +011010, +011011, +011100, +100010, +011100, +100100, +100011, +100000, +111111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111, +000111; diff --git a/ip/zxkeyboard/src/keyjoy_sdpram_64_6/keyjoy_sdpram_64_6.xci b/ip/zxkeyboard/src/keyjoy_sdpram_64_6/keyjoy_sdpram_64_6.xci new file mode 100644 index 00000000..2fbe08e9 --- /dev/null +++ b/ip/zxkeyboard/src/keyjoy_sdpram_64_6/keyjoy_sdpram_64_6.xci @@ -0,0 +1,106 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyjoy_sdpram_64_6 + + + 6 + 0 + 64 + ./ + artix7 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + keyjoy_sdpram_64_6.mif + 4 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 6 + keyjoy_sdpram_64_6 + 0 + ce_overrides_sync_controls + ../../ram/init/keyjoy_64_6.coe + false + false + 6 + 0 + 16 + 64 + non_registered + false + false + non_registered + simple_dual_port_ram + non_registered + false + false + false + false + non_registered + false + false + false + false + false + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Flow + 13 + TRUE + . + + . + 2021.2 + GLOBAL + + + + + + + + + + + + + + + + diff --git a/ip/zxkeyboard/src/membrane_stick.vhd b/ip/zxkeyboard/src/membrane_stick.vhd new file mode 100644 index 00000000..b9039d44 --- /dev/null +++ b/ip/zxkeyboard/src/membrane_stick.vhd @@ -0,0 +1,190 @@ + +-- User Definable Keyboard Joystick +-- Copyright 2021 Alvin Albrecht +-- +-- This file is part of the ZX Spectrum Next Project +-- +-- +-- The ZX Spectrum Next FPGA source code is free software: you can +-- redistribute it and/or modify it under the terms of the GNU General +-- Public License as published by the Free Software Foundation, either +-- version 3 of the License, or (at your option) any later version. +-- +-- The ZX Spectrum Next FPGA source code is distributed in the hope +-- that it will be useful, but WITHOUT ANY WARRANTY; without even the +-- implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR +-- PURPOSE. See the GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with the ZX Spectrum Next FPGA source code. If not, see +-- . + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_unsigned.all; + +entity membrane_stick is + port + ( + i_CLK : in std_logic; + i_CLK_EN : in std_logic; -- scanning a new keyboard row, at least 25x slower than clock + + i_reset : in std_logic; + + i_joy_en_n : in std_logic; + + i_joy_left : in std_logic_vector(10 downto 0); + i_joy_left_type : in std_logic_vector(2 downto 0); + + i_joy_right : in std_logic_vector(10 downto 0); + i_joy_right_type : in std_logic_vector(2 downto 0); + + i_membrane_row : in std_logic_vector(2 downto 0); + o_membrane_col : out std_logic_vector(6 downto 0); + + joy_keymap_addr : out std_logic_vector(5 downto 0); + joy_keymap_do : in std_logic_vector(5 downto 0) + + ); +end entity; + +architecture rtl of membrane_stick is + + signal state : std_logic := '0'; + signal state_next : std_logic; + + signal joy_state : std_logic_vector(10 downto 0); + signal joy_type : std_logic_vector(2 downto 0); + signal joy_addr_start : std_logic_vector(4 downto 0); + signal joy_bit_count_start : std_logic_vector(3 downto 0); + signal joy_bit_count_end : std_logic_vector(3 downto 0); + + signal bit_count_end : std_logic; + signal joy_sel : std_logic; + signal sram_addr : std_logic_vector(4 downto 0); + signal bit_count : std_logic_vector(3 downto 0); + signal bit_count_max : std_logic_vector(3 downto 0); + + signal membrane_col : std_logic_vector(7 downto 0); + +begin + + -- state machine + + process (i_CLK) + begin + if rising_edge(i_CLK) then + if i_reset = '1' then + state <= '0'; + else + state <= state_next; + end if; + end if; + end process; + + process (state, i_CLK_EN, joy_sel, bit_count_end) + begin + case state is + when '0' => + if i_CLK_EN = '1' then + state_next <= '1'; + else + state_next <= '0'; + end if; + when others => + if joy_sel = '1' and bit_count_end = '1' then + state_next <= '0'; + else + state_next <= '1'; + end if; + end case; + end process; + + -- joystick parameter selection + + joy_state <= i_joy_left when joy_sel = '0' else i_joy_right; + joy_type <= i_joy_left_type when bit_count_end = '0' else i_joy_right_type; + + process (joy_type) + begin + case joy_type is + when "011" => -- Sinclair 1 + joy_addr_start <= "00000"; + joy_bit_count_start <= "0000"; + joy_bit_count_end <= "0100"; + when "000" => -- Sinclair 2 + joy_addr_start <= "00101"; + joy_bit_count_start <= "0000"; + joy_bit_count_end <= "0100"; + when "010" => -- Cursor + joy_addr_start <= "01010"; + joy_bit_count_start <= "0000"; + joy_bit_count_end <= "0100"; + when "111" => -- User Defined + joy_addr_start <= "10000"; + joy_bit_count_start <= "0000"; + joy_bit_count_end <= "1010"; + when "001" | "100" => -- Kempston + joy_addr_start <= "10101"; + joy_bit_count_start <= "0101"; + joy_bit_count_end <= "1010"; + when others => -- MD Pad + joy_addr_start <= "11000"; + joy_bit_count_start <= "1000"; + joy_bit_count_end <= "1010"; + end case; + end process; + + -- joystick address generation + + bit_count_end <= '1' when bit_count = bit_count_max else '0'; + + process (i_CLK) + begin + if rising_edge(i_CLK) then + if state = '0' or bit_count_end = '1' then + joy_sel <= bit_count_end; + sram_addr <= joy_addr_start; + bit_count <= joy_bit_count_start; + bit_count_max <= joy_bit_count_end; + elsif state = '1' then + sram_addr <= sram_addr + 1; + bit_count <= bit_count + 1; + end if; + end if; + end process; + + -- joystick bit to key assignment lookup + + joy_keymap_addr <= joy_sel & sram_addr; + +-- udk_map: keyjoy_sdpram_64_6 -- initialized with sinclair / cursor mappings +-- port map -- src/ram/init/keyjoy_64_6.coe +-- ( +-- -- async read (keymap) +-- DPRA => joy_sel & sram_addr, +-- DPO => joy_keymap_do, +-- -- sync write (cpu) +-- CLK => i_CLK, +-- WE => i_keymap_we, +-- A => i_keymap_addr(4) & '1' & i_keymap_addr(3 downto 0), +-- D => i_keymap_data +-- ); + + -- membrane column bits computation + + process (i_CLK) + begin + if rising_edge(i_CLK) then + if i_reset = '1' or (state = '0' and state_next = '1') or i_joy_en_n = '1' then + membrane_col <= (others => '1'); + elsif state = '1' and joy_keymap_do(5 downto 3) = i_membrane_row and joy_state(to_integer(unsigned(bit_count))) = '1' then + membrane_col(to_integer(unsigned(joy_keymap_do(2 downto 0)))) <= '0'; + end if; + end if; + end process; + + o_membrane_col <= membrane_col(6 downto 0); + +end architecture; diff --git a/ip/zxkeyboard/xgui/zxkeyboard_v2_4.tcl b/ip/zxkeyboard/xgui/zxkeyboard_v2_4.tcl new file mode 100644 index 00000000..28ab2f63 --- /dev/null +++ b/ip/zxkeyboard/xgui/zxkeyboard_v2_4.tcl @@ -0,0 +1,20 @@ +# Definitional proc to organize widgets for parameters. +proc init_gui { IPINST } { + ipgui::add_param $IPINST -name "Component_Name" + #Adding Page + set Page_0 [ipgui::add_page $IPINST -name "Page 0"] + ipgui::add_param $IPINST -name "joystick" -parent ${Page_0} + + +} + +proc update_PARAM_VALUE.joystick { PARAM_VALUE.joystick } { + # Procedure called to update joystick when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.joystick { PARAM_VALUE.joystick } { + # Procedure called to validate joystick + return true +} + + diff --git a/srcs/sources/bd/audio/audio.bd b/srcs/sources/bd/audio/audio.bd index 67a4ec62..b31abaff 100644 --- a/srcs/sources/bd/audio/audio.bd +++ b/srcs/sources/bd/audio/audio.bd @@ -1,7 +1,7 @@ { "design": { "design_info": { - "boundary_crc": "0x6341501E0E8771E0", + "boundary_crc": "0xC641FA152544B36B", "device": "xc7a100tcsg324-1", "name": "audio", "rev_ctrl_bd_flag": "RevCtrlBdOff", @@ -10,27 +10,19 @@ "validated": "true" }, "design_tree": { - "DC_blocker_1": "", - "audio_mono_1": "", - "audio_prefilter_1": "", "audio_scaler_1": "", - "audio_sync_1": "", "sigma_delta_dac_1": "", - "twos_complement_1": "", - "DC_blocker_0": "", - "IIR_filter_0": "", - "audio_filter_control_0": "", - "audio_mono_0": "", - "audio_prefilter_0": "", "audio_psg_0": "", "audio_reset_0": "", "audio_scaler_0": "", - "audio_sync_0": "", "i2s_transceiver_0": "", "sigma_delta_dac_0": "", "tape_ear_0": "", "tape_mic_0": "", - "twos_complement_0": "" + "audio_mono_0": "", + "audio_mono_1": "", + "audio_sync_0": "", + "audio_sync_1": "" }, "ports": { "audio_left": { @@ -46,7 +38,7 @@ "left": "12", "right": "0" }, - "audio_sd": { + "aud_sd": { "direction": "O", "parameters": { "POLARITY": { @@ -181,115 +173,9 @@ }, "tape_pwm": { "direction": "O" - }, - "tape_sd": { - "direction": "O", - "parameters": { - "POLARITY": { - "value": "ACTIVE_LOW", - "value_src": "const_prop" - } - } } }, "components": { - "DC_blocker_1": { - "vlnv": "xilinx.com:module_ref:DC_blocker:1.0", - "xci_name": "audio_DC_blocker_1_0", - "xci_path": "ip\\audio_DC_blocker_1_0\\audio_DC_blocker_1_0.xci", - "inst_hier_path": "DC_blocker_1", - "reference_info": { - "ref_type": "hdl", - "ref_name": "DC_blocker", - "boundary_crc": "0x0" - }, - "ports": { - "clk": { - "type": "clk", - "direction": "I", - "parameters": { - "FREQ_HZ": { - "value": "12280700", - "value_src": "user_prop" - }, - "CLK_DOMAIN": { - "value": "audio_clk_audio", - "value_src": "default_prop" - } - } - }, - "ce": { - "direction": "I" - }, - "mute": { - "direction": "I" - }, - "sample_rate": { - "direction": "I" - }, - "din": { - "direction": "I", - "left": "15", - "right": "0" - }, - "dout": { - "direction": "O", - "left": "15", - "right": "0" - } - } - }, - "audio_mono_1": { - "vlnv": "xilinx.com:module_ref:audio_mono:1.0", - "xci_name": "audio_audio_mono_1_0", - "xci_path": "ip\\audio_audio_mono_1_0\\audio_audio_mono_1_0.xci", - "inst_hier_path": "audio_mono_1", - "reference_info": { - "ref_type": "hdl", - "ref_name": "audio_mono", - "boundary_crc": "0x0" - }, - "ports": { - "left_in": { - "direction": "I", - "left": "15", - "right": "0" - }, - "right_in": { - "direction": "I", - "left": "15", - "right": "0" - }, - "mono_out": { - "direction": "O", - "left": "15", - "right": "0" - } - } - }, - "audio_prefilter_1": { - "vlnv": "xilinx.com:module_ref:audio_prefilter:1.0", - "xci_name": "audio_audio_prefilter_1_0", - "xci_path": "ip\\audio_audio_prefilter_1_0\\audio_audio_prefilter_1_0.xci", - "inst_hier_path": "audio_prefilter_1", - "reference_info": { - "ref_type": "hdl", - "ref_name": "audio_prefilter", - "boundary_crc": "0x0" - }, - "ports": { - "din": { - "direction": "I", - "left": "15", - "right": "0" - }, - "dout": { - "direction": "O", - "left": "15", - "right": "0" - } - } - }, "audio_scaler_1": { "vlnv": "xilinx.com:module_ref:audio_scaler:1.0", "xci_name": "audio_audio_scaler_1_0", @@ -313,43 +199,6 @@ } } }, - "audio_sync_1": { - "vlnv": "xilinx.com:module_ref:audio_sync:1.0", - "xci_name": "audio_audio_sync_1_0", - "xci_path": "ip\\audio_audio_sync_1_0\\audio_audio_sync_1_0.xci", - "inst_hier_path": "audio_sync_1", - "reference_info": { - "ref_type": "hdl", - "ref_name": "audio_sync", - "boundary_crc": "0x0" - }, - "ports": { - "din": { - "direction": "I", - "left": "12", - "right": "0" - }, - "dout": { - "direction": "O", - "left": "12", - "right": "0" - }, - "clk": { - "type": "clk", - "direction": "I", - "parameters": { - "FREQ_HZ": { - "value": "12280700", - "value_src": "user_prop" - }, - "CLK_DOMAIN": { - "value": "audio_clk_audio", - "value_src": "default_prop" - } - } - } - } - }, "sigma_delta_dac_1": { "vlnv": "xilinx.com:module_ref:sigma_delta_dac:1.0", "xci_name": "audio_sigma_delta_dac_1_0", @@ -358,367 +207,49 @@ "parameters": { "MSBI": { "value": "15" - } - }, - "reference_info": { - "ref_type": "hdl", - "ref_name": "sigma_delta_dac", - "boundary_crc": "0x0" - }, - "ports": { - "DACout": { - "direction": "O" - }, - "DACin": { - "direction": "I", - "left": "15", - "right": "0" - }, - "CLK": { - "type": "clk", - "direction": "I", - "parameters": { - "ASSOCIATED_RESET": { - "value": "RESET", - "value_src": "constant" - }, - "FREQ_HZ": { - "value": "12280700", - "value_src": "user_prop" - }, - "CLK_DOMAIN": { - "value": "audio_clk_audio", - "value_src": "default_prop" - } - } - }, - "RESET": { - "type": "rst", - "direction": "I", - "parameters": { - "POLARITY": { - "value": "ACTIVE_HIGH", - "value_src": "const_prop" - } - } - } - } - }, - "twos_complement_1": { - "vlnv": "xilinx.com:module_ref:twos_complement:1.0", - "xci_name": "audio_twos_complement_1_0", - "xci_path": "ip\\audio_twos_complement_1_0\\audio_twos_complement_1_0.xci", - "inst_hier_path": "twos_complement_1", - "reference_info": { - "ref_type": "hdl", - "ref_name": "twos_complement", - "boundary_crc": "0x0" - }, - "ports": { - "din": { - "direction": "I", - "left": "15", - "right": "0" - }, - "dout": { - "direction": "O", - "left": "15", - "right": "0" - } - } - }, - "DC_blocker_0": { - "vlnv": "xilinx.com:module_ref:DC_blocker:1.0", - "xci_name": "audio_DC_blocker_0_0", - "xci_path": "ip\\audio_DC_blocker_0_0\\audio_DC_blocker_0_0.xci", - "inst_hier_path": "DC_blocker_0", - "reference_info": { - "ref_type": "hdl", - "ref_name": "DC_blocker", - "boundary_crc": "0x0" - }, - "ports": { - "clk": { - "type": "clk", - "direction": "I", - "parameters": { - "FREQ_HZ": { - "value": "12280700", - "value_src": "user_prop" - }, - "CLK_DOMAIN": { - "value": "audio_clk_audio", - "value_src": "default_prop" - } - } - }, - "ce": { - "direction": "I" - }, - "mute": { - "direction": "I" - }, - "sample_rate": { - "direction": "I" - }, - "din": { - "direction": "I", - "left": "15", - "right": "0" - }, - "dout": { - "direction": "O", - "left": "15", - "right": "0" - } - } - }, - "IIR_filter_0": { - "vlnv": "xilinx.com:module_ref:IIR_filter:1.0", - "xci_name": "audio_IIR_filter_0_0", - "xci_path": "ip\\audio_IIR_filter_0_0\\audio_IIR_filter_0_0.xci", - "inst_hier_path": "IIR_filter_0", - "parameters": { - "use_params": { - "value": "0" - } - }, - "reference_info": { - "ref_type": "hdl", - "ref_name": "IIR_filter", - "boundary_crc": "0x0" - }, - "ports": { - "clk": { - "type": "clk", - "direction": "I", - "parameters": { - "ASSOCIATED_RESET": { - "value": "reset", - "value_src": "constant" - }, - "FREQ_HZ": { - "value": "12280700", - "value_src": "user_prop" - }, - "CLK_DOMAIN": { - "value": "audio_clk_audio", - "value_src": "default_prop" - } - } - }, - "reset": { - "type": "rst", - "direction": "I", - "parameters": { - "POLARITY": { - "value": "ACTIVE_HIGH", - "value_src": "const_prop" - } - } - }, - "ce": { - "direction": "I" - }, - "sample_ce": { - "direction": "I" - }, - "cx": { - "direction": "I", - "left": "39", - "right": "0" - }, - "cx0": { - "direction": "I", - "left": "7", - "right": "0" - }, - "cx1": { - "direction": "I", - "left": "7", - "right": "0" - }, - "cx2": { - "direction": "I", - "left": "7", - "right": "0" - }, - "cy0": { - "direction": "I", - "left": "23", - "right": "0" - }, - "cy1": { - "direction": "I", - "left": "23", - "right": "0" - }, - "cy2": { - "direction": "I", - "left": "23", - "right": "0" - }, - "input_l": { - "direction": "I", - "left": "15", - "right": "0" - }, - "input_r": { - "direction": "I", - "left": "15", - "right": "0" - }, - "output_l": { - "direction": "O", - "left": "15", - "right": "0" - }, - "output_r": { - "direction": "O", - "left": "15", - "right": "0" - } - } - }, - "audio_filter_control_0": { - "vlnv": "xilinx.com:module_ref:audio_filter_control:1.0", - "xci_name": "audio_audio_filter_control_0_0", - "xci_path": "ip\\audio_audio_filter_control_0_0\\audio_audio_filter_control_0_0.xci", - "inst_hier_path": "audio_filter_control_0", - "reference_info": { - "ref_type": "hdl", - "ref_name": "audio_filter_control", - "boundary_crc": "0x0" - }, - "ports": { - "cx": { - "direction": "O", - "left": "39", - "right": "0" - }, - "cx0": { - "direction": "O", - "left": "7", - "right": "0" - }, - "cx1": { - "direction": "O", - "left": "7", - "right": "0" - }, - "cx2": { - "direction": "O", - "left": "7", - "right": "0" - }, - "cy0": { - "direction": "O", - "left": "23", - "right": "0" - }, - "cy1": { - "direction": "O", - "left": "23", - "right": "0" - }, - "cy2": { - "direction": "O", - "left": "23", - "right": "0" - }, - "iir_ce": { - "direction": "O" - }, - "iir_sample_ce": { - "direction": "O" - }, - "dc_ce": { - "direction": "O" - }, - "dc_sample_rate": { - "direction": "O" - }, - "dc_mute": { - "direction": "O" - }, - "clk": { - "type": "clk", - "direction": "I", - "parameters": { - "ASSOCIATED_RESET": { - "value": "reset", - "value_src": "constant" - }, - "FREQ_HZ": { - "value": "12280700", - "value_src": "user_prop" - }, - "CLK_DOMAIN": { - "value": "audio_clk_audio", - "value_src": "default_prop" - } - } - }, - "reset": { - "type": "rst", - "direction": "I", - "parameters": { - "POLARITY": { - "value": "ACTIVE_HIGH", - "value_src": "constant" - } - } - } - } - }, - "audio_mono_0": { - "vlnv": "xilinx.com:module_ref:audio_mono:1.0", - "xci_name": "audio_audio_mono_0_0", - "xci_path": "ip\\audio_audio_mono_0_0\\audio_audio_mono_0_0.xci", - "inst_hier_path": "audio_mono_0", - "reference_info": { - "ref_type": "hdl", - "ref_name": "audio_mono", - "boundary_crc": "0x0" - }, - "ports": { - "left_in": { - "direction": "I", - "left": "15", - "right": "0" - }, - "right_in": { - "direction": "I", - "left": "15", - "right": "0" - }, - "mono_out": { - "direction": "O", - "left": "15", - "right": "0" - } - } - }, - "audio_prefilter_0": { - "vlnv": "xilinx.com:module_ref:audio_prefilter:1.0", - "xci_name": "audio_audio_prefilter_0_0", - "xci_path": "ip\\audio_audio_prefilter_0_0\\audio_audio_prefilter_0_0.xci", - "inst_hier_path": "audio_prefilter_0", + } + }, "reference_info": { "ref_type": "hdl", - "ref_name": "audio_prefilter", + "ref_name": "sigma_delta_dac", "boundary_crc": "0x0" }, "ports": { - "din": { + "DACout": { + "direction": "O" + }, + "DACin": { "direction": "I", "left": "15", "right": "0" }, - "dout": { - "direction": "O", - "left": "15", - "right": "0" + "CLK": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_RESET": { + "value": "RESET", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "12280700", + "value_src": "user_prop" + }, + "CLK_DOMAIN": { + "value": "audio_clk_audio", + "value_src": "default_prop" + } + } + }, + "RESET": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_HIGH", + "value_src": "const_prop" + } + } } } }, @@ -849,43 +380,6 @@ } } }, - "audio_sync_0": { - "vlnv": "xilinx.com:module_ref:audio_sync:1.0", - "xci_name": "audio_audio_sync_0_0", - "xci_path": "ip\\audio_audio_sync_0_0\\audio_audio_sync_0_0.xci", - "inst_hier_path": "audio_sync_0", - "reference_info": { - "ref_type": "hdl", - "ref_name": "audio_sync", - "boundary_crc": "0x0" - }, - "ports": { - "din": { - "direction": "I", - "left": "12", - "right": "0" - }, - "dout": { - "direction": "O", - "left": "12", - "right": "0" - }, - "clk": { - "type": "clk", - "direction": "I", - "parameters": { - "FREQ_HZ": { - "value": "12280700", - "value_src": "user_prop" - }, - "CLK_DOMAIN": { - "value": "audio_clk_audio", - "value_src": "default_prop" - } - } - } - } - }, "i2s_transceiver_0": { "vlnv": "xilinx.com:module_ref:i2s_transceiver:1.0", "xci_name": "audio_i2s_transceiver_0_0", @@ -1076,118 +570,143 @@ } } }, - "twos_complement_0": { - "vlnv": "xilinx.com:module_ref:twos_complement:1.0", - "xci_name": "audio_twos_complement_0_0", - "xci_path": "ip\\audio_twos_complement_0_0\\audio_twos_complement_0_0.xci", - "inst_hier_path": "twos_complement_0", + "audio_mono_0": { + "vlnv": "xilinx.com:module_ref:audio_mono:1.0", + "xci_name": "audio_audio_mono_0_0", + "xci_path": "ip\\audio_audio_mono_0_0\\audio_audio_mono_0_0.xci", + "inst_hier_path": "audio_mono_0", + "parameters": { + "SHIFT": { + "value": "1" + } + }, "reference_info": { "ref_type": "hdl", - "ref_name": "twos_complement", + "ref_name": "audio_mono", "boundary_crc": "0x0" }, "ports": { - "din": { + "left_in": { "direction": "I", "left": "15", "right": "0" }, - "dout": { + "right_in": { + "direction": "I", + "left": "15", + "right": "0" + }, + "mono_out": { "direction": "O", "left": "15", "right": "0" } } - } - }, - "nets": { - "IIR_filter_0_output_l": { - "ports": [ - "IIR_filter_0/output_l", - "DC_blocker_0/din" - ] - }, - "IIR_filter_0_output_r": { - "ports": [ - "IIR_filter_0/output_r", - "DC_blocker_1/din" - ] - }, - "audio_filter_control_0_cx": { - "ports": [ - "audio_filter_control_0/cx", - "IIR_filter_0/cx" - ] - }, - "audio_filter_control_0_cx0": { - "ports": [ - "audio_filter_control_0/cx0", - "IIR_filter_0/cx0" - ] - }, - "audio_filter_control_0_cx1": { - "ports": [ - "audio_filter_control_0/cx1", - "IIR_filter_0/cx1" - ] - }, - "audio_filter_control_0_cx2": { - "ports": [ - "audio_filter_control_0/cx2", - "IIR_filter_0/cx2" - ] - }, - "audio_filter_control_0_cy0": { - "ports": [ - "audio_filter_control_0/cy0", - "IIR_filter_0/cy0" - ] - }, - "audio_filter_control_0_cy1": { - "ports": [ - "audio_filter_control_0/cy1", - "IIR_filter_0/cy1" - ] - }, - "audio_filter_control_0_cy2": { - "ports": [ - "audio_filter_control_0/cy2", - "IIR_filter_0/cy2" - ] - }, - "audio_filter_control_0_dc_ce": { - "ports": [ - "audio_filter_control_0/dc_ce", - "DC_blocker_1/ce", - "DC_blocker_0/ce" - ] - }, - "audio_filter_control_0_dc_mute": { - "ports": [ - "audio_filter_control_0/dc_mute", - "DC_blocker_1/mute", - "DC_blocker_0/mute" - ] - }, - "audio_filter_control_0_dc_sample_rate": { - "ports": [ - "audio_filter_control_0/dc_sample_rate", - "DC_blocker_1/sample_rate", - "DC_blocker_0/sample_rate" - ] }, - "audio_filter_control_0_iir_ce": { - "ports": [ - "audio_filter_control_0/iir_ce", - "IIR_filter_0/ce" - ] + "audio_mono_1": { + "vlnv": "xilinx.com:module_ref:audio_mono:1.0", + "xci_name": "audio_audio_mono_1_0", + "xci_path": "ip\\audio_audio_mono_1_0\\audio_audio_mono_1_0.xci", + "inst_hier_path": "audio_mono_1", + "reference_info": { + "ref_type": "hdl", + "ref_name": "audio_mono", + "boundary_crc": "0x0" + }, + "ports": { + "left_in": { + "direction": "I", + "left": "15", + "right": "0" + }, + "right_in": { + "direction": "I", + "left": "15", + "right": "0" + }, + "mono_out": { + "direction": "O", + "left": "15", + "right": "0" + } + } }, - "audio_filter_control_0_iir_sample_ce": { - "ports": [ - "audio_filter_control_0/iir_sample_ce", - "IIR_filter_0/sample_ce" - ] + "audio_sync_0": { + "vlnv": "xilinx.com:module_ref:audio_sync:1.0", + "xci_name": "audio_audio_sync_0_0", + "xci_path": "ip\\audio_audio_sync_0_0\\audio_audio_sync_0_0.xci", + "inst_hier_path": "audio_sync_0", + "reference_info": { + "ref_type": "hdl", + "ref_name": "audio_sync", + "boundary_crc": "0x0" + }, + "ports": { + "din": { + "direction": "I", + "left": "12", + "right": "0" + }, + "dout": { + "direction": "O", + "left": "12", + "right": "0" + }, + "clk": { + "type": "clk", + "direction": "I", + "parameters": { + "FREQ_HZ": { + "value": "12280700", + "value_src": "user_prop" + }, + "CLK_DOMAIN": { + "value": "audio_clk_audio", + "value_src": "default_prop" + } + } + } + } }, + "audio_sync_1": { + "vlnv": "xilinx.com:module_ref:audio_sync:1.0", + "xci_name": "audio_audio_sync_0_1", + "xci_path": "ip\\audio_audio_sync_0_1\\audio_audio_sync_0_1.xci", + "inst_hier_path": "audio_sync_1", + "reference_info": { + "ref_type": "hdl", + "ref_name": "audio_sync", + "boundary_crc": "0x0" + }, + "ports": { + "din": { + "direction": "I", + "left": "12", + "right": "0" + }, + "dout": { + "direction": "O", + "left": "12", + "right": "0" + }, + "clk": { + "type": "clk", + "direction": "I", + "parameters": { + "FREQ_HZ": { + "value": "12280700", + "value_src": "user_prop" + }, + "CLK_DOMAIN": { + "value": "audio_clk_audio", + "value_src": "default_prop" + } + } + } + } + } + }, + "nets": { "audio_left_1": { "ports": [ "audio_left", @@ -1206,18 +725,6 @@ "tape_ear_0/din" ] }, - "audio_prefilter_0_dout": { - "ports": [ - "audio_prefilter_0/dout", - "twos_complement_0/din" - ] - }, - "audio_prefilter_1_dout": { - "ports": [ - "audio_prefilter_1/dout", - "twos_complement_1/din" - ] - }, "audio_psg_0_psg_en": { "ports": [ "audio_psg_0/psg_en", @@ -1228,16 +735,13 @@ "ports": [ "audio_reset_0/rst", "sigma_delta_dac_1/RESET", - "IIR_filter_0/reset", - "audio_filter_control_0/reset", "sigma_delta_dac_0/RESET" ] }, "audio_reset_0_rstn": { "ports": [ "audio_reset_0/rstn", - "audio_sd", - "tape_sd", + "aud_sd", "i2s_transceiver_0/reset_n" ] }, @@ -1248,29 +752,17 @@ ] }, "audio_scaler_0_dout": { - "ports": [ - "DC_blocker_0/dout", - "audio_mono_0/left_in", - "i2s_transceiver_0/l_data_tx" - ] - }, - "audio_scaler_0_dout1": { "ports": [ "audio_scaler_0/dout", - "audio_prefilter_0/din" + "i2s_transceiver_0/l_data_tx", + "audio_mono_0/left_in" ] }, "audio_scaler_1_dout": { - "ports": [ - "DC_blocker_1/dout", - "audio_mono_0/right_in", - "i2s_transceiver_0/r_data_tx" - ] - }, - "audio_scaler_1_dout1": { "ports": [ "audio_scaler_1/dout", - "audio_prefilter_1/din" + "i2s_transceiver_0/r_data_tx", + "audio_mono_0/right_in" ] }, "audio_sync_0_dout": { @@ -1290,17 +782,13 @@ "clk_audio", "linein_mclk", "lineout_mclk", - "DC_blocker_1/clk", - "audio_sync_1/clk", "sigma_delta_dac_1/CLK", - "DC_blocker_0/clk", - "IIR_filter_0/clk", - "audio_filter_control_0/clk", "audio_reset_0/clk", - "audio_sync_0/clk", "i2s_transceiver_0/mclk", "sigma_delta_dac_0/CLK", - "tape_ear_0/clk" + "tape_ear_0/clk", + "audio_sync_1/clk", + "audio_sync_0/clk" ] }, "clk_peripheral_1": { @@ -1382,18 +870,6 @@ "tape_mic", "tape_mic_0/din" ] - }, - "twos_complement_0_dout": { - "ports": [ - "twos_complement_0/dout", - "IIR_filter_0/input_l" - ] - }, - "twos_complement_1_dout": { - "ports": [ - "twos_complement_1/dout", - "IIR_filter_0/input_r" - ] } } } diff --git a/srcs/sources/bd/audio/audio.bda b/srcs/sources/bd/audio/audio.bda index eaadb968..d685da4d 100644 --- a/srcs/sources/bd/audio/audio.bda +++ b/srcs/sources/bd/audio/audio.bda @@ -21,20 +21,20 @@ + audio + BC + + 2 audio VR - + active 2 PM - - audio - BC - - - + + diff --git a/srcs/sources/bd/audio/audio.bxml b/srcs/sources/bd/audio/audio.bxml index 0d1eefed..a8cd7a6f 100644 --- a/srcs/sources/bd/audio/audio.bxml +++ b/srcs/sources/bd/audio/audio.bxml @@ -2,10 +2,10 @@ Composite Fileset - - - - + + + + diff --git a/srcs/sources/bd/audio/hdl/audio_wrapper.v b/srcs/sources/bd/audio/hdl/audio_wrapper.v index 0a2defe0..cd7e18b1 100644 --- a/srcs/sources/bd/audio/hdl/audio_wrapper.v +++ b/srcs/sources/bd/audio/hdl/audio_wrapper.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 12:12:24 2021 +//Date : Wed Dec 29 09:01:01 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target audio_wrapper.bd //Design : audio_wrapper @@ -10,10 +10,10 @@ `timescale 1 ps / 1 ps module audio_wrapper - (audio_left, + (aud_sd, + audio_left, audio_pwm, audio_right, - audio_sd, clk_audio, clk_peripheral, linein_lrck, @@ -28,12 +28,11 @@ module audio_wrapper reset, tape_ear, tape_mic, - tape_pwm, - tape_sd); + tape_pwm); + output aud_sd; input [12:0]audio_left; output audio_pwm; input [12:0]audio_right; - output audio_sd; input clk_audio; input clk_peripheral; output linein_lrck; @@ -49,12 +48,11 @@ module audio_wrapper output tape_ear; input tape_mic; output tape_pwm; - output tape_sd; + wire aud_sd; wire [12:0]audio_left; wire audio_pwm; wire [12:0]audio_right; - wire audio_sd; wire clk_audio; wire clk_peripheral; wire linein_lrck; @@ -70,13 +68,12 @@ module audio_wrapper wire tape_ear; wire tape_mic; wire tape_pwm; - wire tape_sd; audio audio_i - (.audio_left(audio_left), + (.aud_sd(aud_sd), + .audio_left(audio_left), .audio_pwm(audio_pwm), .audio_right(audio_right), - .audio_sd(audio_sd), .clk_audio(clk_audio), .clk_peripheral(clk_peripheral), .linein_lrck(linein_lrck), @@ -91,6 +88,5 @@ module audio_wrapper .reset(reset), .tape_ear(tape_ear), .tape_mic(tape_mic), - .tape_pwm(tape_pwm), - .tape_sd(tape_sd)); + .tape_pwm(tape_pwm)); endmodule diff --git a/srcs/sources/bd/audio/hw_handoff/audio.hwh b/srcs/sources/bd/audio/hw_handoff/audio.hwh index 208e9f32..88141742 100644 --- a/srcs/sources/bd/audio/hw_handoff/audio.hwh +++ b/srcs/sources/bd/audio/hw_handoff/audio.hwh @@ -1,5 +1,5 @@  - + @@ -19,7 +19,7 @@ - + @@ -28,17 +28,13 @@ - - - - - - + + @@ -111,293 +107,28 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + - + - + @@ -412,6 +143,7 @@ + @@ -434,48 +166,6 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -517,15 +207,12 @@ - - - - + @@ -553,7 +240,8 @@ - + + @@ -575,7 +263,8 @@ - + + @@ -611,7 +300,7 @@ - + @@ -675,14 +364,14 @@ - + - + - + - + @@ -811,48 +500,6 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.dcp b/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.dcp deleted file mode 100644 index d4364435f41eb198e4790b0485c466faaa186611..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 55130 zcma&O1ymhP(=LizaCZxC8+Uhi_YmA6c=dQcf zOz*Czs;i!^uIa^WX11aXI0QNf2nY-aj#0eI3p3x=3@8W)D$-@L&mc^Rdjv1Snj zo%rTAM?~x}cynyfm$kbqs1kei+f~n1qJvk*uy06Oh=jHQ2UB`=7*S+4L1!fn;}Y^4 z64!b&R4kD(_l-9(WTy|Oj`hhL#U9>0W=n|6j}gj)%S_+qby$Vt@Ry`A&I2NpZ@J7) zizd?9q;Wb=vkGlCa^KC1rf%&1nBy#jEvL=j*Sp)aKTTHu8miPKd=H5@_kKkxOdms1 z{I7-YV=~wW2!nu7H-dv;0~h{_v$2JpfvAXqk+t1dD-%ZpMgv9$6Jyg;FN<$0;`O_( z@1bzs*fJ=5E}&Glk%uACjSFd%Dwa}rjs2S%LP{pZedxth%GH+KPwfgOU}OnH-x@^y zATpY}*~$0BGQOYxkuf!LXZ_Ce?&WlMc=}@L;l}9o&B6Jt-^zwA65mjL~X3MYV!{%|bZ{+=r zrP&FU;~J?(gTsu7KXS?;B|OF{?ww~5$7X;2rLobYf%BPh>B;@=@#J)N?qHHWlAiKP zuIY9mil_bQ;){zr%aX^Fg|`EX_Uqg6e5Psc{ys;pu@9?!d+Lc7#~RVA+ryH=?X9}x z(Wv9iZ6Zf0(R$Omz!PIL*E2IWzq<=7VfhANFY#PlC$s(e&cng`_4IbOu=nxgX_>>W z{Z{?i<&Eh*`%9Z$Wnv=7`H%Ley&7E0K@;gNK7w-n!t! zn}g=Z7i8h$PJSA>LtTAsQBZtE6Kr!_-dAo{N^i%A-tL*wUCh%JA3uLhI}-HsN};p5A+W3D zFHaCZTW_aWc7OhL5|C0n_471W&(p%hL0+mzaK3h8=tV0X$!@% z`@pA;JnHP*lH{<=I9RBkxQFVBFLn0`WF0R1`}Q9$EMn`Mo;N2SXDu&w<=U>s-Yvb| zy}r5psm?W!Z9dQ)@divMhgscYy)|IDOFQ^@8|VxQcLni(T&}}_$$?NNk~jVNX%Li)oM_6c?y+H3f1ed zC<2;^9Gb~1(&8%o_K?sFUa?zKZ(}Ixt=dU?>AEQz*k0Si4VdHG31xIyPGgViA z`K2T`350MDXIZC0S?(RaJ)opyf*A=q(eQb6>Wy`AVG zRmo?!R<2V9rS1t;$a0gJRFhD%;Dj=(w($B!%l|~5l27gos8um#Bsq=4k(E?I{|-Wu zennyfrrF?JeSP~(`Mmr@f5W=Gy0)ltB$C_`^~C76%Hx%^a2C%WXGbXLX6ETeiRtV7 zt*}(JguPA*i=uW}E7nB_kH74D{wK7l)+v+JxnA^%`}hp1c3$5b*3D@ZyP|C;9BB{7 zCU|l_F1;eggIEStOAnCE7QQTd7a*9H&L9G7RyeHKPqOdrZJ}deGRQTvuI* z0WZZdHqIQ%0S81$8acrTlvq705K=o zZcM1-;6z-h6V>4acU=%&Hd&mXn!10CSZ{r z)sK5{Ou&-c=142=StCpUf{l7^V{L5yy#EmGg-Z8Xnh84RRW+0g@3c@kmV`!Zwf|HVg~|l+$CuNEi=D>I{28){)rIN1UD=6Ng&SGJbt?7~yD8G|d<^sc zW>Gp<%Z(4~#>`y2ylK`acXQGHGjo#Pacu<_y<-UQjZ5FHo8MiEzi{w7r4Se|`c2Qg zHpn0pgIFtwXv}8j^&dVQ#?HP+@eDJ_ixl8kNp(NpZ04aMWS$Waou3jA8JC)x@_IM@ zejXRWWwu#zY5A#j^v#0Xo4N0m@_CD)TfBLdO4f=agd@~yAZlv+YtbweJgMglm0@(G z@}hCecO9qI_Zhe)zBM@t9&eA9(3|aT9Wfr&Xer}UUa;EhJduc`p4mw8XC4B}9^-UE z%bqe~9U6w`()ZJ{w?oC>w(5~Q&(P33&sz2E+izS4)z^!K7}h~yqJ`ZF?X|RoD*4&{ z$L5_f-r7c&)2)MN#`lN%{U)ol3!~gm8FPmEB7H$f#EK2sCr~4kN`)R#h=o^^K0-kX z=HF^}F1{~OU*j@I*vjCpQRXN2HIO5mTM!Le4X}2BPgJEwKJc>{Yi}j6wiLDsc78|I zQMp)K5-M=2&)X)AAwn(T9o9StQc}_XgdzaHo8Ckro`S+k8#Y zhh*By7leA8I8FZPwNUA&Je(0pM{B?gTYfZ0s~^49NO2{4_BN&_Ju5|={QhP=f4ksX zRRr5o!Tul~{Z3o%gzrtWomLAGNau&avsME)vq;1kHYd5BB;TB-bJwOV*R43S**rlI z&&%#OzbQf%@dZ^@&s)~jwGJ7aWm4+#C2Rn*wgSwWf;0=g9gwkEf~ocfP#%{auI-_> za8qa|@*l6N%iFNwach>E$o5~28~eWWitJfc{tm}nSCu}ORTEf09bD|iHxpd!{L$Ea zG$yC!qB|~ay=RtkV!Z}Y4X!S_{lZ0aDX~#aM~W@tBi`~nL@Ygi1Jm`TMhE4SAwP0+ z+`bYKASC}dG$8Wj;x>VvKII4bwjpS>jt|cztJP+U@ZQyto6F!e(%6{q?E`Rr7w|ZG zJAYfw_K%fEUs+spuYNc7S$^I2K2sDj6`Dq+k3vL!RS!8`<&dW?DfF0I;-QP|ml#xH z?mGt;4qa^RrL)miwl-UTZLhiYRSDPHtVK6F%l~{<{`oC)R)K(KfX)rLKpFK()Y^A$ z2sOi%UzRK$ZbfT%#S4+AgKiW^3ZM@&g=0ymMal073xBp|=R*}vWGVkpF;^_^q-M>V z>41u+W+|)WYx*9YUb5aACXjO zh^37hLBYbET>7B>O!ihm1FX;mY#o0YMadC76$uP~>KunNR2(u@&MiAonwlvLAbx-l zU!VDcD*U&}oXO^$UJlqW$daa-;~+wyC4pIsSo&v-nnx<~i;fdaZ$@1mdCMjqOUV-> zPIkVYiYrS*b3RnbY>Uj~ODkh3L6YhePrEYcLW3@|3CI0&9%8<41!cUxp;EArv@%dSOD5YeKiJx z)G#U4wCLn0?$(%&h-tnIr5fLCfajEmNMu_$EhnCLb8b`k*$uunomIgN5)efA^CR#F~aq*+M5#{@5CR?%bl<59a^OxNLkb7O62T?HwUH` z?3p*xSQIQD4LPs99wEJHq8X7ox15IbAKyjoH}1F5*V@}8b?a@&aIbUSoN>}FBYkah z>d}~+J_gNtzGkv~y_ohBQiRmaTum|W9I46Y@O(` z_%_UtujeA&d~yRcJQK4^T%BTQ_F2rY9oDx;^d-R&A^Oe)AxofRGBsZpEYC{|>;r@Zf{eTmIz^D(FDRuc2S}qyE%zoL) zYfanDn`SLvO%uY+7nHry9qt0E$PD{UB=45E2u3fKn*s)Y5t%a9hq_t>j0vuLd zJvsz6XKF%DRFjQTZCz6h^!R_MfF2RbMu&#jY4Ly_<89R0tJq6ph=cMqlL?^{2!?k! zt5!4%`ut4#n3)ji00|ZjhFZUMzu!3@{t@;loc2l0Fetxq3ac^jc_w-sf1fVC^{L!T ztZ9h1Ao6O_Ug2azl$Ty^6!5{>x4S6u3&?$?ZSQNgL{Z+z{4z&hS+d8nG2-995W!yUFh|eJ%3jenZQetR8jEga(X+U7Ojs_^IV$xtVz?vlp@)o;i4J<#=tmcx|P4ZH0JkmADy^&fRFM_URfb z|I@*euJM08vNf(-k+T)9J40-1qd|Jjv){ueh3~O)1J?lJpVAG&F`wG7>7Bfov=1JQ zYKJaq_9f9gn6zDO;O=TUZmZa@8UeO|L%GQ@r}&bMOg!FMow_gK%{&{gm^t zNt%9{8laC|H;W2@xD`|>T@G{b5FyRCqB6gn4HwuexQbmau4|8{*(Ep?k|kY$STL$g z`OtVgkq9OH8Da4<+Rp&4FK$Yi@B2T%5t*;#RCK^9c@8?G5nm3aP5fK*{Jo%Yqx=yg zBpibJawkN#m2R>xsX!*((0`co!ig{v)?=FUmN1#OOtn{a3U0^01xuE>DiT!J{3h`lcLN(!5>~oz%duz*&%Rt;|PmLA2sZ4|3oi9uE zQzk?aKJh9Gn;~C_Nq5NKs4)(r*PZ~{NgtK+#i;S>h#N5&IB(s4P=^Y@&tp#Fv6~Nd zk9!+-1ui`U++Tq_8@poLw~-jV+Zi7@@rO}kB>0w*BD_Y#+@hEnX1bb__~ar)VDDqu z(|mj;t-xMsT$sRsiL}>L4$bxyCLtmp79pY@@bm(n>AgFf}($S=G%= z?#eAA48n?Q8V2?M5;`{E7w{rHL{}zk&KgDt!Hkr~%6IKMDQynyfPh*uurAbdv;$!gm=PK6#_!qJh zORhQ&rIgoNgGQ$9ipIEtyg{q@Ju#HY3PypGAGAB)@71{eOhKAUbViJ*R_ z*Ml2VD#KXP4ii+(=p;Cz+_w6et9~~nI#`tLgm8yPZM1e_PfjeF^6^f<3^x}^xx7c< z%~G(M#fp0dx07(7aL$G;oL`Y*w{M=_v{&qo2d<)&c<>VIZH|kYO{7B%J0X`8-craS zlRmTX%pNuXj!*(EcnN=-?9|?d!8wLK(RP_&Tg-<2Du-RImC9{Lf!MO&>bf|#me%t6 zFmkm}f5!APz>+y;vi{r6&c2j37Hu=EfiS)B09hXLR4BTzv7azQdM^GvvZY(NrJ1`_ zwiH;5a5Ds1V4sDgGCc#pVmj8+#a${jBV(1l5pEBXEYM*g`o;!LvrJmlCdETZr{%$= z3u+;33FNy{s$C0}Hq%5-+sG2kiI`1I6RwfUtW=Jrlr*l&52pRG$w z+VK$5)I^AJNtPIf$Hg0`7hO=)t7hQ#ox3i%z#WRQeD(RE7{a!Tz;Hl_vFCt>!&tMHLr0#SwBDPbfL; zdaXrr8X8`pfwapvv+Ek(6)y)IcX-dI)5Fy6sGtgH!Mh0F4`rnKXc*gaxflMJN>{-d zD0Y~ESe$xStT`y>WN-kc zmC4C zCQrRE9!N$C`Mc*oWKeq$7(aT=1c6xAX3{Y!uz(*Jlx%%f#>y%$g3$U#kJhGvSiJ z$^7+^#tEDs#hnBeJ`-_B2#d6oa_O{m_{U_PvC03PRx{;CFHsJiY%Ms?v}@R~R|+9* zGZYx*zozxt;~(zA!*YqNol3_!@Cc8?xQJA0QCB`y;r7G%z1^-J^XOLUJSj*}Ep~F%3l6ENmNblZ zw|)Te@E#oLEjz%h7ofiaZM?Ww=-)22zPDTU(Cg(Xm|zq9aF{&1=3!x>{~7E}p4YRW zQU|~_Y9-pS9ub6eHbc8vnj;SF10dvY{815535Sc|3FT1(YCga+yda1*0f7v}SZ$kOrFfdvGtamcln_=Amf-Ec6Mtw?^3AlGr$D4jFd?YRI5EEQNr9vQ8 zAcn1{fZPk+f?3XiV`MNL1DaSzVfR|5BfiCJ4<$?`vzb z*TT3w3R?t4ssf_tX^)0#%ER^Z_>43w8Cej^Cyb74gd`C_qGY>$UCgIW%4)`v>Un7~ zzk+Yc^cW#$cV$95k=9QXyR(2#X;7bX*>B9vK6yF)BNzps^Yx&cf`^bltJQ507hJ$` z@d(h_sUa4D=)F@tYvBI_m~Vm?FT^%tixdsS+n_bJ4xEV*54@YRtK0h3t@84Quf(;r zYmt5vQy$B3o$bhqsCqxEdFfgQ|2O@6BR0=U4U z!T|GGjE^LxUdCsJUDAWt;qfb!`}BtI8a`z$L4CnmR05^|{tY&+-X&W<$wmZH`UiiU zQi@xOU{zL&8ec@_VvweXwEy(?gHDC{5lcI@huthrZb8E7^!<)RE9kWe36hWxVq_E?>D<=thia%TvU*WiP`X3Md`nKeOnEm;Nm#BjDASZXCEV2k<{74O`u`P=GtfzaVhuIH@+`b2TTE+Xp4A*D?{;Gu7&3j4)7N0Mf1|1upDxC^if| zJ#87XOQFAd7)4b(gdADDp(Yab%8GSiZ#VQa=$1bUc&1IQ+XL~}kWb>k1nF#T{-~v9 zz;=0nccS>t92hyC!PKEMl);3o{cocV^Zjyh+Q6RzHI=V?KhO4T;N%d<(u@-uT!8ue z6L3ezdF$(l8p(J=#rORA?D9|!ybFM#|ED4O3?T&7)Z1YbADAqvT$S8{UbQ%);wR@Z z4zb+GK{3FIv5?mBP^6z$*Y^5lQQ=r@CmVnu^@TTg?S z(UL>2%w_k3n^}EyQv1O<)P|QJIX8qt`@zfvp{F;?Sw(E);I~O6^1B}nb5V_6Zj`8* zyq{p%_`dD@ek*QMj%iE&@gR@;4X=Fz%>?iBkxO2=pgi&docYLt^ac55gxIWnKzXq8 z@IvT>rXg*?>6#|oLPRY_(HWQyXarsU6G?G~+ ze?gGW`L!oYjP8;Ie5X&jQS1Mi`13P{gA zYboZoQ{9&|Mgk58a^pfBlv&_f@{@3m6cxj8iB#W!H?RI?EIaBP=`v966nS^3bBKRB z-7v+qR2X%L|7x`-Wch_K_$o&>+&bLe30hKv9~xg2F1H#$EE#u3&{}uo8f+!1#bZZR zZS=%xM~~OgLiMex`L}(+GgFF=Xc&nSPRJC*We%MPd$bpC@t;t!c&#m3tgc8|S6Vq| z{rVZl4$!(lf@ELBYv+^VUTDEJ2v;?|Y4oQLGV(eno>tw8NQY%DJ zg}HG1rHq{F3Qq>Y2|!te2}kKyH_^szN00p0r9Wm$Pb8&V-Yn*OCe+@nsR>%rR@qLh z{e!n8`5Fu6Gp0+nvlm;(;+;SEn6XX4r#kQ`%RLC#xI^L74msD{d4>*9%G_zVG*CI0 zY=hvgLm^MAzW{FyCRVKgE5ftDH!JmoDui-gU%X zo>S*np=G52A1+~%Oik;%f037(=G%Y?r<_pu~pgTU3OrU2ITP|65DUn@l}kI;Q2*F-E+;gme;T{Q46 zZSf+;cV~pYvga5rSqClT{vH-9+GW0vj(FaHsOUF_q<46^?viK_XxDA-swUI11QI?| zm_CZT5;9DeT9%%f2ZkhcEHq&sy%G)+*$fN2s1os24zUL8d=xv+vuyvY$>9@<16`g6 z1_%sINzi5EC~iZ&cdaG-4rheTv4lYkI&SPH{6`w9HdyP+iM+H_%w?ex)V@ZG{52pF zPwQKmAw~>5?r%zG?Z};r66FN?cG*xmmLR1GIF{hKD7yVDWV`t^`LU=KL^vwnfZ&e$ zTokYvwFH(5?Mz%Gey2is`H5w z+sEMzr4_3^25bO6V1$5K8w13iarRePd$>WagH@?eX6`b9IyVTOk@8Wj(U8f~;qg0P-KPMQLof?zkoED2A$A$FypL9 zNrb%P7O56w_O{qttbb8lg6#(%#Ut3shL}(bB~at7B@NL~l|ls41Mh`*)IWWDCT^WI z0$=W;2(#J3ENK8XNA)&FjxvE#+dU1oNv!fM;hBHJ=B4~|R4{$#V5RQaTH*y;K9xZ@ z<(ETHqO&QpqlwijR`E~W`DsrT)|@OEf^V)$H(-d5CS%4q%EK=NqkSE-)s`}h!mJst zL8_T_#2}93X=}2f_aS(9c`hvPk84H3gDD7GY@W^U8b~#1Nm7YoEB+yuGeKe0*jo$L zB1K?_8pwgNJzB`NA$ROHP1RD2ZO2~@YNy_xDz-V=<92O<;6~}(5=+&B#?2~vTW4y2prDi+`^;e=K7DB>#>__?!{T?<=!;z#o6rTnb_tk+F#GBmD{UPUCn^L zR0XRJoglnGb@;hpw(|Kc))LIZEp1&{Aj)BjYqF|py=DZA;XyB-Yw18!(fdL1Ry$36 zc1u+^EDT8HxQ;WTq_?;c6AKt)&dLE-ElkCMo}qwkh@$<2deD#MSUOPr6W*EGSjl>z z$BF8n7<46=X8$s-?A21GAM5!~j2d8!kpIN^zj|v_GVe8v&^*q@#IV>Btm)I@8_CI$ z#W$YAE)CLD4F~}r!8N$O2n}U$u-E!py0$0o{AY_|erbD7XQc>HV`XFMX}RHgc+&iM z-uSus(yla9#y5&QXZq5qw(jY?a(mr;mZslvRHPKJz5H3*zNwGka5Xd+e58+B$E1y# z|5j934o!w^;y1th%l>3G_;H_-tqDUOlKX>lqRKUQ?L@TV7N!+s8AToTwm1S z99?YGa%EMP-SV{Wh3Hc;dF;emDpXlSlC7wwKRlJbx_E_0FY-XvxMG`zaigN&GSZ-S z!72{mKyV2mBLy3`P+bw>NDWYs*s@3GjL3vN^)fKi3|hw-o0~pADnk4eEky|~>mJO` zqktg?sl%0amiYA9q9Hg>&z)@Z4f+uYDlm-9Se8oEQ@n;6y_#%`l3w~M5S|?U#^0?f ztP9DgTQMg_J9r+UXdn!j97$pfF0MGFL&cnGS+=;IC}<54i%OV$K6k3kQALpI!KtSA zXr^rBy3h2%(9Kjkldks(H6ojwj+hq=CjdNL)igTFyw-bmQ*3@ z5h@7zucUEzyV(H^$|zQbs+{j6Uxi7=Et3@oV!9rMbAmICsYtxxwm>h%h(mFSfu7Vv zS}Mka=+I)y#34$q<5r%&^Q--WxKd$@>9GT^NS|0GbfOH6wQW%s#*O)c(rF`#t&jdl zC=TgN2p?Efgw+FnWMr-H?_5Hn*!(GLZFV&X+}rKv|ohN4_|D$!jyA#ha`YxPF6?t&!KZq_9 zoaOH;sJktOGHK^LZb#F3|o7Ls?=k{KoJd1&2{ALX-r2#A|&XmSpp^cdNk$R z$Tz2ezW?Ml`(s233L*z*+;RZhkIElz@U*^YR#>$oh*RDF22V{gE)S==FzZ$53p#4~ zPwL)&H zjfRnW|0FBrMbmbYL&S*>cV$h^3eR@S607SMahA&aDmjIGT@8UNjqaS31;!jf<%|ad zpq6BQYG$tBUyU2zE_+in#pwj+D!qI}<0>UukZ{CBLdNKtj-A%1{4*ct5%*JqUz!hV9|T3Y{a+AeYl~1(!SkFugI|5N->%&dlwp zJB;1MF}X3VVb2j*xq(Qe(JAs!&-NhODR6sl%;mWvr$yq)1Lyhlth)mLCXNLi)jUlO zVW$DA;B)Sq+PTiVvEkr~6tHnhy>U4Hbrt?iaISM(-dN<~4&&k`NZ0n~OWg40*Qh_j zAgjkry)Y58xpYJYPubMO^-sU-20Q{1;I{PrsKw1oi67Y$CCrOS&hitD0_t&N&UxGd z5@@#czkMi4AB87A*Uq5a8_6&UI}E=Jh4oYUjGhuPGD z>Rl)WeW2PBs4o0a+e$u^GEmb_&eZACwouQz1zvXD7f3%?bsgg}1tnT_gXrH>u*3Su zxrJ3HEDhm$+LZ*fQ?!Vg$k@aqv~j3)88}bxU10Xo*I{rxtf~Grd`eh4!uyY*myFHr zKZa;)Ic@p%Pf+WrG@F$kT00k*xAb+h|HCNE7A>W9W*x5|=cMr$^8pP-cFE;!ovy#6 zA4=EU?A^ki5*C5}v5Nl^@fx-U`VUj>GWhUs^5I_$=pWYZf4R_Tt=sIJpFtuUE_UczT>f#N;z+&Zdf_HTY*TmjTr6W8M(lJR$srZbXglJ ziI<~k4hxZ<&6{A|#6Vb^u|Fo>!U^|*IGk9CM=#boVW4<9BZW<9+{gE|nPDfu-3< zFmsi4sNR5I5XXey!8oEpt0LG^kgb(E7a~M4%V;`M@S=sQ#2~-Aq6~f~D27fKY1mWS zRn@gS(}s(uqakX(9q@qgCEs01Pwt4_k&Ee3@#x?zkWM}SM)AT=IS6tzi6D>2n*bKa zs&?S{jdhblLaQnmG!4J;9i7Uet@lWoNkxwjE*EA2Q!J z?(-QmlynMri03)`=Dn>}RYH=JV^b2VGx6h3yDqzjYD0P^sTDLr_3A&JbgI<{x(j+n zm}TS?A!dZWF$Iy7>)P@Ug4v>G5D89}6g+XzhXRbaP38DI7y za)Xy2My@KG_K56;@rSIJUk=)?B(M@+zJ*Qk8rIS%vQVkl(ZqbZJ&Ql=kdFNZb>TIE z6HoEAl193Gi+1Y{VjV;!V!=iDbIfd*ZJL}2a} zlv!Qz%9g#0gcn>%iojIpNHY|XcOX;A1_k`6?861o zQGownN12ealup^aj52w<>5uVw5LsF^Hz#8O076FaV#<`UzU&f&RwoHg@l$X36NBa; zg~PxE3)7DX4ri44FFG0>H=Rk($8IvgXM&%_Qeuwga4f+?Ytu?Kc;@v z5(7gsN)UPkcC;YMr!Tm`cud#4^rqF7|E457&b>D~AS5w|0r3P$UW#5dl}?m4k1{85e!xl^ylj$)P0mW!>xk3#~CA_+;#{8T~r;xA?pinpm98(I#+E8dKDsy zdIz_$7O1nJ4gdx63#w_lN&l(f{b-aAd)mV~zy%Kcwl2`qG9%D^qSfzNm`9DKhz{Q2!D>OFy zD+kA7?hylx8EKSz=pEi!vSK>NTV2twtE?vN^F!fK;#1HN-*M9TII9U59I1ih38>4L zT}7}Btsv7KE$DeZu(@n4$QdE|O);e;2rV;6LH?$gvM!9!nBKeBevv-0Mc^S)c zU0{I5u<9L6Fh|56)H)$)6qB42t|8w^!}H(xupbF8IYV9ISh$`*x~m)quy{{5)CB;c z9aG`AvHrE)j0szaB0MzmU9~m%at|7@bhmaCgBE67smR>1SYoETNoLf?Jv^!qwIbYb zWT&yDY>>JVzopO;;9?oS&=;b;v9ZX!>X~5cL%5K6cqaG$N^eDd zOnc~Tj9~F-Xg6|M!r{jNpX@2+3;uj!_bo&!$wYfP!M7|e!KN6@TXQA2>}$-r;Q^VP zyTnA%UpQOVv-O63z@pnndqdEW>HJjTUlzubl~peU5reDbC-;Ll@lk+GD;AzQ{rV z%?v!qvH$t}PwSL&gg$#%tfEqwA9;X2ZkIWy`5vs5OH>3nrv*OSWIcCap}+B3Dzusz zNA9iW@tWN59O5N+pbajDd8T~2kt^R|nd+4B^jQTdg^x`STyED7)#;)$g>&MqXY_|D z((0U`%3=`&foBIBVy!^cW!54~D%*r=0kU`?Lhbt5ebyquJ5cUIb@W)$R0wr=)-#Ao zU478&mdlqXDIaZotVSZuX8e4xq@T)oZ)s>KE(CkHT5Uz}q7AcRzkomc* zeqhpRY=DOC%Rrk9KY9GD;do%OXuNKR1q9OI=gTLCw+7o{=t1<<`Ee?AvJ-D(y#h5s zn#%l{bsK~MGE~n)r65jPC?<{i>tS&sfKsaG&;lSpVA5zCsE?_97cUHYP^~;n;k8@Jhn;_=pmiInbTGR*Ddc&spT`y>^N@1Z5PnCJzOHC#g7?M!G9ggKEov z;ugHbW*q+$7XujMu^)0i`y&Q0XxT^5N3*fHzku`So0n{Iq;wy93j}WWVA8#&zxIU& z|Eg(ks#C6_6w5>s)l_~S-#+8-Owj5yJy}Wc|y{AYUWD|0P=R^(B?Dx)Y|sldC7NAR%aO`1FGX}TePswfd)%Zn)+a`vuu z*oQLtZK{r=Vawm*_-&Rbg4HfyxbWX{EwIBHwRCqOzoZ=OWeFkZGRfM-@n!`l;|~)J`UGR8x)Mo%SRRT zQ;tXCx1=sMV=S~@j(I>-yuIND=wmv>)mdmrTmXmuOCLkWQHilJmo%%Std$4oU ztB|kBev|Zlo@U_naOUxLc6GAV{LQTWJjSkX4YjoR zQq9k9+S>Jdp>+LKVOI~!@4#{u-~*R6k5U!m{GKfz?~oFV+?r!_n+-SDKb*ce0>2-f zuN=H{_0Ijg=uX#r(cgIUo_Su$U~0#&_rCvf+J3a~cG`4ODge;fs9&)oM&N(O1F^Cc z6p}A3Rp6klQ-2rt-~+xF+^v3c79_E+LAyAddVICzI_SRRJx~)nJZ&;J6r1+ktjJ&b z;&5|d^k(W%ui5n5N1y)9ExcARd^m<|wbJH6)%!+<5v#y0dDmNqp8ag!-j6#|?L8%B zB46J~{N8Fa4$B8-e*_IOgY~`DTAf3k(}v!8W6`SHn3#rCm~J+Wd1>gg-R-wOLwn~L zdI*_KXdu-E2QicW&PSO40sQmk0G%CV*Y6u;>5iKUGqv zTw?1~u`}RtJ2M;yewA`tg7)W421&syW@qD(M}~m^4tP(aT90Z}O6*E5sv=@d-lHn? zqL)LMRq%5`I=I;X;kyu@pd7;Qcw`mGOIJ@1-x6FSF!K`Pw>Ehs#SeQ?1ECeLvx*?y z*KAQLbJA5E7*9C+Pl66`_A1&>1HxljU)H3a)Jov;NKnunF{Al0IHR{zHz--ieh80& zO%;MeE2km882=1V9MBScirWwjRq@XpiAIOx`Xrf&i7)A(eG!X;Dneogde|%jt-PY& zGzkA{!eHumz#|K-v|`ls2cFOLLu~#KO@X4iRS-t>Y=q`S~RE%76RS0%7pmf8Yn{ zACdGv$%MQL zk7v`1&5P?k{2uOCCy)1U0E1D1mDkrO%65DLBk;7ABtk-h%(M)*TLa+rvn$kFO)l={ z*A2YT*6GLA#^m+WTGI8_Mtm~I*WS)+dUub&=6B;0YOLH~oj8>RBCp>a-aTGsbK~g+ z%Jy(=TGL+Jj4nfma_2R2=g-Y@!Cf6+U+yQ7u3gW~<~efBYQ}5A=*LGT?99%b*xZV? z3yGt=z|8pmOr+xq@P7wRW;32;=TJd_zdS>Dzbxr&eJLyZB`k6Leg5VmkM!c&bzL6- zuv_zaes6PQJ@zLWUzuE8MY(!B(_$tB?nUjxQ-eMVSC>)kKu+(_|NSEqO8soPD!?C? zhy zxv_2Awll%Twr#%id;dA-IoI`^xvtMlb>DYYf4jP;XQsNU=6On*L26odn)dgU0!)EnOJ3Mjp5yfJfg`#j`1uKXKWUQYi*EP$r+2LTZ zoN}TciEDD&VutKn*(;*Yap{`NhbSH(S8azl9w1vm>bnvm|Ab|Suq$>vAA+pk9- zG2&$P+QhBzYK4a(vn5ID(mYzJhAKBFNnWqpf}+}FZkiU_vfc+FGs+XTn@jiZ04Q5b zzUDH6{l2aH@Ou~ZnX<9(N9GRt94M!GBf?A6T-XnOEmjvBcK7DdDdT<_@3E30gysf4 z4k^=VNX#{tJ+F+NSWKuXN<^gQ?mvabNQj+Km%g0~7Ej*fD?vyglYKGg1_;&r@BkE$ z&1xPH)nO?0U@L+a zuNK#N3(ocKBgG#zAxjfHUsq0C6X{s7`jR#pOFJglkf=RKO)a-uhg`t>lYdUkn!$=1 z>ftR>3fWrphQaN?N^yJKx#zj%9C)OmL@K)2iWo}nwaRF>r>x&oB3uu(KhJuyo5FCm z*Mv#~wNr!W3L2@5RWPsVCilcGkfk)|>O+=f2>hH~^Qydm2hgaeVw8zf9kX)vv&5Kc z;50+thm4ey`N$L(m@X3GxsrPgSbO6vk?0*yf{s`e;=R{K-f0zQKvcD}8KgQBP&MfO z)E<0hgwhssbL{VbR+#B~jM@nv)Fcatk@>6a_B1$TD?SRcE%WhjaC0aPAcpN61{?FL zIJT>E?7&o{V;sF+I+d6dBu>?R_|`D*oe#CT7Thb*&QhZr?1J*TUkEzkF zdtg<8Wlbj~IKyTt`?wh*ZCR1zUXx6-8oVyfji$~B*51cV`9R8bUeFvF>nB$uhVp5f z(!u&of6Ijox4LfCYg=Z}M4HAvhW)_g<|ZSq%90Cd>|HbjPHX0hp%(07JGfFqMT?xB z%o!(#DHIGj)JSmVX~Ymx51WAUe5kTACr#a=ZYcf>wJo@FA~M2BRmV%2`bkb}upQ)3 zRUs2Deq;a}qRjB_sT!glseEq*8SQ?Rs(Ge6#J?1TsAF{Qg{|X26*Y3`EK^1_Q*#WpqhxAXNw~wam-R~N1e}}& z1#KRlY*B9B8@Y{-A0m+-La5XU&l^3G62z1V9abX#?uOs}KmG7*qZ5`l^|*N9NKOxt3w3cw3kR5f`Z!dkS!U9M2=?8n3?uuB_9VsVWP1 za@N~v0cX~b=)b^>1|Tl;&Vr|espVU93eVeAXfc{S4^^v(;(21wf^yE`+|i5tL>U0< zA!6n}RTeho#b=eQ_6fWShp|D(6$T+YM}R4ktS_>{X-Z?7;H}m(az@+4uV=QAQ4A1C zl?quiWIZbxT3~NevUaFiilvI#arxTDe~Vu*>F^>(4v2l$VG8&j&(Dm#7HL!ZaJRcH z5xHHCUnhC;9mvIsWI!`HP+Va*MQqMRArvCPi0ln#qWXyAqZeM9QZBJrs^DE89w8mP z$jmqwd)q7AATJ)W`Gd9@7+oi9)CO})^gpk^0th^f6(k@ag?1nyxc}QHfwP67gXyL& zl!xwc{gU4L{8>eHtj@>}ymYIMD^+$~wR*?~6EYl|aA)Qnf3z^8OiU#RY$n|jG!bNi zED*3vvy7j}A~00J!SO;QKVg1bNI^3{9$tPmNJ{h}Z{MMG0l|}I2$K4sX+>5%-j>|)7{xpwBac0jG z8{tW!Zuhk_Hw~#h>Gv);Kx07qhT4=mn zFF$#T*CQXa#4{u%RHA%C3Ms_4yJclwkF53SZaOJ|HhH0Wg99`?fwgHGxh_T>dyy*T zP|v6B-C62DW*@u->(V*$utf{sptX@O2sLM8OJ+H1(eQpv8!unn3Cf;CT00{XJdmZ` zcum>m-#ssGhSY)Fg=5O|L6u=#okIG?YV7Vt&0t2tC4%l_wW$+UE!nh@P2@q18CmpR z;LKWt6Q_Lf`f8d@Zlgy(dV8lj<{jAx7gF*W0)qf!ku66-#9ExmCq z{_&nJU&GV{R}c+h@K>i6PGNAk%+j&$E*4Rj;-h7EH+EMIyu`z;oKX*R>rY}?Oorrl zi@1gvZA1J&tbGS_TtNC_R!YsxQc5TVIbOF&F0&lBB8R6HcHMBKX`|alN{c8Uyhe$ea|5M& z>^OwC;4kLF#c+QtKDLC5Bq9reCjP~k%IcXctkK|_)XX#NF?&g>dcDp4UUn4kacgUO z!f?Z8)a-ec{h~-69Q?Y*btBY!)$KXUs$oS=?wN&^odrKMjcEgG@U45%qz^|ECta1~ zJM5vz=Q#6M^|~q*lHmwjgBW22|9FcUl5m910fxoIwNQZ0#bI+(DJFedDQDDRmxrWt zM|Bt;$L3P)OO>Ul4#TZeGcnt3<*sgJc{Q_sNf%5tTxUk+{UJ);+7WI0A}vJn*~OWK zB_M`-p4Ou5`lPR~rRi|8unQ(?jTaa5c(Sk?<{pc|s%A2EGOc1dRo~dkEr)LeZ+Uf2 z>u22K9j+D@E~B~=cXVd8qnx$3uX@f$2dA8UbjTfE&Z0$unPNesLJhXrLz(Y_d4iTx z)~t%0Ree!oQLQ@jGuz zxmn{@5bbOx0-6MKXkB&QRJsIn7ARfvSyVkx#*WuV1OhKsEz)RvW=_SN>OqVrGBBV=4Ap>~Z`2k9ve-Sf|P8dq78ix*t2Qrx?uTN}E z?@)I!mfacbtWPX5CKXkBF6P9s*qYcdCSCb7yeQ6ikg{nQF}8-DAa%=AICPCuYkqg? zko?=IIxzJr^B6&6k0EePJUARsex#QzhpaAtEq@cI&-MzY9H*VPD2=1>EM};pFSbci zq^d>L+Zt#IT3bW1jrtotE>@%CzE7G7XXNA)a^d|Ple)brEvra>rkCGSk%Mw3^cb(u zc_s+A_#@w};ypwwpAf4;T|ur!r}K(g*@cMOSq1Sh>G&o1CL&Ef+L&Y{_$C8&w z=6;^+iF_Z3eKz!d3L+Xtc4D?39DlcX$Q-Fmzc>Kr?f_LeoecjGC>HR?J})Ae{G*`O^<*% z+&3Gw)>S9ik7K~mC?@@e1^=$((Y1&c{Ju}{VkbAbUe}PVg>?;4_-E$(1gBc%zCfyy zuJ`->Ec*dJe+%u*E#D5lrqqjdzL2i)=4^a!!RRkc&m9D&?c4xrN0Mp_uv(I<`{}iO zn78wYs~XrXpigY(zzB}<*nP*$&AgKd}}*9{d{4+s|9}XYij!GHgVC6kxJA zAvxLjk!=Ks17~D*d6odc+5&&wQ=fT(HbHe@nN9+}v;*YDbe98jf*aI>a^SNoh2%kf z1t(BXqWtB>*K0ue5!@KQ3-eo99(JnpT0_5r@=6E4?(0~=O^Kj-5L`I?8Ny~n^UOue z(N1gw>>;`dZr+9L<2rHzrU~FT-34z5^1rc9vJJi!0gZr}uVsX8)`^B}UHHvUkQ3ef z!3Vp#c9^%$!5P{zYosJyBp-RvX93U-u(#PjxxN|OyhOk@bO)*{KYRx)hdr1s0&kpu zjqs|uqB{M_`dkjr$p)ks$IbIL+k;--cHr06TQryS!7BAw;~w z)lb4iKHe064~x5tc(6T`iAK^%~+hPgde(2>j{n`{#HjO)JM)TU4|ZaZ$FhK>$Tw1^Ps@4lYv$~^ww4`5w8=0`L zEDZ+nuoHV_p&uV+cavQST0mP`5ZUZ=m-m%JE}iR;*ji=-q7+D5X0K9jUL&{@5^zD#m`mpylBC1Sdz_sW zf%n`WF_(?+=6UtLPao*O(gJuDG1rel-j!mm$2HwxXHqTvwpiPgAG*=>2zn8H3iTe; zYf5s#5d5w@aoIlF%~>s8?ssPAzxZCTEnb{wB>*?aImdZOepkL|K-nGy_;k$v-%3WI z!Q6MEV1k!>0BPQ8u00fjA7L8;TUd}U6`UX8UM=}Y`}Yr^5%kN+iK`998P<>RjryW5 z_x%q9?$?GNs|6yIJ#e#xU3>(HPc@Lh06KBl-tWDDS^5#m;J)}=D1mM$!XN*6Ac32G zh5=m6=I-J_`w{NkV0~?D!exBEqW%|(x+3P+hBN=!p~KCe9el(ZsOhgMXDGibGXg{? z@A-V$z5R}<3vzI{p#VTb zLAC2cLxt%<#5mN2jiT-D0LbeaId9;EuY)+UpuF@?bilm?Px!(c-Ut6M-hfMJNsc9* zxHg69NjiDxzc6)~XJ>AizHQ$u&;9D0Y6IwfD|!fC%GXZh_!Wi}YS1ET;k}u2N$}8a zw)C)$Hh>?6xb?{o*mhEGwp?|N%r6uGb7;2N5*f?2+xmg=M>b!IdVe18)~;q+T6g;q zMKxcF>_3@u?HT3nY8nXQ46j`YTk~%oLsYK@kyNb)?NKeMSYXaTYwwd{R;})@QZ1GI zsD8}GX)r^I2KO9%{E+Cf?)Al!(sGHM5#l|_|LMNAwv%dH-(qcn z$q~GKiU)d4fFIRt3ydV-rp=KizLz*l0)H4c#B8_UL4Fyc$NobVxSMME$&U(STHBfd zzPmxdYVxv>;|x*9q;y!_<{IaXY@Sz;&xO|Zre`W8iYc~TFRHc_uIaihm*l{TPRvbr zAw?Brrv6aTnj$0Sc0DS{A_JQ^CC$rXa8i^5t%oEF^LJty6X(`2LpNkoK+I%SC}Ih4 zN3iERIYm9$1Jt;cqhh_HHhUPO7jk9Xv$H zswh$as5_KU`W=TsDnwR>2_luekMcvp{e`mve2Gz$DWtml3k0k6REH$N{EK5EP?9|nXh`pA``pNMfhdG>r>0{oJ7c7aVyFH&TaU!@9Ci66Z*)*m2_%< zPE6ytpex^DvhH7OlpsEy4w)vj=3txQkWI` zs|B<)bW)YB>n5RHGfYr>U>%xtMLB70iE|5I7i3ic3 zE>M1RSL}fp6LKVo57;y66Mu~a(g0))2w1=PD-94OAYMQUfJ^|n1A;ps{we~*3P=Kw z4ifNb9xR^_V6CJBsRq&ylh{&jdhc@$2zEbQ(P7QaApSVK~_iIX|KVf*IEIE zWuVXm6r6#=BT(Sg!8*ihXPB^eW>x5#2MV?41s_h!;;#s`QFqo`@aWfGKmk}+f6jrz z8BoXu3Q_u4hpoK~6SZEzBDaA>CQS=1@M?k_E;lkvTw4Hhlpz!z`OWiD%_jT(0j(W( z9vPoSP%~lWW83N~p=)SIyD#!ns~S7fq*`_w8&@E-cG?OjbIv-?_c`Jk6>+pid!?i? zpv`*D7dhez5)+?tv`dam->1rus)FBER+@5z|6QDcr*1L}bxw;ji(=4KfC(o;1wX6)%Yevt$D zbqyR6C7RYpV$|aFmTaz5KuZgLfz?{9Y1f2>w>FWSU{laPu_J#sx>3FxLSbIb%rSNV zUCJ$|zgvr1+WPPlv_AG)GWU!Qz)mN&m#V3=Iifq_sZOnK7Le28x58RBur^d91fz}E z2~VEB?;A{G>pSMGfU!KfO;llqGrFTZM zzJTJ0OYqmUS|}aPbTiAri$zXz;09XriU5;)-aj$BXv7A3QN%c{YKjJBw(Td-c4@1| z!+7=rx5|hqRQftwVZ<23mcz93I`*H-zq4ELSt=GyxwGsn@{dNaR?(0N2Jp>yP86)s z%qg@?*#A}*47ZqaEzB9x?MGt}%&-VR&Z}#Tc+zSa*|I#VrZ|43DADcfU=kQw8`x1> zJJkfnHXX*#<`vph{>92GOyA#tr*CW~^%K=^Jan)sHn!o;8amZjhcc0~Xs<@{gn8p} z&T1~OXBsVa(lR_ZzRGO*agcJtMJq}e6x+)2*RTMsN;d1|RBRpdNNr7xv{bmV3L~q} zvbj013n|-VlK5GIay^CEt5BJZGk;v{TexI;Yr0W6d7_BG*6SZ2`s7zLRYdz}CFUw&KD`-^pLD^B8Iq&xtO@ z_Ba6?x~3~I!%vv0H7%yEH=@hf9IY!OWe>iUQ@dQc)|x%%#Y8I&KG+54@OZQ>t#c2e z`jIY-y7ntwMtG^pwe~p6b1p%((K@u77Rj$2nRX3IJ=CR{^pl3Xn?|y?myDZdwEK~1 zc8zqSdoG=-M?oN)yQ#yUoYk!pKBqE*ce2dy#*=sA?3<^pIL{X@siv)< z^&@xE{}^e;Zk$qYvw+!ot*J|h$p|*nm13RX=;Ivwy`}4;BuG3|Z3~-JopATkCGb6T znR3I4GQKDk{XRMFq0TBh71zfpr}Y}IYgjSUvgoTbn`3^5n{;Xk(rckB%o9~n&vee^>0_3&do3_V*`RkHrivSdZ?({wXPm_S$@~i_5PY?j z7>W3@(fp$(biLxm&H2l(9^)1?>dQ*wb9$E#;&a+99!cw=M)in~p!?Vz6h^^o+n&`a z^dZ1LeHDp*Qd0!CfsP3K^twgwPp{DTO{f34@7vflSmSpSo&NR0XbW90X`Y`Zwczi( z>6Alvw1)`OS851ftI4}{sJE$x4}$=KXYCM9=!cNn=t4$c7!EaJr$c4y$J04Jk+m1c zxt`nL&r}M$6hhak`#5O|+J>+FghV55ZtjIgzc;eC0d^!GonY3}!OZLLwy`eD43yl4 zk2clHXTEBZWT~Q9tq!L{%W@T4D^HsIkp=VmUyjWgLi!R>=PC@gv6$)=d~fPaZBoDA z%$vB%?@kjh_ISegAH>}p5w!G#h|IC@_M0o>1!6Fl%Shh%)ChA^Fx3~|V1KjPu~(#- z$wkQr50qo{RH3S-0$SFGO3i2|WtD+5$IsEoNK#*>Q?szE(Zso>o$$9D#{j-kWOM;Cna05*%B*1GY`Ycr);72+6o2HM_;=3z98md2OOjvDF)`B6yvp0_XX7Ym^cb{leJjZ{6+X;L({yTjG@Hq!7G->&2 z=YEp1$@HcFs<=Ku`(yS#^_8Wv{jYRBd_Md)+L`xTUWe<_d~${MN#aS%YLxMNg_1e6 z@5hW@k5o4G!>zN~(^ux1d1Yg;fRp5+{|~hac9C4a#^rbgb2#5XqH>>R9?26?`(Rj} zzbePDZ|!Ngj0oz6Blgt*s7|_sU>VQk9bK&y#J%>Hulg3b+t~ zaI@Ad6)YHEw;7{$DP6jgDve-M52@k~F+fH*2c=3J0O})roTZ0LyBckg-v|N5wLGuU z7)3(n*{pIB;*;JA#Gpt-m*FHU+)S;4Hdx?RGHDcqVlg>T0H08(41!{ZMmTl2B{Egm zeC_!xncU&mERm-b}d;otaf zh2PJUk-rsk73UDyyfztlISgN-!s??GtJTv)EUw*o+6}EKn@#EpamEc+D+dUHHPMPX z?TrRs+(X*gN~gqKzX9yAbw(Nr7SrY00Vdkq*P`gAGJ5Z-yEvguO&?X9$z?9M|YB-O7fCbvF#c z>f)^&`B#`OiZ&8M6l&#f5ZSriTz*N{C@#Cda#9qYVYTpfhhUn80UZvlW=O3*g?ZM4 z4KB4;XfC3`b2P5Kd6Qvqp`BHP$S&%bf>kO=upOk{`9)s86!cR>RIL*89#`SW7Y75c z2pQ1h%OxQ;(}%U1y;J~k4bTZ^%)+hawIxdY3@15sAyz8Ac|_(YWQ%Blc9VA1TKv=& zqHdbiZxMO#4U-j%%7ItY43&!VaBFG0YNdZ@PQF$iUW%IN>h>$lA^1_rtJMV29xRfY zMEGe>`d6CTQmIvEVZ44;7>MvQp5#+mDs}&~P)=%6`qTjoD$Z*?7UYc>;}`*v;9` z+ye>m%6+oEbOEf2xMo#ni+F*5xDzB|-n#SDNdyP}ogoG0#IP6$47yq=0HjGR7t1(Z zEeMJYDXJv8$xk>HakIGpXan$oLb)L9n{85Pd9m8(Z$PCY?g%i-#=LEDUOA=i!dXy7 z%;YjEBTB>RM$#v_&G_4ykV1lk&2+X_uvnX9#`*?rhaFU*TDC z9HvCoN&#dx_9iuOD*|K0^!V=IWQQEDVLIxq2g6sc(h;p9Dx*gI$5^N- zt(p*7GxT?YTJl8VEpRe=0$Hmr3N0)*@BWGN=aVj~%qN*xNTq!0W5*~6uQ z42;GD*t4Y@$p7BM#naBk0`A7l48_pEV8`Gkhl_nhXw9&iEuIo)2v#U7Jyi!?C%rUR zBO@W5=z0%7Hz_eUD_aZQ9@#!GH7B*ecb;@{Q29A)_H_r1#}JotHq1Kfp5OUySD7Ld z#9o5p$Bzw(AP`byduxb#XifAT;7s0g{bM^&MWp?2p#L-8Olq5xJ}?jvCCdMw9+B=Q zW}BLGu^T_(eXf@w@NIVNuM&Pf7E?AW_4cyPxV7?JmTyvZ(<#~&gP2_q18-*>-+hiQ zx7xG&C^i?txzZgX6p_JwrXomQ^|1nBan6&>PolJ7d_5JeZbnxPQMRcrN zStQ!iL;WD>rs-LRhDUVwMbu<3js9M-odW%|&`ZDdtlD>pa#0+xPP!ru*(zC=if9*S zKt#TA<;O_Bf!y!SF6Qi%_vhiFS9TZG=pgW)}}gZiGVyp3$4yR@$%Eh zQ&K2}9E=}QPs@R z*^T!|C3QG{VFoeM9L3o?Q-JC8WoVD5g&N1RKxd6+W~Qzk!iIRh5#ICiNh?1k;HtNt zf0jmBUEp}Z;^3y?2>We~zq50@-d)YDTm6x-RKo2vfzuJ|CZ&g)MM1?p7!#l4X?irk zo)hDEs+eUEjBh6LWMuv@`dZE+>)p%(J`)ri*+j*e83!!x0hEs z5c(O^f9~l@${6MvKi+(=^=wG8%C2*bKw|kDS`c+3NG7^Ez%ZXwhY`zGS~aw7%-=j3 zT=HM7z5sKM>)2BK8Pu~TDkBQLef3D5X5dJg-~Z>VkCSshU(*2r0RX}J-}<3>*n#{I zdhOM)@la#t5*PUie3%(BG5z<-#>&QI^NnJ}p)G^Bjgz4rHpZ>R*1M zipkqCVL<(<^!q@XgVGR<|DZY!-ia3Y&<_e$peb+~670`Es6*A}dqC6248c3m7^G0-B@%wcPvKtNh%L=>Zs z1w)DBv8-ec>veZ^J*NG2!XjS_l6NXnz_7NjcF5Rei-2ua;qaUw|BW7IFZnuco;36a zy+Lmy#C9)IWGo*g^yT-rL}NB5U40$@ex=HFS!ZTW2<-OYReJK*J(=REoHy&JLXVHj z?zdMccX%uHQze?Bh(*GSE}@lS(JH4S5*X{>IplkqQL`1zq?&^Ywk^A@Pdamz-7&iW zEN2?gwjnpRJH1vUs_%SlO(SjM;e)G*b#_|96ZUv6dNZ+UHZqH3NasYTqoKXW!68OV z{e$H001!4(Z@#WJcc*1-lB73@RYLqu?alL#GWjW!Q1cct#R{Q$K2&Jbaip6#rkTXo zc}>~00!Eg{%U}Hx)^l7qH!AFhIj3GWSG0_ z6LKsc?gx!%>{;(*V67nULRL#ch&X=z((POsb#OB_)U)H=mipUf&JyCC8DzoPzi1~m zbqKmsF;2TCksEcx5F!&yX(uHkm*Dj3eHVll-b|SPHwQ+0Ib&xWnZE=nU6=FRp>b~x zYiSTMnrJGhGH>pRy^NO3`}LZ`jMU9>73M*a8CA-z#HmtjYj4?5F=NJXt}FDVhDE4h zEI$z?rmZT?4Z4&^!HKB`Jo|(x z2~?wf;9y07#cxCv^rGt9&D*$!z22{g+qGsY7uOGPCpeKRQJDkOuiwU@O*6ZcparTJ z5TZ$_mpsrY+XJr2$IVN>Cgm%tr$v1~T&JGZViL9^^?bKUG5gi^HilfgC}zttU`)@s z8h!$LfT?iS0R;c`dll!y6At4LjA%(LSfu^1hVlk&>kQJY3g#XlkIEwv_{m z7c+g5n296f6v28&sePKNzA-JC6>cb$xyI+1!s{k#oOmIHmI4LIm$Yry> z12tgJ>Hh>}wJGo$%t_J{aRCQ8ic4hwmr<>(!n0=m1-@iL z@ai#_7YE^y;ePz5moVx=bkH^9i+Y?rzw?$-#9dc}oki5O z!WV~|bwnxGiZxNmoggT0*w}xNOqVuMLOwR{r}i!M^8{DHlzN^-1aSUov>+;$@an~o zX5xf4DD(qgx9gk(Xjx4ePs?Y(L)mHpp|540x(34_>kbkD(bzJ?uZ_fIglerdBcrs_ zS#2H>EL*xrEj^Q}x@v24LZbvRusrDQyy_Ky`N*%MUE|}xQr0&fLbT#>NKhtR95!#; zDC}2xzS;&WEliZNYikTLD7Qqq5Mih)aph6Y4B(J1+H4<|0#*;x{qcwxr_*u`+Cb|R z@BL#Q`+>1pjzJav7GM0~fFv2@rT39oaeK$JXlwbLiry*&jX3jS7IGb-J4W6gd;Joy z=zHfs1HJVbFV#2rlIQ!}u0qIBlx)2R4$4C-LJkGeTzx|F7$~EzPnu{s@eY4;h9By7 z7DiVe+Zx1B894mu|1_??kB$*<>jz}A)I8w>GC!j7=={rQIbxrdyI4|f{!u?IIsAj= zk=pix;SF2@i}1uOu~J=-oRxtHmCG6yRfNvX0*;r{7grBuas3sikxv2cuC%1@ne~rd9p7S z9HDqus3L_!q4aASdjJB|cPi<7|*3n6>*;JBEyL!pu zipDMh5Ezwg$J5p3xB~OVjqHCLq-)QTxLLAb&A&Ivy>#dqRJaI1E?N;FbQyeBZ)hy+ z?oPvBYABC&HQ);}ccZ>L0~1kOrR-A2{9Ro*Xx2Jb`tZrOV?+~N;{zRuV)5d#{A@Hc zBge^dQ)JS_R1-IjXrVNFZvBbPZqXaslV>{9ByEV~o6zHE*PQ7vYZ*`#-FhsQGWzDT z13~&~T(Q)wJ36>`aruUIcZT;(AeEE$O77;Z51m^XE*RdU5^ZO~|h-qx^=`CFyc>C1^f& z=pb3PFkF-(fc_1g zy*h(Cms9Oo<+THHer|z+Qi}KwG z6~hmxL1h#qZkHogy?c}`*Hc(fXpt08j$aNIy1vID6ZJ**Bk|URczi|Fj&PjSe?=+R zetK^XZ}@VyRFX6@?xjYp?At!5k zwv#wircD>4liGDedYm-%1IBrEy4x!A_N2;rQ%C@&t@P2QW;Pk20%Z8g4eMj1i|ETS zs(q2(vfa0qR7iV6N7J+K2t%!&5zbFw)FJ=dB|JN@)S_*}IFa|txusTvV##wsknC&5 zXgNfxt}(DVxovYjKzWZuIg1zd^^um(OU)OlqFZ%WYs6ENUsDz1#NoUox?b~YuWGYk zr02Cv%jz)M@10-9ffdA6w(ThfW5TfnqkTeDm=KrcY@wZG^M2iVH;_l`^&=jRO<$*g z)yL78P?&iqRU`}Iz~%vj;;}BiPsy8dEA`sr3}B7MLP$_ah*AQ-{Hy7S&3*dZgP>RT zQ{7d5K_?`gI;WD=VZO_Yb+LLY0?(7)OlOI5*D%*QoFkcP?L;QJsw;?AAD{VRF9v5=4(<5FwMh2^#Kygsh;I-9FXQ z_(6Ytl=wsNr*;pcaIeHjGwj0mSc%4~*m~GJEzXZow|uX{dojw8o;X})x~PEQ!+&P{ zF`3a$Fk7wnl{6PO<(~s7P`}6)BY!*Tr}n)2B8~p{TFlz}Q+`QTqAK%J7DJIGz@02L zQn8Tyw+t+-Tp>+*MPtUN3rmj)w5>itLy19j{Q=7$3AGd@MO2qXH(T!Me||V|-t|%lFu5gnA{<}m zUgOZ|^}sPb?HpDvda=-<$Yx`jcN>HbuJ(wgQeZ+VHdB_$haU0HUlG(JB4w2D@H%vj zO}UeH=ZH13yv;HtBEtk^{sZmMGC#~To+$`Q{Dhtx)4Ew!TQmkrfBNX^TH5nGu_bYFe8sD}~p{mE!lVr>Y0sQGK zcd6SEUB;8B1mJ&4c*wpo1CTo4ewqwd@X!V4*`F5widUlBxF=eB($>1__#E{U%V!T( zuh6G>88zFcsodVhF*|t_TpYzcu3L}qc4_7tQ zRS=-xXeej1Z=qJMhTBSD7Q8ApE>3QG2PIB&)nBMbmy{3G44}x}k-5}`Y_f;M?3rkAL%m99==fgi`4bjVh+KOq zhsky+XZn-z+4C!XlU|+b*}KsEjN5Griw8|}^Tk-GJN+VZ?2lQffl&Lkv8Lqx@l)hf zVgI0S_>pti1icjUvTx;^@lB}kH>t(Q(>tea%FM5OxrD*#Q$k9c_XKK?U;1$FBwpR5 zBp{@^t4P+t>%V#~OoBqN(Gx73@elo6revd)0*W-#(5sZ_r3m!_C68U3%T1k7e~yqE z`;Dx2^^^NFs~k~46=(d0D`Cd&^QCYdV1KN*iS~~r)mzMW2z+|qzFi1?h0bIApfo*S z1xx$;q9rJJ_NINd5Kreh#zN_<51%2f_dS&AC4&DubUJN;eubqoRh)%?I5F(j{%a?i z;~vj=E*jK5JFJ+#wZ;vbP~$$2tN!T-)Hk*Z|3Lvq-+7l5Sp{T`{VywQrClx?zf{vj zVlknJZ2i$fHd=|H#<-!o&O|$(uhy`zpQhY7JQZBPMGKSstojreldnsMLcr&t)?C(B z?n?h!f!1(%4AT-R91kC`Hb-nChzR*zU?u7;7p}%$;BE{kP%PDIitRtd&_|2wiW*}^Y z`%#!RA_ePrE;JR6k(4(CH;+9kwN@D$iuLiO&q~ONaI40`Pwm#FwQm4 zt^tuGR(oc**}5Ld!A-wRjGQ2sfmA&{M(G677Pfva*U1^(*byLVpCuKCI3t5=ADLn( z`^~P4e#!PlH0SfMgh!L z2aT6!-C}sx1}`}Yy1H(T?Bq~3WV(aFqy`Og^z%$TEq)P9;Hty=hg<>v_HSe=Lnh~# zarp0gftR!ah#I7@L~oNa9NPrUJxPCQxeAnlKBk=@+B{`kE-=fXMtThQF-B9D{%}jfNgRkO^hER=Z!XnlVLjSt2*w470NhOg5h1=ye9Eu`(q; zp?k-@3P$l&jq-D8R!u&^Sf?GRsZ;KLfR@mrhGM5o1+;;5^dqwryHuaTPU2s$&3Cots)E{!&57>vL;>ZTZ&Qc`g49^i7V-Y71rh{0*qAbAwX1X3UrHK7vKax(K*jhvmv)k zVqk0b^H_U(Z&<#9-WdLT4W!4%x#Bu4yaU19jErD@f*BOuka*Vm)ccKWDQlOvj!M8S zaZz8Ru53JOpSA{T>LmyXwoA%7G^GhM=pL#B@(xQDLM;rg(MW zOg%M{EcLv{6Qi4VGfn<$DHK!&`)}d zVa`L?fmbgqEs;O0YZ;X88MC@5su<-OyOez2tgh`+j6P{V73-j>1~Q|m;=`|tt%zI^ zt6T<@g?>YN+B(I)G6)fZ^CQ|VJAK3mqFI@T`ve9n4?>*{H;}bvq@d2 zFCO&izzgB?vdyGxT_2CyB~*LD9}1yq+ND{~9m?j8`?)?vd0lBpQ6C6Fx>xB;RpGmZ z;IoD?uGzy}&8dWl_E6Tz7UE{C%_6JvUN}y>nl-GZNUZG4>6r^IDxiSfpkqML9BZF#`YBi1@1uKAGUV34ZagBj>6rTG3)7e2;(tERB#7 zTu4+A;<+jhMj9*I_h(riU&O+7om~w}lC#57^YKj)n)gs!dcIVjpx%_QmE!Rnm4|b%qn_g~)I>9F$cnIm zF40)Pe$qmzV8I@^1A8h^hdUtRJSg*pB?)wo3e6W(v$9}N>~M6w$&u%S-6ZG$tZ%a0 z)dLZ`aWK{yF`mNgBqu6e#Qh|TP@ihU62a{G%Cy*aJNGTLc?4296eeo3Nk{}ob8QWM z{EUY3ZM?W2O(HPI#v3%T%Tgbz&oX^3~OF%w42KdXfScKQmBJ zujLNW)_}X57uf?3K47|Tl{!e~fubRwI(vw5sOmgfIp0o3Eviw%^3T%}2BUV4y3cnm zLZNz@Ium}RZBZRWHb0>*3esM1zAlBJj9P_t5yoH)wA=G>`whw}+I2U>^?7*tjTa%r z`Dtvl@RN!vT>bO5f$j%oAM88wIw3Wx9*5`SPYw+zQpyT`aIxHR?mq%B!bkq}()nCw(I+NTyKBhZgI&UH#=kwkN90hmJv*goxZ^ip zIkPXCESqoaCl1d(Z(9ZFj(>Rg_)49By!D#y@H)b3m#xl1wf;EK3(SR?#CWc|7*+Fk zSt{=~?M*~}v#Z&id%Ls?$YCy0e|e8}*R@<2qQ~p$%`&8;WmS1A3z>XYTj1!XO83!- zee)Ch-!tTK_q0Xx%?>UoyHEMRS2Ua;rXp_(u<>YP)}B;4)GU(5IhxR0y*#us`1o%)O<5HA|?BSu7xTIiUC~s)!as_$EH-X-dXLnFx?>iQ`vVbEb>( z!CZxW3*o*GI3Xo%jNughnH4|fl|>^E`wuZ5Ms17ckJf2t`=?L9G1F}NbEESUEDm|SPCIay~AgKwS;sx>jWo1&%=$h2kRgNZ;m@Kg;B ztE6$&j*WSx+IleGbz^SRm^cJ1wyShFmD_#<*NPJIq^HOB0KWqfrq4J|?OviKU*B0? z%XPh*rjpKa*U-7XaLl@k)V2lpw!odBEFErVMraq5!^tu+I_W>atZ9{=B8p9_QP*$M zSA)IJ*v=6;Rzt_~c@KZe!po$Tx`Atb5Zi<-v>~{9^0#J#zwLDudLw$~2N)TZ>I7CR zodWutTnHw_T6sU_CFMR1RzRBS~sJqi(5pVovPlx=D8CoFx{Nc(hb zPJyaPz@;axvUp5ojkow}iHF$Ff8BAx8gbAHtvQmITfq`UUD-rrW9K3%+|BIp7*zO| zkf{{;zy|zLx_k{nw8{&Woi~jA{aKUo3yuxiDjP3`gy;TPTvvY2*TJ6GMT&U!kMJgy z>I!Npo3mQ~8Xa)Q!*9>BF%2jD$|JD+ZUc$)>5O2|UkHD@8C1D;Vop06$V>ln?q43U(AJ-H`5RG_XF~~O&Uq>#? zJnDEG??wXm$SOMIm8i3EimQ;qR|0P0R`v+WCol3darKcDA&gbu>KXh1Sm_)U>j zd2<3vIbU^ALRre7B2op2&vR=5w!bQ#(x?4mk4OEnL=D-!z2T2|7^mr-ej~beKydRS z!(iuxsQl0ZzUDQFf6dk@MJD!*aS2=@qX*aAeZPIpI5v|TnzKctFX>plL)%^F6HwzA z8x}^8yJk%RbLR&I!Z4X*Ym6%Q(KVy15eDc*)-u@Msa0)94MxM+DjjqS)0EJCfT;hq^ z%sw5zyVn-A@mOUTsvnzZv*{Kc%er;-YL+2fEao$c#^v%{(n=olBhn$~1onWpOq_t? z47MBHZ#|E^n>DXuCvZ0TBqPT{4Cz}Spf1FK-#-wgjpphz5)z$d{3_Be{*ytLJt?qg zp%SA}pb|Oa6w&3PlhuGOK30!k|w<%FOn$Bo40rV^J49S$H%#qdNs3pLDduD5;>;Ep{uji{OY`&|GG z8wN$*a{A{-)g3IUBTFk`T>IgGB)D%YDD#g9=Is_AZ^Zp z>uUDYVTG43%cw3rG5`O<()S+qB7SGEu!+%v!E2T!5%DC_F${tdsunV)9VvR=KRuo! zmw7C8^I|r#1{5Sv%-Hvfqv}YU-Fy!T2aaurPD=)Kh2em4d*_Ux>N^<>3eW1I5p*xU zg)cb-EK8^IAT;cu>*du!0jadpCT2ylt0wA4Tc7b7q0FIayV zRiN6@lrlOsu{U8i*gTD}C)e85VU_x`qe;+QZKRI>Q3+2h7fj18x&sbF-Vpq=UcrCX zZ%8gMy!NK%Cxp)foM#0FqI`gWuZ76s^D&->j|k5BR*$*Tbd-A2gjm;LPaH0EY@t0; z`-<8QRCKsY`Z-aiU{$cpR*p=`A+~nd89&yK>k25NWC2Bz5|p}=+AL)DrR;Gjay(63 zqu8XlW|62J3P1phnRC$btb%W>eyvn0!G!yDHx)#FqIJyL0M4}%RcnyMT|{*)Ip6U{ zvkauN8r$muZD;)lLn{}*j)#OLhIHAEkzxTizX{wyVMbFoub)aOF(40-d?s98!%j!u zWrAmz7zNN`Q=Q$T&t#lkTdf%s7nd{gShhHj%vWyr2a31&9$Y_S>BnvuO_#k{n7KX$ z_|}h)rJrP5FEp>>aefWD5+${*YNRRx_Q9P{;Q3E2J0d#&-^eDm;7(jL%3UBAX9g&!eA`JoBqJ zOfQx2_JOz4w4*UleEK~5eSZIb$k&b7ojepXPYBhy-4QO-+VG*(73OLx%EbRPa0vJ! z$t|f)qdnGS9jF#Y9J_ETUB9pli0)q-65{1cZoM!U#w1_3`vO!w(KD;t{A2XeD z36ONYi1P^OlR%q!HOtfZiWrd`6xUjzh>(-IE2`^W?sc0Y0jd{1R4&rBjUQ~a5`~ z$DRrpOHwQ&HgxY;ogQG`D`Vj zzz6)%;z0*)&swh`nnIxMB$LX4Vce7C6{Fv-IBK!6(!op(Rjbb)>tNrMK|m7Mw2m0< zbSSnYRqEh!is4pQL1PuZ1PNM;g-&B<>Ub~ zT-h4Sz(R>xA&n=%q90T*gK9=>kH39%`Qr~dQ53M_b0s$0EQ0;m!*2#Mh)x5QYPAWP zR4j_4@&~cAUqy2sBI6=MUNp4+6#)UxgC&;7w%-cGnJc9sRyHXm$q^c#k)h71sXNb_ z$Ygo6&_4~SAScsgWHc)Hp&38>V%o$PyUU=bc-fJNDQKdt()URx%(Lps#nHWmradg6 zhC!m3TqSBz{lDkFi2Zu%s4oTb;$-l2#ySlBoBEXMhU)7smpDGp%|=kTH%NWp`a(n5 z1RnmoNd*03p&`8gxh~d5)@oo|V$xi(sP$LI@Um$y= zhr1F_i{!+ST!!!l8Y*kMsZ2Cf!{w|~F~!m*Vaq(MI>zmzyYndX{Lx$T2c)}D3ZKSg zJ>7|8ex7=I*Z}ui5e)4T_)kw+ufWTgK|-#H$*KHZBjDCxtJ|1#TJpvuIjG>ClvyPH z#A7L{HWj;FAtI;`c|W+b%<0sL7Cs(Zm z_OH+;>TLxM@Oj3Ma{dw4{mY5et`|yt6wlEq2j zInYIY1%XatydrQvLpyC(z;)#__YPC@l$Z^-|`YPiwrFPPD19D)=-1CEcNew zO(*^JaoQ)Y+#=J$b*$#FTsKJ6COmb*l@hg(f6Y0dlb*n`&1N1QL@Xy`N9Z9zi5S~P zYaOe0pdY6A@Av^daVNOn^a&Sf_B2PEfFey5z-!8x1@a?Bk_Urm23g`FUPjtZ^^RQw zqxabfsj)?i6{Czg62KgYUz`?Q4{p%P#(huz1m9p;hOuixKBY*qG@`UQfP^`Clti%A zq|4S4QogW7t0c-ukJm||4`S{QcEty~$o;gN*ytPF+G}E+b^(oYxh_c114=q58*H8pYJy$|0+1 zkufbRkGJ47fPeqnrNefSp@dA80$RWG0W`?WEt5l~KcH$oF|W{UnM zf71~=Z#_Mm%$Xd^{BACET`>%WRSDj7RiGf+)K_8wF7F-(YE00PzQ=s^R zC_D@-W|-X8BnFSj%c^sODEZk#dJVdZ)-+7pW6{lm}R3JFZNr3^;% z#V>}hRdfHQ(q1-81&D1FBJ7@?0dIMfw!e&-=1{wg{*hn+%>WY8`6CJPm{ZL85I>k| zI||$m;)DJ*iN4F+*xCz5u*pr)_XKyWzz-Z3(eE`u2hk+aJcrYDy)DfK4zixlw7M5nWw-(f!49J^v*~s@pg{mlfWZ$v)?K2Cjc!u)AoJ zmDEePifNM=o0{@e*0~R?1U;{wI%%ApX@{qBg-UXYrxH4AFK~aw}r?*%Xa-zNA zQW152sz{d5fipq++jfRtn4s7v+Q@Hb%j$l4f!gb)TrlN-T&YuR$TSz{qfbsMhe=XQ z>zkh$ZD68jEcS(6{y=JOWrV7XlvTw_~KKBiGM1c zbS8@N-?)<2kq`?-ADa}bdS8)Y?uSJ|bNE5wWXBXR=^q8v^<9s*2j<2&*Rj+SdDDGH z&5=_biJ`c&>D+;G)JNcb=vZIm{%rCK>V+-K7tZoVad%%e<2w5qWZlL)#wty^=|N&l=^}V%w>yf_E}Lg;~Jva%W^+)*coLul}c~zm>%NN*JtGI%hU?I1b%(N9z5Jx z7Q+=0&8m7vxG=7T=WFaOA_d9YLSSeCkSNLkoFw#(q4MGVYaRW`UGVAM>bd&_{5kmm ziSUD__@1aPxv{hob5uAfP?XOz-^js=*U~y%$Rasvw-L@H(ZewFP-xV%Ae00PzgL2` ze7E!y#n%{(XZE}~(Q`64uzR3wsoyJ0?XYt##=-bRBj&Pc6t{rk!Wg3u`mKrFs(Qs@g&pgK^E^%Z!M%>POW>t&PiDh?qekhbXmawSZv z?-YD2*KTaMLt=}a=w7BC_^T6}gYTYCXt>@~SOXdNRfAYv(`><)z_OR?%&_mIiuxr_ zgR44ybD5JXEh9i^;Z}yZJ83!xsor{gyMzSeF>P~+il#c;J)j~Xd*suDRyGi#{;&4KF%8^74b4c74PKe zWh&i`&3cHezI7a|P%}ADaG3WJ>zqaON!;AoXW|T9q&pM#?EOl(sEfaK(TwLDMpE;0%PJ-k#dB-rG}@(Rj`34BVu*aNO2v1!xYfp! zMOPQ~Sq(-R!7SEZ=Gz3hM3Sp9F?#0v_a%H@gP@*3O`6m()bbquAvW7W-87pYMLwKT zDND^bOWM`4OAjqAq)AvD_}*`O>YJD%u05uih&4NVF3A;%*17GS^0AWaeGGqwxQ(<6 zGq4AtDaE-7vA%?xx*aFzq}dYY(?O+%!Rb#BD`Kq-XcpAdKUo4iRl6DhwE8#2-DZ!> zW|vkULJt$&F+~C?8gHw_#w_*P_C(Gs;QAxpvs@Q>ScvwZPyp-k>-4%~U_&jz^v=G! z&$HMWN1vJni?ox~9MjJ2EsN=ZNQ&-yCm?n;=O+WeU}sN)EuSs!VWOZNE=`Q5a9wR-OOm`HDCU5KzLd}d65n9pP?^0pIymiPbP z%xQVE((≈;W&^T2#4j7-ykQQ`EaZ&e;Vm8epo2CzQ5RuzaHKH%agB5uV6jjT5?1 z4f(NOqP*+N^skFtL+*{ROxl^R1VaoPia|IFtt2~{gl!;gODHazEyFB_XS0h3ZnGH) zR{-TGOPFoKaz|OrflZ&n8TccugO-JC?&zacPRt@8xmBD=Pc>P+j@Us#<9w^5XTOPX zV;Zra}Mtf@aTFnt$ z+MAVgGxp|7&*i?P=TG0+h%S=%r#)D<-6i}|avk)g#yLFqcUv!9h562H5k^rj#Ybcs z!|dOEpxIaGTt;p~zKZTHvF10{X)me|DcSG%f|)X%`ugxGsy%TH80mGa6;Q0xyd294 z584^^_yxNeqML1U3$-&o1QWfrRv?eS7ybgZhYF6k84~Xn%Fr%a1kqFR{@l-!I_ND! zApL{@J9rYcML>7qW#6+ehp&~oQ_S8voKEo>8URnAfkr}ptN=4@@OZsYAj<5;GR8yC zI%&nja{AJbLM79{g7o-#UmAD!WA_|9d;eJX_yy6P)0eoYI-*SlQeWQtwO2^-K4enR ztu}UuH91PoroA%&s;L%YkmfZm6&GxU(s)g*?M&2I8zgNB!l4d!pq4y`3Eb{^rup7L zw%EFe{|-jrF!msc`4-3Lbkozs`oK=PNNMei0VMQpQ5o$w(CiL(8%vD=kMeoG$(-7@=ja(TSL4e6S(=;YLdIMYcBcJfD`?h|cp4L}IU7c_ z0|Y{IeHZZd;Rz_jo#z)1EdXuk_s|YOh!SSJLKZK5M(QRVgKz4yf10Zxa(Z`!-+&Oz zg1>naey*hSLbprk@mf9R@sBQj_NJydy`N*;>UuC4##cnGlnWdSLe4OVg?+vc0c2%a z!(5V8evt|4I;HKPo$Ky$;n2s>%tfcOoc#^(E1;!IW{I7G8^uc&qq_6M(fPep#-=M^ zdXkI|Vn`hY>{6-KRbl99LznP`l$!_X`)c?2gS16>oWwxf>gEm<&SX32)iPMVr~!US z5h0V~Aq-d7izv}YZvTPmLD*yv_Ao%=(c2 zDwWOG((lXf75*}I_Q7+J7#idbsB>!l*Pe}L0evdjyamrX>sqj zL`5AaKZd>K+5U*hgk$XI#dsTM%iVH`g1(rk{s8v8!ZPik?0K^ib+&ZyV+RzJmoX2| zT>ZM5-61QJP2Nsb6>CkKi=Ccu50^~s<(D})eG+3TZJD-DK%x4q4oj`y1i?ZmK}_${ zt{qZL`_8OI9+_|Sdwa9(yBpw2B#$e%C|EDSyFo$PgO?6# zVkg!=U5iwp-HDw+ahYWMQn3=2`QG8=%sK@kVt-<}(7|#E$fEJvM?dQ(R?wM854nSH zN5MBsy3ifb0QnEsfX_7n zuI+xEEe|ap;MXaUEg z4Z4Zahqi!4)L0JVj)G*pn?pZPwpgT@`=B_tEr&(OIsiW=FuRhT3fV2}NT0I$W*2zM zjNYc<@R(JP?S1SUpFWx=a`mqR0<%6%-AOsz=8`^enZZ%EW9BMymu_)jry{7T3^_=B zlKAqpe-A#YwA%$`IwTnSAJgrz*j$M}Wmt7AO?LZ-(IJg@{z}WILWh=VpK$HI^$Xm} z%9Y%yGA^^D6JJB+XR8pW$!a*MBXxg3+ZFp!UK%uEJ%-YW*;asKxEj;ve^{WTX|i47 zKa0aVLZCwUNi+G>WO`yVe3Ut!bmW?FkyUm+&fA0e!ykdo)DPzMy-{e(y^}2WtyraY zimi-~mL6#>bDTRpN|#uU55}Y@8qea39b{B_n-kM04+wodJ>RL=i(_KYEk^Wla#OBG zl(`mlG_3(+;q4h%Y4Nd>48G8ACWog$gSZtV#9YHxIhSg`-%$XuIgMNyiP!oR9_?PF zTyHIJRbEvn2=Jl$_~Y~!1GCLR3jyph4rX!FrC_^=pjUlKAo>}@<)kcOrPpcpaW)#r zEh0X_w3FU1*eSf(IM_oVS%H~gECi@valsBl#J4q=;+Lb|(GIRDg4~U3h_WgaM$-is zxgHDem*!qFzt#ev)7HTF@PUa$*ag2mV08&!FBIsOrDgBGZh{k@NSA-t8ldvI+iu`_ zXhU}ydLrD=vhlCwdpdB@#7AiuPq7;^)4EX8%wmB2@PqlF{H$9FnSql!pQzNnmY=TN zn2%Efv})1mkaa(OyY-0fLji|BrSqe(B#2<*e18_{6-}yPUKEi=`5p~3b|`xd+Zt>Q zJx|C&kzH~`31uNAncVmN34?FG05`}732Y6oSH z;Jlt7N!??!&w3$B#tND~Dxk}y_wWztbQyij3B1JOhJtMop=9s4hQWTePoqi5D}S-A zzpbI*0GADu;GS5EnLPZ5&t|ye5&D97!7aWAc5hw*PU}35HfU0Jegm zw~*s9;i*d;wk_xVQsk_INL~(HNZnu65u_8aFpM-UH1D~Yx}S~+fG%G2tunZyWPU>R zw+dNkIO!~2qY^SQgr6<8Ci{PJz{O6 z**Lf8WBiYw>i%7vqB>=C7G4Aa4mOv*QPP}^G6fF4t^D%*g$D(49DZUjA5^INzLfjc zRa!W6Vw@$(_lBYfUk<=u8}_9lMZA1lV6~H%44z~Ak`j@;?{SSK0mCu+y|-f%%Z!@6 z+S&RDLz_GSVgWhQO!+^-9@^Snwh%B+?xwmkU>k1nVZ@f-G;W-qJ$RZ$u4_7~7@%5) zHq;O0=#)Yg@`JN^fa{PB`{>wnzzDoWL(@1s{lSV;x}ZsL?u!HM*Y{C%B2pRjlPS9cXCKh@a}4tM03icUON{? zS=R8*tM`_`2$36@55!$}4z^Z!Y=X^$AkT3%ktO;rz9$$&tRzrB)%eC$;!90%*}cF8 zl&MAiJytco2>$9oxp_+diXO(FqgQ#V6vxSzGui%QWT@*p&^DVV4^ObR zfPsvZfBbu2rur!va?Y5yN7icoL)S{E6XCNAlL5*cHRBk7j$&iEzr13Zb$~S9okb_* z>jHr}NuylM83VZte-7fW<7T==tD}7c3}}^!8l~QUOW6iR;1k%e6y#i4(ka7r0g2 zZIS8f`h9Z`>Y3KZrUz(eYDHFSMqse{0?4hycZ$Z+8{uz}V3+5T@6fqkISSL!YT;NI zhf3r&|RkulGX{g(d4ZE!Cm|5z*fAQFBCQ-6u90W_#6 z%n7||IU)=MKVPYnlu|RW*xgSZ^H+kU!Kiz&_&RNP92vKE&6f)=#Vdh->l6sV452FMDI+br5`Wjuwvyqf7y#jvrh0k(^$G{k1GZnAc+Y8=JMIA%Geset zZiaC^V4<873DDgy9e#54s?zF<7sc}B6rcd#-rRgudmi-*(rmKx%qm5@hJ2pggr8l{ z=Q!jBn&?&G#npdOGea)v#}c}-xbFGFal}b-;HmL;EXTw7nK?VTMRz4DTlofq<(v1tL>&ge)*#X@wUUZ)Q6BiijUBzR$*d!gAMm_K z9^i0RivPnj2ajF>;)PD)w%69*-+o{v0gb{uc?zVe%zvI&>&I&7<}^AD-WWF9ndRMdoN3@i(%&(@j=xzP))H~7imWPp{kvFHhvt@xi=$&bHN7E zTi5a}xw2w2D~N|1$Ob1cX8u#7q7d}$*v9>vd$YB=SiQMD$zZ8mt&>DW%sO^?4G=-M z9{L{QIQ?$h?2QLZv%CxtS~PFK<3 zSZsJ!F*rp+ARr(hH!wIeARr(hF*!Cc%z;yNS{R;ol(rs7uIT^3<4AcNCla#AeK}O; zD6cr$qA|5scP&`t|72VW|M<@g6uMQDbcEVQSY#n(QR(xGyb!bF$czV&4p@N%lR!>ZFsxpB+ zOPC^FFX6C^ZDRC%97F}}XUesPLwzBVbqSrb8Wzw6^1jtb30;K%vwg`4%VFK6c?E_a zt-5yXlxkzIY(zZf)MsyH;*xO4vk3K)@Bg~5%K}-eTjB!Xc8a&BXQGGmPEU%jkK+B9 zzHa2f4+8M+4A=90SIAlJYt38q*Hyn|Kp& zlA&1EtCDFH^W-TNyQyHIywGQH+Dx(&Sjtop%(Zm673rGXskd(v$9i8)1lPrrDO4mk z8I{_YB+Vji*8XaIg~!~Nb3EXkn`a*Egew#j^mzMJD{opYpD?WzBAo4qjhb?>YCkOI zrmoZqv!Y>GHNxz|k6~;t#7?Hm-uBF(skR*!lZrvv34P0uWVqB1le=7!q$DaJa_nPq zNf5SqB7Bp4R7o2}kCg}rNWIYu{xq$x5S0PPs&Lk1wPJYuYCF&;AOv?}Vtj&Jj~DZu z>!B&PyJ`jachU$8p>b4UYm#jAC3zp8G!5H=PX$>J4}HX_@I+1Ak6hNR7smQ$!ZrcN zxP1xA=@|}18+*bryHBacN+(u(AiMbxi6yOMIZPq1B;Y1A-DO_P*9&vWcm6liN18NC z66Y=UxMC9m0M1+_X=FbJRhhGPD6qUd@KZAe5yR7AQv9tr?_KF@4}pfI;g=3qdUGb6 zo0EOuA+1s*wC7@`Ch3lpajdkh^0}Ic6ttiK@nqC-`ark^SIi+Tm(Q911bN&G=B!~t z=oMV-XQ3!XE8LcSl>F)yEhF+gMNbAKOvr|n#Lu{gwqD1ObN(7C84rN&KIX#qwL*gr z)eUP8s5f4beP%9i`0cxK2Q5^y{JaD`VNrLxj$h8w#dr9qLFdf$SCOj;{()p7W z-f|q7A`d@MPbw)G$cM$o-5{7pfhXVznomIYgybcs!1l+*P2{NU97TEuE}zyy3-k&& z^2PIBklj8qa=XlW75#tRMvVp>Vp;+p=MxFqZTm@1Bs1Q4Mm|WB<6%BpdRM$~k})(I ztld^y?A<}qx} ze2Sj5HN-bZ-`DAI_kFwUK1v)i6w{?i1#D++q3@bJG}px}tkl%XhYTSo=5Sw+5pgFJ z&wU?LyoZ6S{ShCFSDJen`dXDn`R{mLXk3&92CWk|N@PZ@GFc;_uSY%&K`3>NSMO_o zZ%izUL!8HYIE>Pwn=asEbO!cf4DhopZie8LdC3;gc}>rD6~^{-vL~&e@oQ9&^bf@f zwt%uJ$EMzI>@FXwqvxU>GK*w|Pb6HtKTyy4YS`@-!}IMwYAdSw>4VwXi-_<`HZu37 zp~s^;pv&&bwq&u@Vx%S4)Y|t$M>P~J7UJZoCdijZ<`7}#QdGn^0cYK#Jv14t#`p?} zdVk~nF=<{|MGyreMd(Sp7^zx)EX`K38)^ zrC{RzP;bYcH_GMYW$Zroy|NN0V=-=u+rOh?2a>EhFh#ydvyPjK9$u{1(eW(^Z1j*{ z-{YQFokPM~6NB!@$edM8gUgIEa@RcZF;8NQSr zo|oTRH2j)MkSB^cb1o40bl=<4<);B8Qq)s^SZUPeuzDXB(_6A1ooMyru!#uHH3HV9 z!tH2&2CbQRDhM;cy=s6nG|bcG!K8JSb+fw7u_N-tC|&^$u{|O5Xk~iDk0A?7NyvA` zTHy@KevY9Seo~O8WGT(LEVX7%dzTV%CsCc{bVa4<2O|$q#5_d-WBv!&Pd*!vN#R9G z9j-wO9&fN_|M?BA&=_ABlxSG2d4u&yf%zdEEmOCQiw+Eq zSQGT|vBR)}bjUS_QAEXnXt#MHHy1l)C{vw)$sb+hACk<+8;MrG3;JvsCaNzy-aWs2Vb>k}iM%3Jwd%{X|@M>6Fm8w9QNb zY`e*lTsPcot>#Y?RD_#TxSc;kNBfk~yD0nh$y*Y@0+PC`Fw|L*cU!5qgF3EqV8r`4 z5SDTcQpEj)(d=Y!JL&~~5{Qc|>>p&fT!jgQ*WG2Aa;04KDND-+7SH%*ahjNk^1eHy z+A&ky*c+&_>8GVLTPK}zF4(mT(+?7ROy;JcFAd{%m=r5w{x&;esn2nk%MMljp7rwp z5n3{?HVAVjo?0k#81d$@Jy0?&i2dB3j}E?%B+YsuScC(ot_Fo{iW_|~W+iJqgSCJ; z?_km4M^c>vj;uQPaSPmOg&(X}{jh;mU^fO~ z69>`yU6Ms5#zV8LQxzKNqd&xX?i21x@ z+&{trRk>odg&FKGuGi?yXUo{wDW$o4Xi>x#{Q%dwB2=17VE>SJ0DWOKz>E7b3jcJZ zR33lmZm63bYtZlXKx$phkElq))zFYbiMHsXKC8cYm10C^gKg(rq=O#Jqyu^$$ z!q!|{wPwer0jsj;bJJGk zQzo>c)_b;Zaoy=^v$^p*zkMOlFNPU~tk}6id#4>uXN2Lf%#;s`FsnHYO|aDE1$AT; zS{mDrbd&XTh<^Xy1XEJ@)iD(@&Z>k$4z5E|1x9h(i_YZhiV8*Lq0c|?Lf?U@Xg&%hR@@c3Kw~`)ORCBQdCS!n-Ueapuoji#`HzzN$ zNTcEy{P*>v(qWZ2u5Ret@I6N)RZQT1y*SxCll{7Eh5;LPera>GFSh*yR0*S<#^9!a(3(Sh4Eu0S^WFpvpNH5oh(>x!y5LfJq}=-h z7O*Ud@*e4W<^Lt41;>RiCTg~kIo(@S+3w~qUcOiGx#`<%fd_x4Z>Eit0@x7$%m0G+ z2=zwFpzfQ?=ZgnZslkXs8Z^A=H-$5!rg3#~sSEJRRH{$U1l^CA;e}fpm*hq`wkjLH zbQ7I3(C~ZZx34^ILo13N7~^Z8uddq<(}^cifjNt^BMbm(d(fS*j)bgdm1DNV54#l< z){ERm-hezp#PZL((jH#r2FsDld@h2ewN`gX?Rt2dILY%fo1!x<`3T&E0w3u=I0F|F zB+~OOkL<@;!#dD&7`U5SXmFi&hkm)n_;+s0J4$-nAgP*SxbYGr9Ha#+REAOqE}Y*C zcX8kXzM|%lD(`93XIb&`oTXUKh22Z^O})!2GgMi>9wP4OkuJjM5r(?GZDM=I-kU)1 zF0|q=M&xY?A8Xr1qrmuxaHTn+ozr<=zOf^rL@^%}bF?H!VNWp>C^2y$$f|Q)2)-Pl z28h(tF8}e>KQRAW#?N_e22-AORx;F)^^_iou5DzzDeT>I@?%B%=!}AJc9~2*+V&{) ztvtqn_Ks+U8Oi+T##OlB1*_k!3FWTl2xg5$<+98>V7|QL>~}yET4Xq|hmDgGg5HyB z9NV3{Z)NY2qBOcS7vE$S*otRNHrjxb-!RWf98m2;pp@*E3MSj%w)_rndT6)Jh(z=5 z7+}%?SFb~6Fwvq|WBRLDNFGbi2W@AZik*cUb(tomYAy2e2m%)7t^SHU6KMhHTv@2W zBmUCU{TOi?$m9A%&MZ{8=D|byoRc%j;X)3;#jWU)lL6bV7^+2 zX%sZV;IgLo|9GwqkA@ed5zWD|#8M^f-CZY0MdyLQR8}6!$U^IZsyt!eF(}HotFJ#=jw3 zt<(;OJye}wLZ()vqk2{n!a(dJ)dWIcdE^Z(U7PWqmIh?a?T z?WF4nngm+4^uq)|Wsx?DO){WLAl9)o_gScWd`WZ2v~VzF!TkjbgeKB;s=(n=PMLE+ z*4F6fUq|~d{fY~^GYwYQ*=DI^@mK}`7METKMcC>ex)d=}1T9zXHk@vQW?SdOFvv0} zfAJ3aul`Q#{dPAEZM>5o$dArhao>Yp&aF#ciQhpf-EjiOvtncsm74wK&z3Irz0Jbp ztWFeA(xNu17j+Qi-g9vpS0Qk;iixjsU9#$@EeMMNtbAPB-c&i(-)hp;Nxeh+vOnpE z;#|S0AhhW7i9JI}p4Bot?AVsa5>^p+N~p#yW@}}4$UX-QPZtItVkU5VM^+zT6BUSmeT#ZSqzo7stdo87|i5UPnng>&y=s!)h(zu0d>f4ZeY$r92ZnB<$qb&poH zivU4Y^<%hRc~otNPU&F*eVunuQ_UL2LpK~pr@jluz zmR$r^_ zXlrt=LTkR*sfQbid7T9CUMO^yDKH_cY#Z1VeX06^+9EdmQLeNDvW_WXGB3L) zqWq|DA0bf9FrvHWx?itr)YdcBsq!|%K!BFkT1R_zH?V>+tMV3L;XTpQoy2?qV5?K1 z7I@q*6|W7Xo+@4_WqeD7PIYeXi+g&=v0H7>uoq>#A_eFkkxIBGwcxhrbVPNcch{A{ zf+5lpKSrcCc$Y8y+&5mUi8vEBHdZ(nmAUjhf!T7CW72EMcO2vP zXJAOdMe~QEvT_Swas>9b*RGjS8&$-zi*KA1`Nx|iAMi$@@ZRzz=6m0~+@Xetdm3vx z$Us6AZ;++fhlUEbGaoh~PRzEdH|7>Ou#Klmy{>Cd*NRkikydIOKKvNrD{9eiCZVHw zb&^raETMoEFl!1YdNov|JCQnz!+I?~8ecS$!<0`Oj0D993V?jOG?QpTsnBuc3i6HY zgUxw|dBsPzh`9Xu#Jy3Ei&(U__)sF>b1Yil?)gOMlE!aYFrPu-vym} zSF2o-_4+$|ylTAtwNUVIA;UkZXGe4qM(A;bNJBrI+Py^gll30eL*aq2!f@>X*$#ik zh`AzknenajaqWxzLV0<$Pf>*xI=mcJ8|@S3gD;l3YDya+o8caquTFa!8ed|6QLn8Z znqJPIaYhokqbOZ#>%1 z3wPp7PA{hrHeewP&2cAFK#2bR)fhBpP1Cfc$LXVJ$Qhm`9nE`$Rm`UzV~0lnlU_io zA)*OLupx?(X5v%5R<01^r4F=$y+{Blb!xf8j7vrQBtl3Tpr8KphV?8r{Z_K`fK{bs zhaO7o=su>!=HO*L@JO*93`3c|=!u7BM4_5QWnvQgx0)ZRS-GJ=BW%4UpWzb+M^@G~ z&Fh;HyO!L~B=we_oSGiY3cED1V)Knt*sLUhIU-01IbpE*vS53s)|VLbuwvm^%dEf? zC4;Fk?rtkcY{qPPic;P{+G^wB7BD8o_b6HOGD%hNp5)nX75;>rmmKw<=0Cs0Mwm`s z(C`m>B*In~#UZF}<%5!q+-go<1XJ^jrsADhJf&*M6$16@)Xx{pl*B)ztRX z%+1B2fVuJ2pP#aRYSpPPShmF$xzOH;w@{NcPJqF2mpIbDvm(q`6u;Fm(Z`75d%R=!ADgGIEukt*{?T#f9H4_;H_?pBuzTt4ylTX%%dg zm06yvVd|a1!x1b@1ooB;gM(Gj$-<^NQ5aE*eaT{P3i0grjcwtx*7ZQK6ym9oCi>Zb zJTPid=xi?l&b)kgUlNV7v6Jn8MII0*3iSykKdp{I9`KTN8cAxl8MTL zi=A?rb(Y?We%u~kq6<31^ffwC`aYu?WE>&%CjNNvn6+j8sTfv*^MjOf;}RqA`xkfi z{TOWKZ&x0^8WnS4i;>4QAS_te7DL4~VGrD*mHP6Q*lufI%xx#?9^Ubx>xx|~&3n~n z!(OZ%y0bTgnqL?$e5x)JSAKQ2>?^2U3C1tX}L4TztKbD>}UA%K4yW^mKpFi_) z+jUL2UNjBRzWgW3rrIneY8VU9)1{$rQH^&{7(Zv=bq6B+FmMeGY2eDy;iE-1cy$vM z!OB=Cbmo!`VXJL5XG?Z(E79L7A8%_9hRBe;juG?OM3sS*FG*b}s=0JCU`e*W4^CU& zmH~d@sske?saygTh#`J)q*G+hCrQ8CpBh)WnVH>JI3P})LR8Y}`PGWCoQ!ZE@cNp4 z|CKRf1_)IO{(vx)1=jM18*Aa+a(HqxuA=3;?tbl>JGrE0dwaCqeSYDAmh>*8N5v-d zY=++l&+9*$V`_KlYJ5CtBvx9rpI$pOo3FsyR&_bVo$p*VRTHggGktM=an$*~49^iH zPKGhI|yLVN8D}+lcKdD@nuZOj`emg~^*~mk0}=2x{t0g)?P3<^t{4e(s2e zz@6Ux+XMj9gJ3o$mqE8Bcj05oq1(G*?J?e1Kc@gcXn-R|%1}BACf)_pk(Mkq>z9V~ zUX?c40L5*CBDc5karm1*zL}f=GWwfG8Zt;;HS8rxSoHTAh2lF#Zt`=IT?wXW0RaBv0`hT0LEU}5v9Zb5yeHtC5$pR>aq(io zLt%t|W1mik2{C^&Cbp{?#cy@(a4TayhDg`;yH#t?Ltel$>_oop?_3sC=^8v$QpPpM z#Upy)SZl{wB9c{u2$0QquT7|7b9@RJ{{EF_>a~-@t+=IDZv@abN9ul0gisNy+Z9BZ zD7Spy+Q@F7^kP;k=;Zhtw_crCALg2h3Qv{^mN2?TW070>s{>-1#oh5H3%r`Mv!Cien5EoCtvRGDGpa!~abt~E`kpe&C>LQbXlpe`;+Z^h(l7Inl0DLUpg@IYE(FYG_(< zdA`A^#EYgmX^~ToW)rro)$DK zh)yYNSqp)Wzi&A#K*B1{a_QU;gH9op8aIA%cRS{ zmlM*gWEw^V0DL5O@Nvt@*Uujfy=iW2;?WA{C9GE|5RjiIBt1QoE`w@=VWy6eaIGlP zBc@_qUF4&qpeROvm|$J^bYR*i#o}T`>$);!Eh8ce{v%qs)kd(~@cG?BIJU&;3l38xP@>(RNMW44XaRf%rc;U;b9l=_anYCJVZPpyrrgRlqE^ z_!T<($@+7-=-!R=SImZe=pJ9{1AS!P2JY6DaNxF6Z<+?) zbi&8L&|F=Fjoo9hyZ_c%NfREeO4c}&{OJn(f1O<&J;RZIq<&YmGd4&oUEx_heva%T z@>WTS?E_-K@$>_-(k@582Cy#~QyRmF_1z0!g_D3HuiPJut?_HTGkQjn%hGzng)G_R zl{Ke-63-rO;gC0*n#tipl^AjwefsTyT&#)Lt&4PmE27sIs;ol_Tz|4cEXsI=Dzk7* z@fpC_G+;3@jRA{`RA=R;YVi0tD{w$-+(px)CjQc@T~$Faj93E}gD6@gV$`u4J?f(h zGle827$JU{E&UK_sD7frzOFKRw07w(Peag9jdN(qWzDN~JaK827x>D{B$nT)dDRQd zXR$r6a3j|MM+(4)T?8ZCr4>zX82RMg(B!Rr6*rRPK5BUlyjxoj@_pGQ3Q;iKJZzLU z{C>85d{SR!d2-3Se&&}ypZA+6IMdprlf`(Me8M@i`d7SxG{*W=WSKe1GM@mPLZ_G> z$X=cwB8x9a2LLdTpZ;#-TfmFp-&c+p95leolX6mrjQtV}0RTw6qyg~$9!G9}Chd|> zD1S#cZ+i`Od$gxF#>2_S9&QhZIypL1QcODK3rxu~e` zC!fC@J+I?9zkPiuIg}1Nzd3)z**lIy_S>PPP&$_Ujb;OPOf&&lN(g11{~O9t@BfBS zWPM5?WuE>EjPE-J_}{EeiK5)2|DrzhAA|a{V^e}CH=(~E?ScObB5z5?`gHWa$Aid+ z84Uo?ItTzj0h)3F=8jf6{zzw8JO+OOeoN6BX{cpsW9nyW;DR@R+2~-rebuyWtUaY% H6)yh=!7VtK diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.xci b/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.xci deleted file mode 100644 index b57b8201..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.xci +++ /dev/null @@ -1,63 +0,0 @@ - - - xilinx.com - xci - unknown - 1.0 - - - audio_DC_blocker_0_0 - - - - - - audio_clk_audio - 12280700 - 0 - 0 - 0.0 - audio_DC_blocker_0_0 - artix7 - digilentinc.com:nexys-a7-100t:part0:1.0 - - xc7a100t - csg324 - VERILOG - - MIXED - -1 - - - TRUE - TRUE - IP_Integrator - 1 - TRUE - . - - ../../ipshared - 2021.2 - OOC_HIERARCHICAL - - - - - - - - - - - - - - - - - - - - diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.xml b/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.xml deleted file mode 100644 index 7c6d4efe..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0.xml +++ /dev/null @@ -1,364 +0,0 @@ - - - xilinx.com - customized_ip - audio_DC_blocker_0_0 - 1.0 - - - clk - - - - - - - CLK - - - clk - - - - - - FREQ_HZ - 12280700 - - - none - - - - - FREQ_TOLERANCE_HZ - 0 - - - none - - - - - PHASE - 0.0 - - - none - - - - - CLK_DOMAIN - audio_clk_audio - - - none - - - - - ASSOCIATED_BUSIF - - - - none - - - - - ASSOCIATED_PORT - - - - none - - - - - ASSOCIATED_RESET - - - - none - - - - - INSERT_VIP - 0 - - - simulation.rtl - - - - - - - - - - xilinx_anylanguagesynthesis - Synthesis - :vivado.xilinx.com:synthesis - DC_blocker - - - outputProductCRC - 9:11b8e332 - - - - - xilinx_synthesisconstraints - Synthesis Constraints - :vivado.xilinx.com:synthesis.constraints - - - outputProductCRC - 9:11b8e332 - - - - - xilinx_verilogsynthesiswrapper - Verilog Synthesis Wrapper - verilogSource:vivado.xilinx.com:synthesis.wrapper - verilog - audio_DC_blocker_0_0 - - xilinx_verilogsynthesiswrapper_view_fileset - - - - GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 - - - outputProductCRC - 9:11b8e332 - - - - - xilinx_anylanguagebehavioralsimulation - Simulation - :vivado.xilinx.com:simulation - DC_blocker - - - outputProductCRC - 9:99e2bc57 - - - - - xilinx_verilogsimulationwrapper - Verilog Simulation Wrapper - verilogSource:vivado.xilinx.com:simulation.wrapper - verilog - audio_DC_blocker_0_0 - - xilinx_verilogsimulationwrapper_view_fileset - - - - GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 - - - outputProductCRC - 9:99e2bc57 - - - - - xilinx_externalfiles - External Files - :vivado.xilinx.com:external.files - - xilinx_externalfiles_view_fileset - - - - GENtimestamp - Tue Dec 21 12:16:50 UTC 2021 - - - outputProductCRC - 9:11b8e332 - - - - - - - clk - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - ce - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - mute - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - sample_rate - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - din - - in - - 15 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - dout - - out - - 15 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - - - - xilinx_verilogsynthesiswrapper_view_fileset - - synth/audio_DC_blocker_0_0.v - verilogSource - xil_defaultlib - - - - xilinx_verilogsimulationwrapper_view_fileset - - sim/audio_DC_blocker_0_0.v - verilogSource - xil_defaultlib - - - - xilinx_externalfiles_view_fileset - - audio_DC_blocker_0_0.dcp - dcp - USED_IN_implementation - USED_IN_synthesis - xil_defaultlib - - - audio_DC_blocker_0_0_stub.v - verilogSource - USED_IN_synth_blackbox_stub - xil_defaultlib - - - audio_DC_blocker_0_0_stub.vhdl - vhdlSource - USED_IN_synth_blackbox_stub - xil_defaultlib - - - audio_DC_blocker_0_0_sim_netlist.v - verilogSource - USED_IN_simulation - USED_IN_single_language - xil_defaultlib - - - audio_DC_blocker_0_0_sim_netlist.vhdl - vhdlSource - USED_IN_simulation - USED_IN_single_language - xil_defaultlib - - - - xilinx.com:module_ref:DC_blocker:1.0 - - - Component_Name - audio_DC_blocker_0_0 - - - - - DC_blocker_v1_0 - module_ref - 1 - - - - - - - - - - - - 2021.2 - - - diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.v deleted file mode 100644 index e7b4bcbe..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.v +++ /dev/null @@ -1,3189 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:50 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode funcsim -// v:/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.v -// Design : audio_DC_blocker_0_0 -// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified -// or synthesized. This netlist cannot be used for SDF annotated simulation. -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- -`timescale 1 ps / 1 ps - -(* CHECK_LICENSE_TYPE = "audio_DC_blocker_0_0,DC_blocker,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) -(* X_CORE_INFO = "DC_blocker,Vivado 2021.2" *) -(* NotValidForBitStream *) -module audio_DC_blocker_0_0 - (clk, - ce, - mute, - sample_rate, - din, - dout); - (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0" *) input clk; - input ce; - input mute; - input sample_rate; - input [15:0]din; - output [15:0]dout; - - wire ce; - wire clk; - wire [15:0]din; - wire [15:0]dout; - wire mute; - wire sample_rate; - - audio_DC_blocker_0_0_DC_blocker inst - (.ce(ce), - .clk(clk), - .din(din), - .dout(dout), - .mute(mute), - .sample_rate(sample_rate)); -endmodule - -(* ORIG_REF_NAME = "DC_blocker" *) -module audio_DC_blocker_0_0_DC_blocker - (dout, - din, - sample_rate, - ce, - clk, - mute); - output [15:0]dout; - input [15:0]din; - input sample_rate; - input ce; - input clk; - input mute; - - wire ce; - wire clk; - wire [15:0]din; - wire [15:0]dout; - wire mute; - wire p_0_in0; - wire sample_rate; - wire [39:12]x0; - wire [12:12]x00; - wire x0_carry__0_i_1_n_0; - wire x0_carry__0_i_2_n_0; - wire x0_carry__0_i_3_n_0; - wire x0_carry__0_i_4_n_0; - wire x0_carry__0_n_0; - wire x0_carry__0_n_1; - wire x0_carry__0_n_2; - wire x0_carry__0_n_3; - wire x0_carry__1_i_1_n_0; - wire x0_carry__1_i_2_n_0; - wire x0_carry__1_i_3_n_0; - wire x0_carry__1_i_4_n_0; - wire x0_carry__1_n_0; - wire x0_carry__1_n_1; - wire x0_carry__1_n_2; - wire x0_carry__1_n_3; - wire x0_carry__2_i_1_n_0; - wire x0_carry__2_i_2_n_0; - wire x0_carry__2_i_3_n_0; - wire x0_carry__2_i_4_n_0; - wire x0_carry__2_n_0; - wire x0_carry__2_n_1; - wire x0_carry__2_n_2; - wire x0_carry__2_n_3; - wire x0_carry__3_i_2_n_0; - wire x0_carry__3_i_3_n_0; - wire x0_carry__3_i_4_n_0; - wire x0_carry__3_i_5_n_0; - wire x0_carry__3_n_0; - wire x0_carry__3_n_1; - wire x0_carry__3_n_2; - wire x0_carry__3_n_3; - wire x0_carry__4_i_1_n_0; - wire x0_carry__4_i_2_n_0; - wire x0_carry__4_i_3_n_0; - wire x0_carry__4_i_4_n_0; - wire x0_carry__4_n_0; - wire x0_carry__4_n_1; - wire x0_carry__4_n_2; - wire x0_carry__4_n_3; - wire x0_carry__5_i_1_n_0; - wire x0_carry__5_i_2_n_0; - wire x0_carry__5_i_3_n_0; - wire x0_carry__5_i_4_n_0; - wire x0_carry__5_n_0; - wire x0_carry__5_n_1; - wire x0_carry__5_n_2; - wire x0_carry__5_n_3; - wire x0_carry_i_1_n_0; - wire x0_carry_i_2_n_0; - wire x0_carry_i_3_n_0; - wire x0_carry_n_0; - wire x0_carry_n_1; - wire x0_carry_n_2; - wire x0_carry_n_3; - wire [39:12]x1; - wire [38:0]y; - wire [39:39]y0; - wire [38:0]y0__0; - wire y0__107_carry__0_i_1_n_0; - wire y0__107_carry__0_i_2_n_0; - wire y0__107_carry__0_i_3_n_0; - wire y0__107_carry__0_i_4_n_0; - wire y0__107_carry__0_i_5_n_0; - wire y0__107_carry__0_i_6_n_0; - wire y0__107_carry__0_i_7_n_0; - wire y0__107_carry__0_i_8_n_0; - wire y0__107_carry__0_n_0; - wire y0__107_carry__0_n_1; - wire y0__107_carry__0_n_2; - wire y0__107_carry__0_n_3; - wire y0__107_carry__1_i_1_n_0; - wire y0__107_carry__1_i_2_n_0; - wire y0__107_carry__1_i_3_n_0; - wire y0__107_carry__1_i_4_n_0; - wire y0__107_carry__1_i_5_n_0; - wire y0__107_carry__1_i_6_n_0; - wire y0__107_carry__1_i_7_n_0; - wire y0__107_carry__1_i_8_n_0; - wire y0__107_carry__1_n_0; - wire y0__107_carry__1_n_1; - wire y0__107_carry__1_n_2; - wire y0__107_carry__1_n_3; - wire y0__107_carry__2_i_10_n_0; - wire y0__107_carry__2_i_11_n_0; - wire y0__107_carry__2_i_12_n_0; - wire y0__107_carry__2_i_13_n_0; - wire y0__107_carry__2_i_14_n_0; - wire y0__107_carry__2_i_15_n_0; - wire y0__107_carry__2_i_16_n_0; - wire y0__107_carry__2_i_1_n_0; - wire y0__107_carry__2_i_2_n_0; - wire y0__107_carry__2_i_3_n_0; - wire y0__107_carry__2_i_4_n_0; - wire y0__107_carry__2_i_5_n_0; - wire y0__107_carry__2_i_6_n_0; - wire y0__107_carry__2_i_7_n_0; - wire y0__107_carry__2_i_8_n_0; - wire y0__107_carry__2_i_9_n_0; - wire y0__107_carry__2_n_0; - wire y0__107_carry__2_n_1; - wire y0__107_carry__2_n_2; - wire y0__107_carry__2_n_3; - wire y0__107_carry__3_i_10_n_0; - wire y0__107_carry__3_i_11_n_0; - wire y0__107_carry__3_i_12_n_0; - wire y0__107_carry__3_i_13_n_0; - wire y0__107_carry__3_i_14_n_0; - wire y0__107_carry__3_i_15_n_0; - wire y0__107_carry__3_i_16_n_0; - wire y0__107_carry__3_i_17_n_0; - wire y0__107_carry__3_i_18_n_0; - wire y0__107_carry__3_i_19_n_0; - wire y0__107_carry__3_i_1_n_0; - wire y0__107_carry__3_i_2_n_0; - wire y0__107_carry__3_i_3_n_0; - wire y0__107_carry__3_i_4_n_0; - wire y0__107_carry__3_i_5_n_0; - wire y0__107_carry__3_i_6_n_0; - wire y0__107_carry__3_i_7_n_0; - wire y0__107_carry__3_i_8_n_0; - wire y0__107_carry__3_i_9_n_0; - wire y0__107_carry__3_n_0; - wire y0__107_carry__3_n_1; - wire y0__107_carry__3_n_2; - wire y0__107_carry__3_n_3; - wire y0__107_carry__4_i_10_n_0; - wire y0__107_carry__4_i_11_n_0; - wire y0__107_carry__4_i_12_n_0; - wire y0__107_carry__4_i_13_n_0; - wire y0__107_carry__4_i_14_n_0; - wire y0__107_carry__4_i_15_n_0; - wire y0__107_carry__4_i_16_n_0; - wire y0__107_carry__4_i_17_n_0; - wire y0__107_carry__4_i_18_n_0; - wire y0__107_carry__4_i_19_n_0; - wire y0__107_carry__4_i_1_n_0; - wire y0__107_carry__4_i_20_n_0; - wire y0__107_carry__4_i_2_n_0; - wire y0__107_carry__4_i_3_n_0; - wire y0__107_carry__4_i_4_n_0; - wire y0__107_carry__4_i_5_n_0; - wire y0__107_carry__4_i_6_n_0; - wire y0__107_carry__4_i_7_n_0; - wire y0__107_carry__4_i_8_n_0; - wire y0__107_carry__4_i_9_n_0; - wire y0__107_carry__4_n_0; - wire y0__107_carry__4_n_1; - wire y0__107_carry__4_n_2; - wire y0__107_carry__4_n_3; - wire y0__107_carry__5_i_10_n_0; - wire y0__107_carry__5_i_11_n_0; - wire y0__107_carry__5_i_12_n_0; - wire y0__107_carry__5_i_13_n_0; - wire y0__107_carry__5_i_14_n_0; - wire y0__107_carry__5_i_15_n_0; - wire y0__107_carry__5_i_16_n_0; - wire y0__107_carry__5_i_17_n_0; - wire y0__107_carry__5_i_18_n_0; - wire y0__107_carry__5_i_19_n_0; - wire y0__107_carry__5_i_1_n_0; - wire y0__107_carry__5_i_2_n_0; - wire y0__107_carry__5_i_3_n_0; - wire y0__107_carry__5_i_4_n_0; - wire y0__107_carry__5_i_5_n_0; - wire y0__107_carry__5_i_6_n_0; - wire y0__107_carry__5_i_7_n_0; - wire y0__107_carry__5_i_8_n_0; - wire y0__107_carry__5_i_9_n_0; - wire y0__107_carry__5_n_0; - wire y0__107_carry__5_n_1; - wire y0__107_carry__5_n_2; - wire y0__107_carry__5_n_3; - wire y0__107_carry__6_i_10_n_0; - wire y0__107_carry__6_i_11_n_0; - wire y0__107_carry__6_i_12_n_0; - wire y0__107_carry__6_i_13_n_0; - wire y0__107_carry__6_i_1_n_0; - wire y0__107_carry__6_i_2_n_0; - wire y0__107_carry__6_i_3_n_0; - wire y0__107_carry__6_i_4_n_0; - wire y0__107_carry__6_i_5_n_0; - wire y0__107_carry__6_i_6_n_0; - wire y0__107_carry__6_i_7_n_0; - wire y0__107_carry__6_i_8_n_0; - wire y0__107_carry__6_i_9_n_0; - wire y0__107_carry__6_n_0; - wire y0__107_carry__6_n_1; - wire y0__107_carry__6_n_2; - wire y0__107_carry__6_n_3; - wire y0__107_carry__7_i_1_n_0; - wire y0__107_carry__7_i_2_n_0; - wire y0__107_carry__7_i_3_n_0; - wire y0__107_carry__7_i_4_n_0; - wire y0__107_carry__7_n_0; - wire y0__107_carry__7_n_1; - wire y0__107_carry__7_n_2; - wire y0__107_carry__7_n_3; - wire y0__107_carry__8_i_1_n_0; - wire y0__107_carry__8_i_2_n_0; - wire y0__107_carry__8_i_3_n_0; - wire y0__107_carry__8_i_4_n_0; - wire y0__107_carry__8_n_1; - wire y0__107_carry__8_n_2; - wire y0__107_carry__8_n_3; - wire y0__107_carry_i_1_n_0; - wire y0__107_carry_i_2_n_0; - wire y0__107_carry_i_3_n_0; - wire y0__107_carry_i_4_n_0; - wire y0__107_carry_i_5_n_0; - wire y0__107_carry_i_6_n_0; - wire y0__107_carry_i_7_n_0; - wire y0__107_carry_n_0; - wire y0__107_carry_n_1; - wire y0__107_carry_n_2; - wire y0__107_carry_n_3; - wire y0__1_carry__0_i_1_n_0; - wire y0__1_carry__0_i_2_n_0; - wire y0__1_carry__0_i_3_n_0; - wire y0__1_carry__0_i_4_n_0; - wire y0__1_carry__0_n_0; - wire y0__1_carry__0_n_1; - wire y0__1_carry__0_n_2; - wire y0__1_carry__0_n_3; - wire y0__1_carry__0_n_4; - wire y0__1_carry__0_n_5; - wire y0__1_carry__0_n_6; - wire y0__1_carry__0_n_7; - wire y0__1_carry__1_i_1_n_0; - wire y0__1_carry__1_i_2_n_0; - wire y0__1_carry__1_i_3_n_0; - wire y0__1_carry__1_i_4_n_0; - wire y0__1_carry__1_n_0; - wire y0__1_carry__1_n_1; - wire y0__1_carry__1_n_2; - wire y0__1_carry__1_n_3; - wire y0__1_carry__1_n_4; - wire y0__1_carry__1_n_5; - wire y0__1_carry__1_n_6; - wire y0__1_carry__1_n_7; - wire y0__1_carry__2_i_1_n_0; - wire y0__1_carry__2_i_2_n_0; - wire y0__1_carry__2_i_3_n_0; - wire y0__1_carry__2_i_4_n_0; - wire y0__1_carry__2_n_0; - wire y0__1_carry__2_n_1; - wire y0__1_carry__2_n_2; - wire y0__1_carry__2_n_3; - wire y0__1_carry__2_n_4; - wire y0__1_carry__2_n_5; - wire y0__1_carry__2_n_6; - wire y0__1_carry__2_n_7; - wire y0__1_carry__3_i_1_n_0; - wire y0__1_carry__3_i_2_n_0; - wire y0__1_carry__3_i_3_n_0; - wire y0__1_carry__3_i_4_n_0; - wire y0__1_carry__3_n_0; - wire y0__1_carry__3_n_1; - wire y0__1_carry__3_n_2; - wire y0__1_carry__3_n_3; - wire y0__1_carry__3_n_4; - wire y0__1_carry__3_n_5; - wire y0__1_carry__3_n_6; - wire y0__1_carry__3_n_7; - wire y0__1_carry__4_i_1_n_0; - wire y0__1_carry__4_i_2_n_0; - wire y0__1_carry__4_i_3_n_0; - wire y0__1_carry__4_i_4_n_0; - wire y0__1_carry__4_i_5_n_0; - wire y0__1_carry__4_n_0; - wire y0__1_carry__4_n_1; - wire y0__1_carry__4_n_2; - wire y0__1_carry__4_n_3; - wire y0__1_carry__4_n_4; - wire y0__1_carry__4_n_5; - wire y0__1_carry__4_n_6; - wire y0__1_carry__4_n_7; - wire y0__1_carry__5_i_1_n_0; - wire y0__1_carry__5_i_2_n_0; - wire y0__1_carry__5_i_3_n_0; - wire y0__1_carry__5_i_4_n_0; - wire y0__1_carry__5_i_5_n_0; - wire y0__1_carry__5_i_6_n_0; - wire y0__1_carry__5_i_7_n_0; - wire y0__1_carry__5_i_8_n_0; - wire y0__1_carry__5_n_0; - wire y0__1_carry__5_n_1; - wire y0__1_carry__5_n_2; - wire y0__1_carry__5_n_3; - wire y0__1_carry__5_n_4; - wire y0__1_carry__5_n_5; - wire y0__1_carry__5_n_6; - wire y0__1_carry__5_n_7; - wire y0__1_carry__6_i_1_n_0; - wire y0__1_carry__6_i_2_n_0; - wire y0__1_carry__6_i_3_n_0; - wire y0__1_carry__6_i_4_n_0; - wire y0__1_carry__6_i_5_n_0; - wire y0__1_carry__6_i_6_n_0; - wire y0__1_carry__6_i_7_n_0; - wire y0__1_carry__6_i_8_n_0; - wire y0__1_carry__6_n_0; - wire y0__1_carry__6_n_1; - wire y0__1_carry__6_n_2; - wire y0__1_carry__6_n_3; - wire y0__1_carry__6_n_4; - wire y0__1_carry__6_n_5; - wire y0__1_carry__6_n_6; - wire y0__1_carry__6_n_7; - wire y0__1_carry__7_i_1_n_0; - wire y0__1_carry__7_i_2_n_0; - wire y0__1_carry__7_i_3_n_0; - wire y0__1_carry__7_i_4_n_0; - wire y0__1_carry__7_i_5_n_0; - wire y0__1_carry__7_i_6_n_0; - wire y0__1_carry__7_i_7_n_0; - wire y0__1_carry__7_i_8_n_0; - wire y0__1_carry__7_n_0; - wire y0__1_carry__7_n_1; - wire y0__1_carry__7_n_2; - wire y0__1_carry__7_n_3; - wire y0__1_carry__7_n_4; - wire y0__1_carry__7_n_5; - wire y0__1_carry__7_n_6; - wire y0__1_carry__7_n_7; - wire y0__1_carry__8_i_1_n_0; - wire y0__1_carry__8_i_2_n_0; - wire y0__1_carry__8_i_3_n_0; - wire y0__1_carry__8_i_4_n_0; - wire y0__1_carry__8_i_5_n_0; - wire y0__1_carry__8_n_2; - wire y0__1_carry__8_n_3; - wire y0__1_carry__8_n_5; - wire y0__1_carry__8_n_6; - wire y0__1_carry__8_n_7; - wire y0__1_carry_i_1_n_0; - wire y0__1_carry_i_2_n_0; - wire y0__1_carry_i_3_n_0; - wire y0__1_carry_i_4_n_0; - wire y0__1_carry_n_0; - wire y0__1_carry_n_1; - wire y0__1_carry_n_2; - wire y0__1_carry_n_3; - wire y0__1_carry_n_4; - wire y0__1_carry_n_5; - wire y0__1_carry_n_6; - wire y0__1_carry_n_7; - wire \y[0]_i_1_n_0 ; - wire \y[10]_i_1_n_0 ; - wire \y[11]_i_1_n_0 ; - wire \y[12]_i_1_n_0 ; - wire \y[13]_i_1_n_0 ; - wire \y[14]_i_1_n_0 ; - wire \y[15]_i_1_n_0 ; - wire \y[16]_i_1_n_0 ; - wire \y[17]_i_1_n_0 ; - wire \y[18]_i_1_n_0 ; - wire \y[19]_i_1_n_0 ; - wire \y[1]_i_1_n_0 ; - wire \y[20]_i_1_n_0 ; - wire \y[21]_i_1_n_0 ; - wire \y[22]_i_1_n_0 ; - wire \y[23]_i_1_n_0 ; - wire \y[24]_i_1_n_0 ; - wire \y[25]_i_1_n_0 ; - wire \y[26]_i_1_n_0 ; - wire \y[27]_i_1_n_0 ; - wire \y[28]_i_1_n_0 ; - wire \y[29]_i_1_n_0 ; - wire \y[2]_i_1_n_0 ; - wire \y[30]_i_1_n_0 ; - wire \y[31]_i_1_n_0 ; - wire \y[32]_i_1_n_0 ; - wire \y[33]_i_1_n_0 ; - wire \y[34]_i_1_n_0 ; - wire \y[35]_i_1_n_0 ; - wire \y[36]_i_1_n_0 ; - wire \y[37]_i_1_n_0 ; - wire \y[3]_i_1_n_0 ; - wire \y[4]_i_1_n_0 ; - wire \y[5]_i_1_n_0 ; - wire \y[6]_i_1_n_0 ; - wire \y[7]_i_1_n_0 ; - wire \y[8]_i_1_n_0 ; - wire \y[9]_i_1_n_0 ; - wire [0:0]NLW_x0_carry_O_UNCONNECTED; - wire [3:0]NLW_x0_carry__6_CO_UNCONNECTED; - wire [3:1]NLW_x0_carry__6_O_UNCONNECTED; - wire [3:3]NLW_y0__107_carry__8_CO_UNCONNECTED; - wire [3:2]NLW_y0__1_carry__8_CO_UNCONNECTED; - wire [3:3]NLW_y0__1_carry__8_O_UNCONNECTED; - - LUT2 #( - .INIT(4'h2)) - \dout[0]_INST_0 - (.I0(y[23]), - .I1(mute), - .O(dout[0])); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT2 #( - .INIT(4'h2)) - \dout[10]_INST_0 - (.I0(y[33]), - .I1(mute), - .O(dout[10])); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT2 #( - .INIT(4'h2)) - \dout[11]_INST_0 - (.I0(y[34]), - .I1(mute), - .O(dout[11])); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT2 #( - .INIT(4'h2)) - \dout[12]_INST_0 - (.I0(y[35]), - .I1(mute), - .O(dout[12])); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT2 #( - .INIT(4'h2)) - \dout[13]_INST_0 - (.I0(y[36]), - .I1(mute), - .O(dout[13])); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT2 #( - .INIT(4'h2)) - \dout[14]_INST_0 - (.I0(y[37]), - .I1(mute), - .O(dout[14])); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT2 #( - .INIT(4'h2)) - \dout[15]_INST_0 - (.I0(y[38]), - .I1(mute), - .O(dout[15])); - (* SOFT_HLUTNM = "soft_lutpair34" *) - LUT2 #( - .INIT(4'h2)) - \dout[1]_INST_0 - (.I0(y[24]), - .I1(mute), - .O(dout[1])); - (* SOFT_HLUTNM = "soft_lutpair34" *) - LUT2 #( - .INIT(4'h2)) - \dout[2]_INST_0 - (.I0(y[25]), - .I1(mute), - .O(dout[2])); - (* SOFT_HLUTNM = "soft_lutpair33" *) - LUT2 #( - .INIT(4'h2)) - \dout[3]_INST_0 - (.I0(y[26]), - .I1(mute), - .O(dout[3])); - (* SOFT_HLUTNM = "soft_lutpair33" *) - LUT2 #( - .INIT(4'h2)) - \dout[4]_INST_0 - (.I0(y[27]), - .I1(mute), - .O(dout[4])); - (* SOFT_HLUTNM = "soft_lutpair32" *) - LUT2 #( - .INIT(4'h2)) - \dout[5]_INST_0 - (.I0(y[28]), - .I1(mute), - .O(dout[5])); - (* SOFT_HLUTNM = "soft_lutpair32" *) - LUT2 #( - .INIT(4'h2)) - \dout[6]_INST_0 - (.I0(y[29]), - .I1(mute), - .O(dout[6])); - (* SOFT_HLUTNM = "soft_lutpair31" *) - LUT2 #( - .INIT(4'h2)) - \dout[7]_INST_0 - (.I0(y[30]), - .I1(mute), - .O(dout[7])); - (* SOFT_HLUTNM = "soft_lutpair31" *) - LUT2 #( - .INIT(4'h2)) - \dout[8]_INST_0 - (.I0(y[31]), - .I1(mute), - .O(dout[8])); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT2 #( - .INIT(4'h2)) - \dout[9]_INST_0 - (.I0(y[32]), - .I1(mute), - .O(dout[9])); - CARRY4 x0_carry - (.CI(1'b0), - .CO({x0_carry_n_0,x0_carry_n_1,x0_carry_n_2,x0_carry_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,x0_carry_i_1_n_0,1'b0}), - .O({x0[14:12],NLW_x0_carry_O_UNCONNECTED[0]}), - .S({x0_carry_i_2_n_0,x0_carry_i_3_n_0,x00,1'b0})); - CARRY4 x0_carry__0 - (.CI(x0_carry_n_0), - .CO({x0_carry__0_n_0,x0_carry__0_n_1,x0_carry__0_n_2,x0_carry__0_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(x0[18:15]), - .S({x0_carry__0_i_1_n_0,x0_carry__0_i_2_n_0,x0_carry__0_i_3_n_0,x0_carry__0_i_4_n_0})); - LUT3 #( - .INIT(8'h1D)) - x0_carry__0_i_1 - (.I0(din[5]), - .I1(sample_rate), - .I2(din[6]), - .O(x0_carry__0_i_1_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__0_i_2 - (.I0(din[4]), - .I1(sample_rate), - .I2(din[5]), - .O(x0_carry__0_i_2_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__0_i_3 - (.I0(din[3]), - .I1(sample_rate), - .I2(din[4]), - .O(x0_carry__0_i_3_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__0_i_4 - (.I0(din[2]), - .I1(sample_rate), - .I2(din[3]), - .O(x0_carry__0_i_4_n_0)); - CARRY4 x0_carry__1 - (.CI(x0_carry__0_n_0), - .CO({x0_carry__1_n_0,x0_carry__1_n_1,x0_carry__1_n_2,x0_carry__1_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(x0[22:19]), - .S({x0_carry__1_i_1_n_0,x0_carry__1_i_2_n_0,x0_carry__1_i_3_n_0,x0_carry__1_i_4_n_0})); - LUT3 #( - .INIT(8'h1D)) - x0_carry__1_i_1 - (.I0(din[9]), - .I1(sample_rate), - .I2(din[10]), - .O(x0_carry__1_i_1_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__1_i_2 - (.I0(din[8]), - .I1(sample_rate), - .I2(din[9]), - .O(x0_carry__1_i_2_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__1_i_3 - (.I0(din[7]), - .I1(sample_rate), - .I2(din[8]), - .O(x0_carry__1_i_3_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__1_i_4 - (.I0(din[6]), - .I1(sample_rate), - .I2(din[7]), - .O(x0_carry__1_i_4_n_0)); - CARRY4 x0_carry__2 - (.CI(x0_carry__1_n_0), - .CO({x0_carry__2_n_0,x0_carry__2_n_1,x0_carry__2_n_2,x0_carry__2_n_3}), - .CYINIT(1'b0), - .DI(din[3:0]), - .O(x0[26:23]), - .S({x0_carry__2_i_1_n_0,x0_carry__2_i_2_n_0,x0_carry__2_i_3_n_0,x0_carry__2_i_4_n_0})); - LUT4 #( - .INIT(16'hA959)) - x0_carry__2_i_1 - (.I0(din[3]), - .I1(din[13]), - .I2(sample_rate), - .I3(din[14]), - .O(x0_carry__2_i_1_n_0)); - LUT4 #( - .INIT(16'hA959)) - x0_carry__2_i_2 - (.I0(din[2]), - .I1(din[12]), - .I2(sample_rate), - .I3(din[13]), - .O(x0_carry__2_i_2_n_0)); - LUT4 #( - .INIT(16'hA959)) - x0_carry__2_i_3 - (.I0(din[1]), - .I1(din[11]), - .I2(sample_rate), - .I3(din[12]), - .O(x0_carry__2_i_3_n_0)); - LUT4 #( - .INIT(16'hA959)) - x0_carry__2_i_4 - (.I0(din[0]), - .I1(din[10]), - .I2(sample_rate), - .I3(din[11]), - .O(x0_carry__2_i_4_n_0)); - CARRY4 x0_carry__3 - (.CI(x0_carry__2_n_0), - .CO({x0_carry__3_n_0,x0_carry__3_n_1,x0_carry__3_n_2,x0_carry__3_n_3}), - .CYINIT(1'b0), - .DI({din[6],din[15],p_0_in0,din[4]}), - .O(x0[30:27]), - .S({x0_carry__3_i_2_n_0,x0_carry__3_i_3_n_0,x0_carry__3_i_4_n_0,x0_carry__3_i_5_n_0})); - LUT1 #( - .INIT(2'h1)) - x0_carry__3_i_1 - (.I0(din[15]), - .O(p_0_in0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__3_i_2 - (.I0(din[6]), - .I1(din[7]), - .O(x0_carry__3_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__3_i_3 - (.I0(din[15]), - .I1(din[6]), - .O(x0_carry__3_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__3_i_4 - (.I0(din[15]), - .I1(din[5]), - .O(x0_carry__3_i_4_n_0)); - LUT4 #( - .INIT(16'hA959)) - x0_carry__3_i_5 - (.I0(din[4]), - .I1(din[14]), - .I2(sample_rate), - .I3(din[15]), - .O(x0_carry__3_i_5_n_0)); - CARRY4 x0_carry__4 - (.CI(x0_carry__3_n_0), - .CO({x0_carry__4_n_0,x0_carry__4_n_1,x0_carry__4_n_2,x0_carry__4_n_3}), - .CYINIT(1'b0), - .DI(din[10:7]), - .O(x0[34:31]), - .S({x0_carry__4_i_1_n_0,x0_carry__4_i_2_n_0,x0_carry__4_i_3_n_0,x0_carry__4_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - x0_carry__4_i_1 - (.I0(din[10]), - .I1(din[11]), - .O(x0_carry__4_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__4_i_2 - (.I0(din[9]), - .I1(din[10]), - .O(x0_carry__4_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__4_i_3 - (.I0(din[8]), - .I1(din[9]), - .O(x0_carry__4_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__4_i_4 - (.I0(din[7]), - .I1(din[8]), - .O(x0_carry__4_i_4_n_0)); - CARRY4 x0_carry__5 - (.CI(x0_carry__4_n_0), - .CO({x0_carry__5_n_0,x0_carry__5_n_1,x0_carry__5_n_2,x0_carry__5_n_3}), - .CYINIT(1'b0), - .DI(din[14:11]), - .O(x0[38:35]), - .S({x0_carry__5_i_1_n_0,x0_carry__5_i_2_n_0,x0_carry__5_i_3_n_0,x0_carry__5_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - x0_carry__5_i_1 - (.I0(din[14]), - .I1(din[15]), - .O(x0_carry__5_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__5_i_2 - (.I0(din[13]), - .I1(din[14]), - .O(x0_carry__5_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__5_i_3 - (.I0(din[12]), - .I1(din[13]), - .O(x0_carry__5_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__5_i_4 - (.I0(din[11]), - .I1(din[12]), - .O(x0_carry__5_i_4_n_0)); - CARRY4 x0_carry__6 - (.CI(x0_carry__5_n_0), - .CO(NLW_x0_carry__6_CO_UNCONNECTED[3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({NLW_x0_carry__6_O_UNCONNECTED[3:1],x0[39]}), - .S({1'b0,1'b0,1'b0,1'b1})); - LUT2 #( - .INIT(4'h7)) - x0_carry_i_1 - (.I0(din[0]), - .I1(sample_rate), - .O(x0_carry_i_1_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry_i_2 - (.I0(din[1]), - .I1(sample_rate), - .I2(din[2]), - .O(x0_carry_i_2_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry_i_3 - (.I0(din[0]), - .I1(sample_rate), - .I2(din[1]), - .O(x0_carry_i_3_n_0)); - LUT2 #( - .INIT(4'h8)) - x0_carry_i_4 - (.I0(sample_rate), - .I1(din[0]), - .O(x00)); - FDRE \x1_reg[12] - (.C(clk), - .CE(ce), - .D(x0[12]), - .Q(x1[12]), - .R(1'b0)); - FDRE \x1_reg[13] - (.C(clk), - .CE(ce), - .D(x0[13]), - .Q(x1[13]), - .R(1'b0)); - FDRE \x1_reg[14] - (.C(clk), - .CE(ce), - .D(x0[14]), - .Q(x1[14]), - .R(1'b0)); - FDRE \x1_reg[15] - (.C(clk), - .CE(ce), - .D(x0[15]), - .Q(x1[15]), - .R(1'b0)); - FDRE \x1_reg[16] - (.C(clk), - .CE(ce), - .D(x0[16]), - .Q(x1[16]), - .R(1'b0)); - FDRE \x1_reg[17] - (.C(clk), - .CE(ce), - .D(x0[17]), - .Q(x1[17]), - .R(1'b0)); - FDRE \x1_reg[18] - (.C(clk), - .CE(ce), - .D(x0[18]), - .Q(x1[18]), - .R(1'b0)); - FDRE \x1_reg[19] - (.C(clk), - .CE(ce), - .D(x0[19]), - .Q(x1[19]), - .R(1'b0)); - FDRE \x1_reg[20] - (.C(clk), - .CE(ce), - .D(x0[20]), - .Q(x1[20]), - .R(1'b0)); - FDRE \x1_reg[21] - (.C(clk), - .CE(ce), - .D(x0[21]), - .Q(x1[21]), - .R(1'b0)); - FDRE \x1_reg[22] - (.C(clk), - .CE(ce), - .D(x0[22]), - .Q(x1[22]), - .R(1'b0)); - FDRE \x1_reg[23] - (.C(clk), - .CE(ce), - .D(x0[23]), - .Q(x1[23]), - .R(1'b0)); - FDRE \x1_reg[24] - (.C(clk), - .CE(ce), - .D(x0[24]), - .Q(x1[24]), - .R(1'b0)); - FDRE \x1_reg[25] - (.C(clk), - .CE(ce), - .D(x0[25]), - .Q(x1[25]), - .R(1'b0)); - FDRE \x1_reg[26] - (.C(clk), - .CE(ce), - .D(x0[26]), - .Q(x1[26]), - .R(1'b0)); - FDRE \x1_reg[27] - (.C(clk), - .CE(ce), - .D(x0[27]), - .Q(x1[27]), - .R(1'b0)); - FDRE \x1_reg[28] - (.C(clk), - .CE(ce), - .D(x0[28]), - .Q(x1[28]), - .R(1'b0)); - FDRE \x1_reg[29] - (.C(clk), - .CE(ce), - .D(x0[29]), - .Q(x1[29]), - .R(1'b0)); - FDRE \x1_reg[30] - (.C(clk), - .CE(ce), - .D(x0[30]), - .Q(x1[30]), - .R(1'b0)); - FDRE \x1_reg[31] - (.C(clk), - .CE(ce), - .D(x0[31]), - .Q(x1[31]), - .R(1'b0)); - FDRE \x1_reg[32] - (.C(clk), - .CE(ce), - .D(x0[32]), - .Q(x1[32]), - .R(1'b0)); - FDRE \x1_reg[33] - (.C(clk), - .CE(ce), - .D(x0[33]), - .Q(x1[33]), - .R(1'b0)); - FDRE \x1_reg[34] - (.C(clk), - .CE(ce), - .D(x0[34]), - .Q(x1[34]), - .R(1'b0)); - FDRE \x1_reg[35] - (.C(clk), - .CE(ce), - .D(x0[35]), - .Q(x1[35]), - .R(1'b0)); - FDRE \x1_reg[36] - (.C(clk), - .CE(ce), - .D(x0[36]), - .Q(x1[36]), - .R(1'b0)); - FDRE \x1_reg[37] - (.C(clk), - .CE(ce), - .D(x0[37]), - .Q(x1[37]), - .R(1'b0)); - FDRE \x1_reg[38] - (.C(clk), - .CE(ce), - .D(x0[38]), - .Q(x1[38]), - .R(1'b0)); - FDRE \x1_reg[39] - (.C(clk), - .CE(ce), - .D(x0[39]), - .Q(x1[39]), - .R(1'b0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry - (.CI(1'b0), - .CO({y0__107_carry_n_0,y0__107_carry_n_1,y0__107_carry_n_2,y0__107_carry_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry_i_1_n_0,y0__1_carry_n_7,y0__107_carry_i_2_n_0,y0__107_carry_i_3_n_0}), - .O(y0__0[3:0]), - .S({y0__107_carry_i_4_n_0,y0__107_carry_i_5_n_0,y0__107_carry_i_6_n_0,y0__107_carry_i_7_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__0 - (.CI(y0__107_carry_n_0), - .CO({y0__107_carry__0_n_0,y0__107_carry__0_n_1,y0__107_carry__0_n_2,y0__107_carry__0_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__0_i_1_n_0,y0__107_carry__0_i_2_n_0,y0__107_carry__0_i_3_n_0,y0__107_carry__0_i_4_n_0}), - .O(y0__0[7:4]), - .S({y0__107_carry__0_i_5_n_0,y0__107_carry__0_i_6_n_0,y0__107_carry__0_i_7_n_0,y0__107_carry__0_i_8_n_0})); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__0_i_1 - (.I0(y0__1_carry__0_n_6), - .I1(y[15]), - .I2(sample_rate), - .I3(y[16]), - .O(y0__107_carry__0_i_1_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__0_i_2 - (.I0(y0__1_carry__0_n_7), - .I1(y[14]), - .I2(sample_rate), - .I3(y[15]), - .O(y0__107_carry__0_i_2_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__0_i_3 - (.I0(y0__1_carry_n_4), - .I1(y[13]), - .I2(sample_rate), - .I3(y[14]), - .O(y0__107_carry__0_i_3_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__0_i_4 - (.I0(y0__1_carry_n_5), - .I1(y[12]), - .I2(sample_rate), - .I3(y[13]), - .O(y0__107_carry__0_i_4_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__0_i_5 - (.I0(y[15]), - .I1(y0__1_carry__0_n_6), - .I2(y[16]), - .I3(sample_rate), - .I4(y[17]), - .I5(y0__1_carry__0_n_5), - .O(y0__107_carry__0_i_5_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__0_i_6 - (.I0(y[14]), - .I1(y0__1_carry__0_n_7), - .I2(y[15]), - .I3(sample_rate), - .I4(y[16]), - .I5(y0__1_carry__0_n_6), - .O(y0__107_carry__0_i_6_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__0_i_7 - (.I0(y[13]), - .I1(y0__1_carry_n_4), - .I2(y[14]), - .I3(sample_rate), - .I4(y[15]), - .I5(y0__1_carry__0_n_7), - .O(y0__107_carry__0_i_7_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__0_i_8 - (.I0(y[12]), - .I1(y0__1_carry_n_5), - .I2(y[13]), - .I3(sample_rate), - .I4(y[14]), - .I5(y0__1_carry_n_4), - .O(y0__107_carry__0_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__1 - (.CI(y0__107_carry__0_n_0), - .CO({y0__107_carry__1_n_0,y0__107_carry__1_n_1,y0__107_carry__1_n_2,y0__107_carry__1_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__1_i_1_n_0,y0__107_carry__1_i_2_n_0,y0__107_carry__1_i_3_n_0,y0__107_carry__1_i_4_n_0}), - .O(y0__0[11:8]), - .S({y0__107_carry__1_i_5_n_0,y0__107_carry__1_i_6_n_0,y0__107_carry__1_i_7_n_0,y0__107_carry__1_i_8_n_0})); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__1_i_1 - (.I0(y0__1_carry__1_n_6), - .I1(y[19]), - .I2(sample_rate), - .I3(y[20]), - .O(y0__107_carry__1_i_1_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__1_i_2 - (.I0(y0__1_carry__1_n_7), - .I1(y[18]), - .I2(sample_rate), - .I3(y[19]), - .O(y0__107_carry__1_i_2_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__1_i_3 - (.I0(y0__1_carry__0_n_4), - .I1(y[17]), - .I2(sample_rate), - .I3(y[18]), - .O(y0__107_carry__1_i_3_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__1_i_4 - (.I0(y0__1_carry__0_n_5), - .I1(y[16]), - .I2(sample_rate), - .I3(y[17]), - .O(y0__107_carry__1_i_4_n_0)); - LUT6 #( - .INIT(64'hF0BB3C440F44C3BB)) - y0__107_carry__1_i_5 - (.I0(y[19]), - .I1(y0__1_carry__1_n_6), - .I2(y[21]), - .I3(sample_rate), - .I4(y[20]), - .I5(y0__1_carry__1_n_5), - .O(y0__107_carry__1_i_5_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__1_i_6 - (.I0(y[18]), - .I1(y0__1_carry__1_n_7), - .I2(y[19]), - .I3(sample_rate), - .I4(y[20]), - .I5(y0__1_carry__1_n_6), - .O(y0__107_carry__1_i_6_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__1_i_7 - (.I0(y[17]), - .I1(y0__1_carry__0_n_4), - .I2(y[18]), - .I3(sample_rate), - .I4(y[19]), - .I5(y0__1_carry__1_n_7), - .O(y0__107_carry__1_i_7_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__1_i_8 - (.I0(y[16]), - .I1(y0__1_carry__0_n_5), - .I2(y[17]), - .I3(sample_rate), - .I4(y[18]), - .I5(y0__1_carry__0_n_4), - .O(y0__107_carry__1_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__2 - (.CI(y0__107_carry__1_n_0), - .CO({y0__107_carry__2_n_0,y0__107_carry__2_n_1,y0__107_carry__2_n_2,y0__107_carry__2_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__2_i_1_n_0,y0__107_carry__2_i_2_n_0,y0__107_carry__2_i_3_n_0,y0__107_carry__2_i_4_n_0}), - .O(y0__0[15:12]), - .S({y0__107_carry__2_i_5_n_0,y0__107_carry__2_i_6_n_0,y0__107_carry__2_i_7_n_0,y0__107_carry__2_i_8_n_0})); - LUT6 #( - .INIT(64'h00000000444DDD4D)) - y0__107_carry__2_i_1 - (.I0(y0__107_carry__2_i_9_n_0), - .I1(y0__1_carry__2_n_7), - .I2(y[22]), - .I3(sample_rate), - .I4(y[23]), - .I5(y0__107_carry__2_i_10_n_0), - .O(y0__107_carry__2_i_1_n_0)); - LUT6 #( - .INIT(64'h5A5A3CC3A5A53CC3)) - y0__107_carry__2_i_10 - (.I0(din[2]), - .I1(din[1]), - .I2(y0__1_carry__2_n_6), - .I3(y[23]), - .I4(sample_rate), - .I5(y[24]), - .O(y0__107_carry__2_i_10_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__2_i_11 - (.I0(din[1]), - .I1(din[0]), - .I2(y0__1_carry__2_n_7), - .I3(y[22]), - .I4(sample_rate), - .I5(y[23]), - .O(y0__107_carry__2_i_11_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__2_i_12 - (.I0(y0__1_carry__2_n_6), - .I1(din[1]), - .I2(sample_rate), - .I3(din[2]), - .I4(y[24]), - .I5(y[23]), - .O(y0__107_carry__2_i_12_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__2_i_13 - (.I0(din[3]), - .I1(din[2]), - .I2(y0__1_carry__2_n_5), - .I3(y[24]), - .I4(sample_rate), - .I5(y[25]), - .O(y0__107_carry__2_i_13_n_0)); - LUT6 #( - .INIT(64'hB8FF88FC30BB00B8)) - y0__107_carry__2_i_14 - (.I0(y[23]), - .I1(sample_rate), - .I2(y[22]), - .I3(y0__1_carry__2_n_7), - .I4(din[0]), - .I5(din[1]), - .O(y0__107_carry__2_i_14_n_0)); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT5 #( - .INIT(32'h00020A02)) - y0__107_carry__2_i_15 - (.I0(y0__1_carry__1_n_5), - .I1(y[20]), - .I2(y[21]), - .I3(sample_rate), - .I4(y[22]), - .O(y0__107_carry__2_i_15_n_0)); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT4 #( - .INIT(16'hE2FF)) - y0__107_carry__2_i_16 - (.I0(y[20]), - .I1(sample_rate), - .I2(y[21]), - .I3(y0__1_carry__1_n_5), - .O(y0__107_carry__2_i_16_n_0)); - LUT6 #( - .INIT(64'h0407000000000000)) - y0__107_carry__2_i_2 - (.I0(y[22]), - .I1(sample_rate), - .I2(y[21]), - .I3(y[20]), - .I4(y0__1_carry__1_n_5), - .I5(y0__107_carry__2_i_11_n_0), - .O(y0__107_carry__2_i_2_n_0)); - LUT6 #( - .INIT(64'hFBF8FFFF04070000)) - y0__107_carry__2_i_3 - (.I0(y[22]), - .I1(sample_rate), - .I2(y[21]), - .I3(y[20]), - .I4(y0__1_carry__1_n_5), - .I5(y0__107_carry__2_i_11_n_0), - .O(y0__107_carry__2_i_3_n_0)); - LUT3 #( - .INIT(8'h6A)) - y0__107_carry__2_i_4 - (.I0(y0__1_carry__1_n_4), - .I1(din[0]), - .I2(sample_rate), - .O(y0__107_carry__2_i_4_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__2_i_5 - (.I0(y0__107_carry__2_i_1_n_0), - .I1(y0__107_carry__2_i_12_n_0), - .I2(y0__107_carry__2_i_13_n_0), - .O(y0__107_carry__2_i_5_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__2_i_6 - (.I0(y0__107_carry__2_i_2_n_0), - .I1(y0__107_carry__2_i_10_n_0), - .I2(y0__107_carry__2_i_14_n_0), - .O(y0__107_carry__2_i_6_n_0)); - LUT5 #( - .INIT(32'h99996999)) - y0__107_carry__2_i_7 - (.I0(y0__107_carry__2_i_11_n_0), - .I1(y0__107_carry__2_i_15_n_0), - .I2(sample_rate), - .I3(din[0]), - .I4(y0__1_carry__1_n_4), - .O(y0__107_carry__2_i_7_n_0)); - LUT6 #( - .INIT(64'h69CC6933963396CC)) - y0__107_carry__2_i_8 - (.I0(din[0]), - .I1(y0__1_carry__1_n_4), - .I2(y[22]), - .I3(sample_rate), - .I4(y[21]), - .I5(y0__107_carry__2_i_16_n_0), - .O(y0__107_carry__2_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__2_i_9 - (.I0(din[1]), - .I1(sample_rate), - .I2(din[0]), - .O(y0__107_carry__2_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__3 - (.CI(y0__107_carry__2_n_0), - .CO({y0__107_carry__3_n_0,y0__107_carry__3_n_1,y0__107_carry__3_n_2,y0__107_carry__3_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__3_i_1_n_0,y0__107_carry__3_i_2_n_0,y0__107_carry__3_i_3_n_0,y0__107_carry__3_i_4_n_0}), - .O(y0__0[19:16]), - .S({y0__107_carry__3_i_5_n_0,y0__107_carry__3_i_6_n_0,y0__107_carry__3_i_7_n_0,y0__107_carry__3_i_8_n_0})); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__3_i_1 - (.I0(y[28]), - .I1(sample_rate), - .I2(y[27]), - .I3(y0__1_carry__3_n_6), - .I4(y0__107_carry__3_i_9_n_0), - .I5(y0__107_carry__3_i_10_n_0), - .O(y0__107_carry__3_i_1_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__3_i_10 - (.I0(y0__1_carry__3_n_7), - .I1(din[4]), - .I2(sample_rate), - .I3(din[5]), - .I4(y[27]), - .I5(y[26]), - .O(y0__107_carry__3_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair25" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__3_i_11 - (.I0(din[5]), - .I1(sample_rate), - .I2(din[4]), - .O(y0__107_carry__3_i_11_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__3_i_12 - (.I0(y0__1_carry__2_n_4), - .I1(din[3]), - .I2(sample_rate), - .I3(din[4]), - .I4(y[26]), - .I5(y[25]), - .O(y0__107_carry__3_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair25" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__3_i_13 - (.I0(din[3]), - .I1(sample_rate), - .I2(din[2]), - .O(y0__107_carry__3_i_13_n_0)); - LUT6 #( - .INIT(64'h5A5A3CC3A5A53CC3)) - y0__107_carry__3_i_14 - (.I0(din[4]), - .I1(din[3]), - .I2(y0__1_carry__2_n_4), - .I3(y[25]), - .I4(sample_rate), - .I5(y[26]), - .O(y0__107_carry__3_i_14_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__3_i_15 - (.I0(y0__1_carry__3_n_6), - .I1(din[5]), - .I2(sample_rate), - .I3(din[6]), - .I4(y[28]), - .I5(y[27]), - .O(y0__107_carry__3_i_15_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__3_i_16 - (.I0(din[7]), - .I1(din[6]), - .I2(y0__1_carry__3_n_5), - .I3(y[28]), - .I4(sample_rate), - .I5(y[29]), - .O(y0__107_carry__3_i_16_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__3_i_17 - (.I0(din[6]), - .I1(din[5]), - .I2(y0__1_carry__3_n_6), - .I3(y[27]), - .I4(sample_rate), - .I5(y[28]), - .O(y0__107_carry__3_i_17_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__3_i_18 - (.I0(din[5]), - .I1(din[4]), - .I2(y0__1_carry__3_n_7), - .I3(y[26]), - .I4(sample_rate), - .I5(y[27]), - .O(y0__107_carry__3_i_18_n_0)); - LUT6 #( - .INIT(64'hB8FF88FC30BB00B8)) - y0__107_carry__3_i_19 - (.I0(y[25]), - .I1(sample_rate), - .I2(y[24]), - .I3(y0__1_carry__2_n_5), - .I4(din[2]), - .I5(din[3]), - .O(y0__107_carry__3_i_19_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__3_i_2 - (.I0(y[27]), - .I1(sample_rate), - .I2(y[26]), - .I3(y0__1_carry__3_n_7), - .I4(y0__107_carry__3_i_11_n_0), - .I5(y0__107_carry__3_i_12_n_0), - .O(y0__107_carry__3_i_2_n_0)); - LUT6 #( - .INIT(64'h00000000444DDD4D)) - y0__107_carry__3_i_3 - (.I0(y0__107_carry__3_i_13_n_0), - .I1(y0__1_carry__2_n_5), - .I2(y[24]), - .I3(sample_rate), - .I4(y[25]), - .I5(y0__107_carry__3_i_14_n_0), - .O(y0__107_carry__3_i_3_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__3_i_4 - (.I0(y[25]), - .I1(sample_rate), - .I2(y[24]), - .I3(y0__1_carry__2_n_5), - .I4(y0__107_carry__3_i_13_n_0), - .I5(y0__107_carry__2_i_12_n_0), - .O(y0__107_carry__3_i_4_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__3_i_5 - (.I0(y0__107_carry__3_i_1_n_0), - .I1(y0__107_carry__3_i_15_n_0), - .I2(y0__107_carry__3_i_16_n_0), - .O(y0__107_carry__3_i_5_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__3_i_6 - (.I0(y0__107_carry__3_i_2_n_0), - .I1(y0__107_carry__3_i_10_n_0), - .I2(y0__107_carry__3_i_17_n_0), - .O(y0__107_carry__3_i_6_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__3_i_7 - (.I0(y0__107_carry__3_i_3_n_0), - .I1(y0__107_carry__3_i_12_n_0), - .I2(y0__107_carry__3_i_18_n_0), - .O(y0__107_carry__3_i_7_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__3_i_8 - (.I0(y0__107_carry__3_i_4_n_0), - .I1(y0__107_carry__3_i_14_n_0), - .I2(y0__107_carry__3_i_19_n_0), - .O(y0__107_carry__3_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair24" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__3_i_9 - (.I0(din[6]), - .I1(sample_rate), - .I2(din[5]), - .O(y0__107_carry__3_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__4 - (.CI(y0__107_carry__3_n_0), - .CO({y0__107_carry__4_n_0,y0__107_carry__4_n_1,y0__107_carry__4_n_2,y0__107_carry__4_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__4_i_1_n_0,y0__107_carry__4_i_2_n_0,y0__107_carry__4_i_3_n_0,y0__107_carry__4_i_4_n_0}), - .O(y0__0[23:20]), - .S({y0__107_carry__4_i_5_n_0,y0__107_carry__4_i_6_n_0,y0__107_carry__4_i_7_n_0,y0__107_carry__4_i_8_n_0})); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__4_i_1 - (.I0(y[32]), - .I1(sample_rate), - .I2(y[31]), - .I3(y0__1_carry__4_n_6), - .I4(y0__107_carry__4_i_9_n_0), - .I5(y0__107_carry__4_i_10_n_0), - .O(y0__107_carry__4_i_1_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__4_i_10 - (.I0(y0__1_carry__4_n_7), - .I1(din[8]), - .I2(sample_rate), - .I3(din[9]), - .I4(y[31]), - .I5(y[30]), - .O(y0__107_carry__4_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair23" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__4_i_11 - (.I0(din[9]), - .I1(sample_rate), - .I2(din[8]), - .O(y0__107_carry__4_i_11_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__4_i_12 - (.I0(y0__1_carry__3_n_4), - .I1(din[7]), - .I2(sample_rate), - .I3(din[8]), - .I4(y[30]), - .I5(y[29]), - .O(y0__107_carry__4_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair23" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__4_i_13 - (.I0(din[8]), - .I1(sample_rate), - .I2(din[7]), - .O(y0__107_carry__4_i_13_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__4_i_14 - (.I0(y0__1_carry__3_n_5), - .I1(din[6]), - .I2(sample_rate), - .I3(din[7]), - .I4(y[29]), - .I5(y[28]), - .O(y0__107_carry__4_i_14_n_0)); - (* SOFT_HLUTNM = "soft_lutpair24" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__4_i_15 - (.I0(din[7]), - .I1(sample_rate), - .I2(din[6]), - .O(y0__107_carry__4_i_15_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__4_i_16 - (.I0(y0__1_carry__4_n_6), - .I1(din[9]), - .I2(sample_rate), - .I3(din[10]), - .I4(y[32]), - .I5(y[31]), - .O(y0__107_carry__4_i_16_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__4_i_17 - (.I0(din[11]), - .I1(din[10]), - .I2(y0__1_carry__4_n_5), - .I3(y[32]), - .I4(sample_rate), - .I5(y[33]), - .O(y0__107_carry__4_i_17_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__4_i_18 - (.I0(din[10]), - .I1(din[9]), - .I2(y0__1_carry__4_n_6), - .I3(y[31]), - .I4(sample_rate), - .I5(y[32]), - .O(y0__107_carry__4_i_18_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__4_i_19 - (.I0(din[9]), - .I1(din[8]), - .I2(y0__1_carry__4_n_7), - .I3(y[30]), - .I4(sample_rate), - .I5(y[31]), - .O(y0__107_carry__4_i_19_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__4_i_2 - (.I0(y[31]), - .I1(sample_rate), - .I2(y[30]), - .I3(y0__1_carry__4_n_7), - .I4(y0__107_carry__4_i_11_n_0), - .I5(y0__107_carry__4_i_12_n_0), - .O(y0__107_carry__4_i_2_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__4_i_20 - (.I0(din[8]), - .I1(din[7]), - .I2(y0__1_carry__3_n_4), - .I3(y[29]), - .I4(sample_rate), - .I5(y[30]), - .O(y0__107_carry__4_i_20_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__4_i_3 - (.I0(y[30]), - .I1(sample_rate), - .I2(y[29]), - .I3(y0__1_carry__3_n_4), - .I4(y0__107_carry__4_i_13_n_0), - .I5(y0__107_carry__4_i_14_n_0), - .O(y0__107_carry__4_i_3_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__4_i_4 - (.I0(y[29]), - .I1(sample_rate), - .I2(y[28]), - .I3(y0__1_carry__3_n_5), - .I4(y0__107_carry__4_i_15_n_0), - .I5(y0__107_carry__3_i_15_n_0), - .O(y0__107_carry__4_i_4_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__4_i_5 - (.I0(y0__107_carry__4_i_1_n_0), - .I1(y0__107_carry__4_i_16_n_0), - .I2(y0__107_carry__4_i_17_n_0), - .O(y0__107_carry__4_i_5_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__4_i_6 - (.I0(y0__107_carry__4_i_2_n_0), - .I1(y0__107_carry__4_i_10_n_0), - .I2(y0__107_carry__4_i_18_n_0), - .O(y0__107_carry__4_i_6_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__4_i_7 - (.I0(y0__107_carry__4_i_3_n_0), - .I1(y0__107_carry__4_i_12_n_0), - .I2(y0__107_carry__4_i_19_n_0), - .O(y0__107_carry__4_i_7_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__4_i_8 - (.I0(y0__107_carry__4_i_4_n_0), - .I1(y0__107_carry__4_i_14_n_0), - .I2(y0__107_carry__4_i_20_n_0), - .O(y0__107_carry__4_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__4_i_9 - (.I0(din[10]), - .I1(sample_rate), - .I2(din[9]), - .O(y0__107_carry__4_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__5 - (.CI(y0__107_carry__4_n_0), - .CO({y0__107_carry__5_n_0,y0__107_carry__5_n_1,y0__107_carry__5_n_2,y0__107_carry__5_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__5_i_1_n_0,y0__107_carry__5_i_2_n_0,y0__107_carry__5_i_3_n_0,y0__107_carry__5_i_4_n_0}), - .O(y0__0[27:24]), - .S({y0__107_carry__5_i_5_n_0,y0__107_carry__5_i_6_n_0,y0__107_carry__5_i_7_n_0,y0__107_carry__5_i_8_n_0})); - LUT6 #( - .INIT(64'h00000000444DDD4D)) - y0__107_carry__5_i_1 - (.I0(y0__107_carry__5_i_9_n_0), - .I1(y0__1_carry__5_n_7), - .I2(y[34]), - .I3(sample_rate), - .I4(y[35]), - .I5(y0__107_carry__5_i_10_n_0), - .O(y0__107_carry__5_i_1_n_0)); - LUT6 #( - .INIT(64'h5A5A3CC3A5A53CC3)) - y0__107_carry__5_i_10 - (.I0(din[14]), - .I1(din[13]), - .I2(y0__1_carry__5_n_6), - .I3(y[35]), - .I4(sample_rate), - .I5(y[36]), - .O(y0__107_carry__5_i_10_n_0)); - LUT6 #( - .INIT(64'hB8FF88FC30BB00B8)) - y0__107_carry__5_i_11 - (.I0(y[34]), - .I1(sample_rate), - .I2(y[33]), - .I3(y0__1_carry__4_n_4), - .I4(din[11]), - .I5(din[12]), - .O(y0__107_carry__5_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair21" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__5_i_12 - (.I0(din[12]), - .I1(sample_rate), - .I2(din[11]), - .O(y0__107_carry__5_i_12_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__5_i_13 - (.I0(y0__1_carry__4_n_5), - .I1(din[10]), - .I2(sample_rate), - .I3(din[11]), - .I4(y[33]), - .I5(y[32]), - .O(y0__107_carry__5_i_13_n_0)); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__5_i_14 - (.I0(din[11]), - .I1(sample_rate), - .I2(din[10]), - .O(y0__107_carry__5_i_14_n_0)); - LUT6 #( - .INIT(64'hC3AAC3553C553CAA)) - y0__107_carry__5_i_15 - (.I0(din[14]), - .I1(din[15]), - .I2(y[37]), - .I3(sample_rate), - .I4(y[36]), - .I5(y0__1_carry__5_n_5), - .O(y0__107_carry__5_i_15_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__5_i_16 - (.I0(y0__1_carry__5_n_6), - .I1(din[13]), - .I2(sample_rate), - .I3(din[14]), - .I4(y[36]), - .I5(y[35]), - .O(y0__107_carry__5_i_16_n_0)); - LUT6 #( - .INIT(64'hB8FF88FC30BB00B8)) - y0__107_carry__5_i_17 - (.I0(y[35]), - .I1(sample_rate), - .I2(y[34]), - .I3(y0__1_carry__5_n_7), - .I4(din[12]), - .I5(din[13]), - .O(y0__107_carry__5_i_17_n_0)); - LUT6 #( - .INIT(64'h5A5A3CC3A5A53CC3)) - y0__107_carry__5_i_18 - (.I0(din[13]), - .I1(din[12]), - .I2(y0__1_carry__5_n_7), - .I3(y[34]), - .I4(sample_rate), - .I5(y[35]), - .O(y0__107_carry__5_i_18_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__5_i_19 - (.I0(din[12]), - .I1(din[11]), - .I2(y0__1_carry__4_n_4), - .I3(y[33]), - .I4(sample_rate), - .I5(y[34]), - .O(y0__107_carry__5_i_19_n_0)); - LUT6 #( - .INIT(64'h00000000B84747B8)) - y0__107_carry__5_i_2 - (.I0(y[35]), - .I1(sample_rate), - .I2(y[34]), - .I3(y0__1_carry__5_n_7), - .I4(y0__107_carry__5_i_9_n_0), - .I5(y0__107_carry__5_i_11_n_0), - .O(y0__107_carry__5_i_2_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__5_i_3 - (.I0(y[34]), - .I1(sample_rate), - .I2(y[33]), - .I3(y0__1_carry__4_n_4), - .I4(y0__107_carry__5_i_12_n_0), - .I5(y0__107_carry__5_i_13_n_0), - .O(y0__107_carry__5_i_3_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__5_i_4 - (.I0(y[33]), - .I1(sample_rate), - .I2(y[32]), - .I3(y0__1_carry__4_n_5), - .I4(y0__107_carry__5_i_14_n_0), - .I5(y0__107_carry__4_i_16_n_0), - .O(y0__107_carry__5_i_4_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__5_i_5 - (.I0(y0__107_carry__5_i_1_n_0), - .I1(y0__107_carry__5_i_15_n_0), - .I2(y0__107_carry__5_i_16_n_0), - .O(y0__107_carry__5_i_5_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__5_i_6 - (.I0(y0__107_carry__5_i_2_n_0), - .I1(y0__107_carry__5_i_10_n_0), - .I2(y0__107_carry__5_i_17_n_0), - .O(y0__107_carry__5_i_6_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__5_i_7 - (.I0(y0__107_carry__5_i_3_n_0), - .I1(y0__107_carry__5_i_11_n_0), - .I2(y0__107_carry__5_i_18_n_0), - .O(y0__107_carry__5_i_7_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__5_i_8 - (.I0(y0__107_carry__5_i_4_n_0), - .I1(y0__107_carry__5_i_13_n_0), - .I2(y0__107_carry__5_i_19_n_0), - .O(y0__107_carry__5_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair21" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__5_i_9 - (.I0(din[13]), - .I1(sample_rate), - .I2(din[12]), - .O(y0__107_carry__5_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__6 - (.CI(y0__107_carry__5_n_0), - .CO({y0__107_carry__6_n_0,y0__107_carry__6_n_1,y0__107_carry__6_n_2,y0__107_carry__6_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__6_i_1_n_0,y0__107_carry__6_i_2_n_0,y0__107_carry__6_i_3_n_0,y0__107_carry__6_i_4_n_0}), - .O(y0__0[31:28]), - .S({y0__107_carry__6_i_5_n_0,y0__107_carry__6_i_6_n_0,y0__107_carry__6_i_7_n_0,y0__107_carry__6_i_8_n_0})); - LUT3 #( - .INIT(8'hEC)) - y0__107_carry__6_i_1 - (.I0(y[38]), - .I1(y0__1_carry__6_n_6), - .I2(y0__1_carry__6_n_7), - .O(y0__107_carry__6_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT2 #( - .INIT(4'h6)) - y0__107_carry__6_i_10 - (.I0(din[15]), - .I1(y0__1_carry__5_n_4), - .O(y0__107_carry__6_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__6_i_11 - (.I0(y[38]), - .I1(sample_rate), - .I2(y[37]), - .O(y0__107_carry__6_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__6_i_12 - (.I0(din[15]), - .I1(sample_rate), - .I2(din[14]), - .O(y0__107_carry__6_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__6_i_13 - (.I0(y[37]), - .I1(sample_rate), - .I2(y[36]), - .O(y0__107_carry__6_i_13_n_0)); - LUT4 #( - .INIT(16'h0EE0)) - y0__107_carry__6_i_2 - (.I0(y0__1_carry__5_n_4), - .I1(din[15]), - .I2(y[38]), - .I3(y0__1_carry__6_n_7), - .O(y0__107_carry__6_i_2_n_0)); - LUT6 #( - .INIT(64'h828282EBEBEB82EB)) - y0__107_carry__6_i_3 - (.I0(y0__107_carry__6_i_9_n_0), - .I1(y0__1_carry__5_n_4), - .I2(din[15]), - .I3(y[37]), - .I4(sample_rate), - .I5(y[38]), - .O(y0__107_carry__6_i_3_n_0)); - LUT6 #( - .INIT(64'h656A9A959A95656A)) - y0__107_carry__6_i_4 - (.I0(y0__107_carry__6_i_9_n_0), - .I1(y[38]), - .I2(sample_rate), - .I3(y[37]), - .I4(din[15]), - .I5(y0__1_carry__5_n_4), - .O(y0__107_carry__6_i_4_n_0)); - LUT4 #( - .INIT(16'hEC13)) - y0__107_carry__6_i_5 - (.I0(y0__1_carry__6_n_7), - .I1(y0__1_carry__6_n_6), - .I2(y[38]), - .I3(y0__1_carry__6_n_5), - .O(y0__107_carry__6_i_5_n_0)); - LUT5 #( - .INIT(32'hF0E1E10F)) - y0__107_carry__6_i_6 - (.I0(din[15]), - .I1(y0__1_carry__5_n_4), - .I2(y0__1_carry__6_n_6), - .I3(y[38]), - .I4(y0__1_carry__6_n_7), - .O(y0__107_carry__6_i_6_n_0)); - LUT5 #( - .INIT(32'h69696996)) - y0__107_carry__6_i_7 - (.I0(y0__107_carry__6_i_3_n_0), - .I1(y0__1_carry__6_n_7), - .I2(y[38]), - .I3(din[15]), - .I4(y0__1_carry__5_n_4), - .O(y0__107_carry__6_i_7_n_0)); - LUT6 #( - .INIT(64'h9996699969996669)) - y0__107_carry__6_i_8 - (.I0(y0__107_carry__6_i_10_n_0), - .I1(y0__107_carry__6_i_11_n_0), - .I2(y0__107_carry__6_i_12_n_0), - .I3(y0__107_carry__6_i_13_n_0), - .I4(y0__1_carry__5_n_5), - .I5(y0__107_carry__5_i_16_n_0), - .O(y0__107_carry__6_i_8_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__6_i_9 - (.I0(y0__1_carry__5_n_5), - .I1(y[36]), - .I2(sample_rate), - .I3(y[37]), - .I4(din[15]), - .I5(din[14]), - .O(y0__107_carry__6_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__7 - (.CI(y0__107_carry__6_n_0), - .CO({y0__107_carry__7_n_0,y0__107_carry__7_n_1,y0__107_carry__7_n_2,y0__107_carry__7_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__7_n_6,y0__1_carry__7_n_7,y0__1_carry__6_n_4,y0__1_carry__6_n_5}), - .O(y0__0[35:32]), - .S({y0__107_carry__7_i_1_n_0,y0__107_carry__7_i_2_n_0,y0__107_carry__7_i_3_n_0,y0__107_carry__7_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__7_i_1 - (.I0(y0__1_carry__7_n_6), - .I1(y0__1_carry__7_n_5), - .O(y0__107_carry__7_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__7_i_2 - (.I0(y0__1_carry__7_n_7), - .I1(y0__1_carry__7_n_6), - .O(y0__107_carry__7_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__7_i_3 - (.I0(y0__1_carry__6_n_4), - .I1(y0__1_carry__7_n_7), - .O(y0__107_carry__7_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__7_i_4 - (.I0(y0__1_carry__6_n_5), - .I1(y0__1_carry__6_n_4), - .O(y0__107_carry__7_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__8 - (.CI(y0__107_carry__7_n_0), - .CO({NLW_y0__107_carry__8_CO_UNCONNECTED[3],y0__107_carry__8_n_1,y0__107_carry__8_n_2,y0__107_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,y0__1_carry__8_n_7,y0__1_carry__7_n_4,y0__1_carry__7_n_5}), - .O({y0,y0__0[38:36]}), - .S({y0__107_carry__8_i_1_n_0,y0__107_carry__8_i_2_n_0,y0__107_carry__8_i_3_n_0,y0__107_carry__8_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__8_i_1 - (.I0(y0__1_carry__8_n_6), - .I1(y0__1_carry__8_n_5), - .O(y0__107_carry__8_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__8_i_2 - (.I0(y0__1_carry__8_n_7), - .I1(y0__1_carry__8_n_6), - .O(y0__107_carry__8_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__8_i_3 - (.I0(y0__1_carry__7_n_4), - .I1(y0__1_carry__8_n_7), - .O(y0__107_carry__8_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__8_i_4 - (.I0(y0__1_carry__7_n_5), - .I1(y0__1_carry__7_n_4), - .O(y0__107_carry__8_i_4_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry_i_1 - (.I0(y0__1_carry_n_6), - .I1(y[11]), - .I2(sample_rate), - .I3(y[12]), - .O(y0__107_carry_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__107_carry_i_2 - (.I0(y0__1_carry_n_7), - .O(y0__107_carry_i_2_n_0)); - LUT3 #( - .INIT(8'h47)) - y0__107_carry_i_3 - (.I0(y[10]), - .I1(sample_rate), - .I2(y[9]), - .O(y0__107_carry_i_3_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry_i_4 - (.I0(y[11]), - .I1(y0__1_carry_n_6), - .I2(y[12]), - .I3(sample_rate), - .I4(y[13]), - .I5(y0__1_carry_n_5), - .O(y0__107_carry_i_4_n_0)); - LUT5 #( - .INIT(32'h56A6A959)) - y0__107_carry_i_5 - (.I0(y0__1_carry_n_7), - .I1(y[11]), - .I2(sample_rate), - .I3(y[12]), - .I4(y0__1_carry_n_6), - .O(y0__107_carry_i_5_n_0)); - LUT4 #( - .INIT(16'h56A6)) - y0__107_carry_i_6 - (.I0(y0__1_carry_n_7), - .I1(y[10]), - .I2(sample_rate), - .I3(y[11]), - .O(y0__107_carry_i_6_n_0)); - LUT4 #( - .INIT(16'h1DE2)) - y0__107_carry_i_7 - (.I0(y[9]), - .I1(sample_rate), - .I2(y[10]), - .I3(y[0]), - .O(y0__107_carry_i_7_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry - (.CI(1'b0), - .CO({y0__1_carry_n_0,y0__1_carry_n_1,y0__1_carry_n_2,y0__1_carry_n_3}), - .CYINIT(y[0]), - .DI(y[4:1]), - .O({y0__1_carry_n_4,y0__1_carry_n_5,y0__1_carry_n_6,y0__1_carry_n_7}), - .S({y0__1_carry_i_1_n_0,y0__1_carry_i_2_n_0,y0__1_carry_i_3_n_0,y0__1_carry_i_4_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__0 - (.CI(y0__1_carry_n_0), - .CO({y0__1_carry__0_n_0,y0__1_carry__0_n_1,y0__1_carry__0_n_2,y0__1_carry__0_n_3}), - .CYINIT(1'b0), - .DI(y[8:5]), - .O({y0__1_carry__0_n_4,y0__1_carry__0_n_5,y0__1_carry__0_n_6,y0__1_carry__0_n_7}), - .S({y0__1_carry__0_i_1_n_0,y0__1_carry__0_i_2_n_0,y0__1_carry__0_i_3_n_0,y0__1_carry__0_i_4_n_0})); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__0_i_1 - (.I0(y[8]), - .O(y0__1_carry__0_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__0_i_2 - (.I0(y[7]), - .O(y0__1_carry__0_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__0_i_3 - (.I0(y[6]), - .O(y0__1_carry__0_i_3_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__0_i_4 - (.I0(y[5]), - .O(y0__1_carry__0_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__1 - (.CI(y0__1_carry__0_n_0), - .CO({y0__1_carry__1_n_0,y0__1_carry__1_n_1,y0__1_carry__1_n_2,y0__1_carry__1_n_3}), - .CYINIT(1'b0), - .DI(y[12:9]), - .O({y0__1_carry__1_n_4,y0__1_carry__1_n_5,y0__1_carry__1_n_6,y0__1_carry__1_n_7}), - .S({y0__1_carry__1_i_1_n_0,y0__1_carry__1_i_2_n_0,y0__1_carry__1_i_3_n_0,y0__1_carry__1_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__1_i_1 - (.I0(y[12]), - .I1(x1[12]), - .O(y0__1_carry__1_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__1_i_2 - (.I0(y[11]), - .O(y0__1_carry__1_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__1_i_3 - (.I0(y[10]), - .O(y0__1_carry__1_i_3_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__1_i_4 - (.I0(y[9]), - .O(y0__1_carry__1_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__2 - (.CI(y0__1_carry__1_n_0), - .CO({y0__1_carry__2_n_0,y0__1_carry__2_n_1,y0__1_carry__2_n_2,y0__1_carry__2_n_3}), - .CYINIT(1'b0), - .DI(y[16:13]), - .O({y0__1_carry__2_n_4,y0__1_carry__2_n_5,y0__1_carry__2_n_6,y0__1_carry__2_n_7}), - .S({y0__1_carry__2_i_1_n_0,y0__1_carry__2_i_2_n_0,y0__1_carry__2_i_3_n_0,y0__1_carry__2_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__2_i_1 - (.I0(y[16]), - .I1(x1[16]), - .O(y0__1_carry__2_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__2_i_2 - (.I0(y[15]), - .I1(x1[15]), - .O(y0__1_carry__2_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__2_i_3 - (.I0(y[14]), - .I1(x1[14]), - .O(y0__1_carry__2_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__2_i_4 - (.I0(y[13]), - .I1(x1[13]), - .O(y0__1_carry__2_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__3 - (.CI(y0__1_carry__2_n_0), - .CO({y0__1_carry__3_n_0,y0__1_carry__3_n_1,y0__1_carry__3_n_2,y0__1_carry__3_n_3}), - .CYINIT(1'b0), - .DI(y[20:17]), - .O({y0__1_carry__3_n_4,y0__1_carry__3_n_5,y0__1_carry__3_n_6,y0__1_carry__3_n_7}), - .S({y0__1_carry__3_i_1_n_0,y0__1_carry__3_i_2_n_0,y0__1_carry__3_i_3_n_0,y0__1_carry__3_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__3_i_1 - (.I0(y[20]), - .I1(x1[20]), - .O(y0__1_carry__3_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__3_i_2 - (.I0(y[19]), - .I1(x1[19]), - .O(y0__1_carry__3_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__3_i_3 - (.I0(y[18]), - .I1(x1[18]), - .O(y0__1_carry__3_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__3_i_4 - (.I0(y[17]), - .I1(x1[17]), - .O(y0__1_carry__3_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__4 - (.CI(y0__1_carry__3_n_0), - .CO({y0__1_carry__4_n_0,y0__1_carry__4_n_1,y0__1_carry__4_n_2,y0__1_carry__4_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__4_i_1_n_0,din[0],y[22:21]}), - .O({y0__1_carry__4_n_4,y0__1_carry__4_n_5,y0__1_carry__4_n_6,y0__1_carry__4_n_7}), - .S({y0__1_carry__4_i_2_n_0,y0__1_carry__4_i_3_n_0,y0__1_carry__4_i_4_n_0,y0__1_carry__4_i_5_n_0})); - LUT3 #( - .INIT(8'h69)) - y0__1_carry__4_i_1 - (.I0(din[1]), - .I1(y[24]), - .I2(x1[24]), - .O(y0__1_carry__4_i_1_n_0)); - (* HLUTNM = "lutpair0" *) - LUT5 #( - .INIT(32'h69966969)) - y0__1_carry__4_i_2 - (.I0(y[24]), - .I1(x1[24]), - .I2(din[1]), - .I3(x1[23]), - .I4(y[23]), - .O(y0__1_carry__4_i_2_n_0)); - LUT3 #( - .INIT(8'h69)) - y0__1_carry__4_i_3 - (.I0(x1[23]), - .I1(y[23]), - .I2(din[0]), - .O(y0__1_carry__4_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__4_i_4 - (.I0(y[22]), - .I1(x1[22]), - .O(y0__1_carry__4_i_4_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__4_i_5 - (.I0(y[21]), - .I1(x1[21]), - .O(y0__1_carry__4_i_5_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__5 - (.CI(y0__1_carry__4_n_0), - .CO({y0__1_carry__5_n_0,y0__1_carry__5_n_1,y0__1_carry__5_n_2,y0__1_carry__5_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__5_i_1_n_0,y0__1_carry__5_i_2_n_0,y0__1_carry__5_i_3_n_0,y0__1_carry__5_i_4_n_0}), - .O({y0__1_carry__5_n_4,y0__1_carry__5_n_5,y0__1_carry__5_n_6,y0__1_carry__5_n_7}), - .S({y0__1_carry__5_i_5_n_0,y0__1_carry__5_i_6_n_0,y0__1_carry__5_i_7_n_0,y0__1_carry__5_i_8_n_0})); - (* HLUTNM = "lutpair1" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__5_i_1 - (.I0(x1[27]), - .I1(din[4]), - .I2(y[27]), - .O(y0__1_carry__5_i_1_n_0)); - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__5_i_2 - (.I0(x1[26]), - .I1(din[3]), - .I2(y[26]), - .O(y0__1_carry__5_i_2_n_0)); - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__5_i_3 - (.I0(x1[25]), - .I1(din[2]), - .I2(y[25]), - .O(y0__1_carry__5_i_3_n_0)); - (* HLUTNM = "lutpair0" *) - LUT3 #( - .INIT(8'hB2)) - y0__1_carry__5_i_4 - (.I0(y[24]), - .I1(x1[24]), - .I2(din[1]), - .O(y0__1_carry__5_i_4_n_0)); - (* HLUTNM = "lutpair2" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__5_i_5 - (.I0(x1[28]), - .I1(din[5]), - .I2(y[28]), - .I3(y0__1_carry__5_i_1_n_0), - .O(y0__1_carry__5_i_5_n_0)); - (* HLUTNM = "lutpair1" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__5_i_6 - (.I0(x1[27]), - .I1(din[4]), - .I2(y[27]), - .I3(y0__1_carry__5_i_2_n_0), - .O(y0__1_carry__5_i_6_n_0)); - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__5_i_7 - (.I0(x1[26]), - .I1(din[3]), - .I2(y[26]), - .I3(y0__1_carry__5_i_3_n_0), - .O(y0__1_carry__5_i_7_n_0)); - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__5_i_8 - (.I0(x1[25]), - .I1(din[2]), - .I2(y[25]), - .I3(y0__1_carry__5_i_4_n_0), - .O(y0__1_carry__5_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__6 - (.CI(y0__1_carry__5_n_0), - .CO({y0__1_carry__6_n_0,y0__1_carry__6_n_1,y0__1_carry__6_n_2,y0__1_carry__6_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__6_i_1_n_0,y0__1_carry__6_i_2_n_0,y0__1_carry__6_i_3_n_0,y0__1_carry__6_i_4_n_0}), - .O({y0__1_carry__6_n_4,y0__1_carry__6_n_5,y0__1_carry__6_n_6,y0__1_carry__6_n_7}), - .S({y0__1_carry__6_i_5_n_0,y0__1_carry__6_i_6_n_0,y0__1_carry__6_i_7_n_0,y0__1_carry__6_i_8_n_0})); - (* HLUTNM = "lutpair5" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__6_i_1 - (.I0(x1[31]), - .I1(y[31]), - .I2(din[8]), - .O(y0__1_carry__6_i_1_n_0)); - (* HLUTNM = "lutpair4" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__6_i_2 - (.I0(x1[30]), - .I1(y[30]), - .I2(din[7]), - .O(y0__1_carry__6_i_2_n_0)); - (* HLUTNM = "lutpair3" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__6_i_3 - (.I0(x1[29]), - .I1(y[29]), - .I2(din[6]), - .O(y0__1_carry__6_i_3_n_0)); - (* HLUTNM = "lutpair2" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__6_i_4 - (.I0(x1[28]), - .I1(din[5]), - .I2(y[28]), - .O(y0__1_carry__6_i_4_n_0)); - (* HLUTNM = "lutpair6" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__6_i_5 - (.I0(x1[32]), - .I1(y[32]), - .I2(din[9]), - .I3(y0__1_carry__6_i_1_n_0), - .O(y0__1_carry__6_i_5_n_0)); - (* HLUTNM = "lutpair5" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__6_i_6 - (.I0(x1[31]), - .I1(y[31]), - .I2(din[8]), - .I3(y0__1_carry__6_i_2_n_0), - .O(y0__1_carry__6_i_6_n_0)); - (* HLUTNM = "lutpair4" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__6_i_7 - (.I0(x1[30]), - .I1(y[30]), - .I2(din[7]), - .I3(y0__1_carry__6_i_3_n_0), - .O(y0__1_carry__6_i_7_n_0)); - (* HLUTNM = "lutpair3" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__6_i_8 - (.I0(x1[29]), - .I1(y[29]), - .I2(din[6]), - .I3(y0__1_carry__6_i_4_n_0), - .O(y0__1_carry__6_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__7 - (.CI(y0__1_carry__6_n_0), - .CO({y0__1_carry__7_n_0,y0__1_carry__7_n_1,y0__1_carry__7_n_2,y0__1_carry__7_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__7_i_1_n_0,y0__1_carry__7_i_2_n_0,y0__1_carry__7_i_3_n_0,y0__1_carry__7_i_4_n_0}), - .O({y0__1_carry__7_n_4,y0__1_carry__7_n_5,y0__1_carry__7_n_6,y0__1_carry__7_n_7}), - .S({y0__1_carry__7_i_5_n_0,y0__1_carry__7_i_6_n_0,y0__1_carry__7_i_7_n_0,y0__1_carry__7_i_8_n_0})); - (* HLUTNM = "lutpair9" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__7_i_1 - (.I0(x1[35]), - .I1(y[35]), - .I2(din[12]), - .O(y0__1_carry__7_i_1_n_0)); - (* HLUTNM = "lutpair8" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__7_i_2 - (.I0(x1[34]), - .I1(y[34]), - .I2(din[11]), - .O(y0__1_carry__7_i_2_n_0)); - (* HLUTNM = "lutpair7" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__7_i_3 - (.I0(x1[33]), - .I1(y[33]), - .I2(din[10]), - .O(y0__1_carry__7_i_3_n_0)); - (* HLUTNM = "lutpair6" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__7_i_4 - (.I0(x1[32]), - .I1(y[32]), - .I2(din[9]), - .O(y0__1_carry__7_i_4_n_0)); - (* HLUTNM = "lutpair10" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__7_i_5 - (.I0(x1[36]), - .I1(y[36]), - .I2(din[13]), - .I3(y0__1_carry__7_i_1_n_0), - .O(y0__1_carry__7_i_5_n_0)); - (* HLUTNM = "lutpair9" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__7_i_6 - (.I0(x1[35]), - .I1(y[35]), - .I2(din[12]), - .I3(y0__1_carry__7_i_2_n_0), - .O(y0__1_carry__7_i_6_n_0)); - (* HLUTNM = "lutpair8" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__7_i_7 - (.I0(x1[34]), - .I1(y[34]), - .I2(din[11]), - .I3(y0__1_carry__7_i_3_n_0), - .O(y0__1_carry__7_i_7_n_0)); - (* HLUTNM = "lutpair7" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__7_i_8 - (.I0(x1[33]), - .I1(y[33]), - .I2(din[10]), - .I3(y0__1_carry__7_i_4_n_0), - .O(y0__1_carry__7_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__8 - (.CI(y0__1_carry__7_n_0), - .CO({NLW_y0__1_carry__8_CO_UNCONNECTED[3:2],y0__1_carry__8_n_2,y0__1_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,y0__1_carry__8_i_1_n_0,y0__1_carry__8_i_2_n_0}), - .O({NLW_y0__1_carry__8_O_UNCONNECTED[3],y0__1_carry__8_n_5,y0__1_carry__8_n_6,y0__1_carry__8_n_7}), - .S({1'b0,y0__1_carry__8_i_3_n_0,y0__1_carry__8_i_4_n_0,y0__1_carry__8_i_5_n_0})); - LUT3 #( - .INIT(8'h69)) - y0__1_carry__8_i_1 - (.I0(din[15]), - .I1(x1[38]), - .I2(y[38]), - .O(y0__1_carry__8_i_1_n_0)); - (* HLUTNM = "lutpair10" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__8_i_2 - (.I0(x1[36]), - .I1(y[36]), - .I2(din[13]), - .O(y0__1_carry__8_i_2_n_0)); - LUT4 #( - .INIT(16'hE187)) - y0__1_carry__8_i_3 - (.I0(din[15]), - .I1(y[38]), - .I2(x1[39]), - .I3(x1[38]), - .O(y0__1_carry__8_i_3_n_0)); - LUT6 #( - .INIT(64'h9669696996969669)) - y0__1_carry__8_i_4 - (.I0(y[38]), - .I1(x1[38]), - .I2(din[15]), - .I3(din[14]), - .I4(y[37]), - .I5(x1[37]), - .O(y0__1_carry__8_i_4_n_0)); - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__8_i_5 - (.I0(y0__1_carry__8_i_2_n_0), - .I1(y[37]), - .I2(x1[37]), - .I3(din[14]), - .O(y0__1_carry__8_i_5_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry_i_1 - (.I0(y[4]), - .O(y0__1_carry_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry_i_2 - (.I0(y[3]), - .O(y0__1_carry_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry_i_3 - (.I0(y[2]), - .O(y0__1_carry_i_3_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry_i_4 - (.I0(y[1]), - .O(y0__1_carry_i_4_n_0)); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT3 #( - .INIT(8'hD4)) - \y[0]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[0]), - .O(\y[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT3 #( - .INIT(8'hD4)) - \y[10]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[10]), - .O(\y[10]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT3 #( - .INIT(8'hD4)) - \y[11]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[11]), - .O(\y[11]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT3 #( - .INIT(8'hD4)) - \y[12]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[12]), - .O(\y[12]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT3 #( - .INIT(8'hD4)) - \y[13]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[13]), - .O(\y[13]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT3 #( - .INIT(8'hD4)) - \y[14]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[14]), - .O(\y[14]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT3 #( - .INIT(8'hD4)) - \y[15]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[15]), - .O(\y[15]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT3 #( - .INIT(8'hD4)) - \y[16]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[16]), - .O(\y[16]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT3 #( - .INIT(8'hD4)) - \y[17]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[17]), - .O(\y[17]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT3 #( - .INIT(8'hD4)) - \y[18]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[18]), - .O(\y[18]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT3 #( - .INIT(8'hD4)) - \y[19]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[19]), - .O(\y[19]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT3 #( - .INIT(8'hD4)) - \y[1]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[1]), - .O(\y[1]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT3 #( - .INIT(8'hD4)) - \y[20]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[20]), - .O(\y[20]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT3 #( - .INIT(8'hD4)) - \y[21]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[21]), - .O(\y[21]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair8" *) - LUT3 #( - .INIT(8'hD4)) - \y[22]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[22]), - .O(\y[22]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair8" *) - LUT3 #( - .INIT(8'hD4)) - \y[23]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[23]), - .O(\y[23]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'hD4)) - \y[24]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[24]), - .O(\y[24]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'hD4)) - \y[25]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[25]), - .O(\y[25]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT3 #( - .INIT(8'hD4)) - \y[26]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[26]), - .O(\y[26]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT3 #( - .INIT(8'hD4)) - \y[27]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[27]), - .O(\y[27]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT3 #( - .INIT(8'hD4)) - \y[28]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[28]), - .O(\y[28]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT3 #( - .INIT(8'hD4)) - \y[29]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[29]), - .O(\y[29]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT3 #( - .INIT(8'hD4)) - \y[2]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[2]), - .O(\y[2]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT3 #( - .INIT(8'hD4)) - \y[30]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[30]), - .O(\y[30]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT3 #( - .INIT(8'hD4)) - \y[31]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[31]), - .O(\y[31]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT3 #( - .INIT(8'hD4)) - \y[32]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[32]), - .O(\y[32]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT3 #( - .INIT(8'hD4)) - \y[33]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[33]), - .O(\y[33]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT3 #( - .INIT(8'hD4)) - \y[34]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[34]), - .O(\y[34]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT3 #( - .INIT(8'hD4)) - \y[35]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[35]), - .O(\y[35]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT3 #( - .INIT(8'hD4)) - \y[36]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[36]), - .O(\y[36]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT3 #( - .INIT(8'hD4)) - \y[37]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[37]), - .O(\y[37]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT3 #( - .INIT(8'hD4)) - \y[3]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[3]), - .O(\y[3]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT3 #( - .INIT(8'hD4)) - \y[4]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[4]), - .O(\y[4]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT3 #( - .INIT(8'hD4)) - \y[5]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[5]), - .O(\y[5]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT3 #( - .INIT(8'hD4)) - \y[6]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[6]), - .O(\y[6]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT3 #( - .INIT(8'hD4)) - \y[7]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[7]), - .O(\y[7]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT3 #( - .INIT(8'hD4)) - \y[8]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[8]), - .O(\y[8]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT3 #( - .INIT(8'hD4)) - \y[9]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[9]), - .O(\y[9]_i_1_n_0 )); - FDRE \y_reg[0] - (.C(clk), - .CE(ce), - .D(\y[0]_i_1_n_0 ), - .Q(y[0]), - .R(1'b0)); - FDRE \y_reg[10] - (.C(clk), - .CE(ce), - .D(\y[10]_i_1_n_0 ), - .Q(y[10]), - .R(1'b0)); - FDRE \y_reg[11] - (.C(clk), - .CE(ce), - .D(\y[11]_i_1_n_0 ), - .Q(y[11]), - .R(1'b0)); - FDRE \y_reg[12] - (.C(clk), - .CE(ce), - .D(\y[12]_i_1_n_0 ), - .Q(y[12]), - .R(1'b0)); - FDRE \y_reg[13] - (.C(clk), - .CE(ce), - .D(\y[13]_i_1_n_0 ), - .Q(y[13]), - .R(1'b0)); - FDRE \y_reg[14] - (.C(clk), - .CE(ce), - .D(\y[14]_i_1_n_0 ), - .Q(y[14]), - .R(1'b0)); - FDRE \y_reg[15] - (.C(clk), - .CE(ce), - .D(\y[15]_i_1_n_0 ), - .Q(y[15]), - .R(1'b0)); - FDRE \y_reg[16] - (.C(clk), - .CE(ce), - .D(\y[16]_i_1_n_0 ), - .Q(y[16]), - .R(1'b0)); - FDRE \y_reg[17] - (.C(clk), - .CE(ce), - .D(\y[17]_i_1_n_0 ), - .Q(y[17]), - .R(1'b0)); - FDRE \y_reg[18] - (.C(clk), - .CE(ce), - .D(\y[18]_i_1_n_0 ), - .Q(y[18]), - .R(1'b0)); - FDRE \y_reg[19] - (.C(clk), - .CE(ce), - .D(\y[19]_i_1_n_0 ), - .Q(y[19]), - .R(1'b0)); - FDRE \y_reg[1] - (.C(clk), - .CE(ce), - .D(\y[1]_i_1_n_0 ), - .Q(y[1]), - .R(1'b0)); - FDRE \y_reg[20] - (.C(clk), - .CE(ce), - .D(\y[20]_i_1_n_0 ), - .Q(y[20]), - .R(1'b0)); - FDRE \y_reg[21] - (.C(clk), - .CE(ce), - .D(\y[21]_i_1_n_0 ), - .Q(y[21]), - .R(1'b0)); - FDRE \y_reg[22] - (.C(clk), - .CE(ce), - .D(\y[22]_i_1_n_0 ), - .Q(y[22]), - .R(1'b0)); - FDRE \y_reg[23] - (.C(clk), - .CE(ce), - .D(\y[23]_i_1_n_0 ), - .Q(y[23]), - .R(1'b0)); - FDRE \y_reg[24] - (.C(clk), - .CE(ce), - .D(\y[24]_i_1_n_0 ), - .Q(y[24]), - .R(1'b0)); - FDRE \y_reg[25] - (.C(clk), - .CE(ce), - .D(\y[25]_i_1_n_0 ), - .Q(y[25]), - .R(1'b0)); - FDRE \y_reg[26] - (.C(clk), - .CE(ce), - .D(\y[26]_i_1_n_0 ), - .Q(y[26]), - .R(1'b0)); - FDRE \y_reg[27] - (.C(clk), - .CE(ce), - .D(\y[27]_i_1_n_0 ), - .Q(y[27]), - .R(1'b0)); - FDRE \y_reg[28] - (.C(clk), - .CE(ce), - .D(\y[28]_i_1_n_0 ), - .Q(y[28]), - .R(1'b0)); - FDRE \y_reg[29] - (.C(clk), - .CE(ce), - .D(\y[29]_i_1_n_0 ), - .Q(y[29]), - .R(1'b0)); - FDRE \y_reg[2] - (.C(clk), - .CE(ce), - .D(\y[2]_i_1_n_0 ), - .Q(y[2]), - .R(1'b0)); - FDRE \y_reg[30] - (.C(clk), - .CE(ce), - .D(\y[30]_i_1_n_0 ), - .Q(y[30]), - .R(1'b0)); - FDRE \y_reg[31] - (.C(clk), - .CE(ce), - .D(\y[31]_i_1_n_0 ), - .Q(y[31]), - .R(1'b0)); - FDRE \y_reg[32] - (.C(clk), - .CE(ce), - .D(\y[32]_i_1_n_0 ), - .Q(y[32]), - .R(1'b0)); - FDRE \y_reg[33] - (.C(clk), - .CE(ce), - .D(\y[33]_i_1_n_0 ), - .Q(y[33]), - .R(1'b0)); - FDRE \y_reg[34] - (.C(clk), - .CE(ce), - .D(\y[34]_i_1_n_0 ), - .Q(y[34]), - .R(1'b0)); - FDRE \y_reg[35] - (.C(clk), - .CE(ce), - .D(\y[35]_i_1_n_0 ), - .Q(y[35]), - .R(1'b0)); - FDRE \y_reg[36] - (.C(clk), - .CE(ce), - .D(\y[36]_i_1_n_0 ), - .Q(y[36]), - .R(1'b0)); - FDRE \y_reg[37] - (.C(clk), - .CE(ce), - .D(\y[37]_i_1_n_0 ), - .Q(y[37]), - .R(1'b0)); - FDRE \y_reg[38] - (.C(clk), - .CE(ce), - .D(y0), - .Q(y[38]), - .R(1'b0)); - FDRE \y_reg[3] - (.C(clk), - .CE(ce), - .D(\y[3]_i_1_n_0 ), - .Q(y[3]), - .R(1'b0)); - FDRE \y_reg[4] - (.C(clk), - .CE(ce), - .D(\y[4]_i_1_n_0 ), - .Q(y[4]), - .R(1'b0)); - FDRE \y_reg[5] - (.C(clk), - .CE(ce), - .D(\y[5]_i_1_n_0 ), - .Q(y[5]), - .R(1'b0)); - FDRE \y_reg[6] - (.C(clk), - .CE(ce), - .D(\y[6]_i_1_n_0 ), - .Q(y[6]), - .R(1'b0)); - FDRE \y_reg[7] - (.C(clk), - .CE(ce), - .D(\y[7]_i_1_n_0 ), - .Q(y[7]), - .R(1'b0)); - FDRE \y_reg[8] - (.C(clk), - .CE(ce), - .D(\y[8]_i_1_n_0 ), - .Q(y[8]), - .R(1'b0)); - FDRE \y_reg[9] - (.C(clk), - .CE(ce), - .D(\y[9]_i_1_n_0 ), - .Q(y[9]), - .R(1'b0)); -endmodule -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - parameter GRES_WIDTH = 10000; - parameter GRES_START = 10000; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - wire GRESTORE; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - reg GRESTORE_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (strong1, weak0) GSR = GSR_int; - assign (strong1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - assign (strong1, weak0) GRESTORE = GRESTORE_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - - initial begin - GRESTORE_int = 1'b0; - #(GRES_START); - GRESTORE_int = 1'b1; - #(GRES_WIDTH); - GRESTORE_int = 1'b0; - end - -endmodule -`endif diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.vhdl deleted file mode 100644 index 7f34503e..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.vhdl +++ /dev/null @@ -1,4309 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:50 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode funcsim --- v:/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_sim_netlist.vhdl --- Design : audio_DC_blocker_0_0 --- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or --- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_DC_blocker_0_0_DC_blocker is - port ( - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ); - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - sample_rate : in STD_LOGIC; - ce : in STD_LOGIC; - clk : in STD_LOGIC; - mute : in STD_LOGIC - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of audio_DC_blocker_0_0_DC_blocker : entity is "DC_blocker"; -end audio_DC_blocker_0_0_DC_blocker; - -architecture STRUCTURE of audio_DC_blocker_0_0_DC_blocker is - signal p_0_in0 : STD_LOGIC; - signal x0 : STD_LOGIC_VECTOR ( 39 downto 12 ); - signal x00 : STD_LOGIC_VECTOR ( 12 to 12 ); - signal \x0_carry__0_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__0_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__0_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__0_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__0_n_0\ : STD_LOGIC; - signal \x0_carry__0_n_1\ : STD_LOGIC; - signal \x0_carry__0_n_2\ : STD_LOGIC; - signal \x0_carry__0_n_3\ : STD_LOGIC; - signal \x0_carry__1_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__1_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__1_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__1_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__1_n_0\ : STD_LOGIC; - signal \x0_carry__1_n_1\ : STD_LOGIC; - signal \x0_carry__1_n_2\ : STD_LOGIC; - signal \x0_carry__1_n_3\ : STD_LOGIC; - signal \x0_carry__2_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__2_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__2_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__2_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__2_n_0\ : STD_LOGIC; - signal \x0_carry__2_n_1\ : STD_LOGIC; - signal \x0_carry__2_n_2\ : STD_LOGIC; - signal \x0_carry__2_n_3\ : STD_LOGIC; - signal \x0_carry__3_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__3_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__3_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__3_i_5_n_0\ : STD_LOGIC; - signal \x0_carry__3_n_0\ : STD_LOGIC; - signal \x0_carry__3_n_1\ : STD_LOGIC; - signal \x0_carry__3_n_2\ : STD_LOGIC; - signal \x0_carry__3_n_3\ : STD_LOGIC; - signal \x0_carry__4_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__4_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__4_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__4_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__4_n_0\ : STD_LOGIC; - signal \x0_carry__4_n_1\ : STD_LOGIC; - signal \x0_carry__4_n_2\ : STD_LOGIC; - signal \x0_carry__4_n_3\ : STD_LOGIC; - signal \x0_carry__5_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__5_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__5_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__5_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__5_n_0\ : STD_LOGIC; - signal \x0_carry__5_n_1\ : STD_LOGIC; - signal \x0_carry__5_n_2\ : STD_LOGIC; - signal \x0_carry__5_n_3\ : STD_LOGIC; - signal x0_carry_i_1_n_0 : STD_LOGIC; - signal x0_carry_i_2_n_0 : STD_LOGIC; - signal x0_carry_i_3_n_0 : STD_LOGIC; - signal x0_carry_n_0 : STD_LOGIC; - signal x0_carry_n_1 : STD_LOGIC; - signal x0_carry_n_2 : STD_LOGIC; - signal x0_carry_n_3 : STD_LOGIC; - signal x1 : STD_LOGIC_VECTOR ( 39 downto 12 ); - signal y : STD_LOGIC_VECTOR ( 38 downto 0 ); - signal y0 : STD_LOGIC_VECTOR ( 39 to 39 ); - signal \y0__0\ : STD_LOGIC_VECTOR ( 38 downto 0 ); - signal \y0__107_carry__0_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_n_1\ : STD_LOGIC; - signal \y0__107_carry__0_n_2\ : STD_LOGIC; - signal \y0__107_carry__0_n_3\ : STD_LOGIC; - signal \y0__107_carry__1_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_n_1\ : STD_LOGIC; - signal \y0__107_carry__1_n_2\ : STD_LOGIC; - signal \y0__107_carry__1_n_3\ : STD_LOGIC; - signal \y0__107_carry__2_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_14_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_15_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_16_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_n_1\ : STD_LOGIC; - signal \y0__107_carry__2_n_2\ : STD_LOGIC; - signal \y0__107_carry__2_n_3\ : STD_LOGIC; - signal \y0__107_carry__3_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_14_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_15_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_16_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_17_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_18_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_19_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_n_1\ : STD_LOGIC; - signal \y0__107_carry__3_n_2\ : STD_LOGIC; - signal \y0__107_carry__3_n_3\ : STD_LOGIC; - signal \y0__107_carry__4_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_14_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_15_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_16_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_17_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_18_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_19_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_20_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_n_1\ : STD_LOGIC; - signal \y0__107_carry__4_n_2\ : STD_LOGIC; - signal \y0__107_carry__4_n_3\ : STD_LOGIC; - signal \y0__107_carry__5_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_14_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_15_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_16_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_17_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_18_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_19_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_n_1\ : STD_LOGIC; - signal \y0__107_carry__5_n_2\ : STD_LOGIC; - signal \y0__107_carry__5_n_3\ : STD_LOGIC; - signal \y0__107_carry__6_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_n_1\ : STD_LOGIC; - signal \y0__107_carry__6_n_2\ : STD_LOGIC; - signal \y0__107_carry__6_n_3\ : STD_LOGIC; - signal \y0__107_carry__7_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_n_1\ : STD_LOGIC; - signal \y0__107_carry__7_n_2\ : STD_LOGIC; - signal \y0__107_carry__7_n_3\ : STD_LOGIC; - signal \y0__107_carry__8_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__8_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__8_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__8_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__8_n_1\ : STD_LOGIC; - signal \y0__107_carry__8_n_2\ : STD_LOGIC; - signal \y0__107_carry__8_n_3\ : STD_LOGIC; - signal \y0__107_carry_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry_n_0\ : STD_LOGIC; - signal \y0__107_carry_n_1\ : STD_LOGIC; - signal \y0__107_carry_n_2\ : STD_LOGIC; - signal \y0__107_carry_n_3\ : STD_LOGIC; - signal \y0__1_carry__0_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_n_1\ : STD_LOGIC; - signal \y0__1_carry__0_n_2\ : STD_LOGIC; - signal \y0__1_carry__0_n_3\ : STD_LOGIC; - signal \y0__1_carry__0_n_4\ : STD_LOGIC; - signal \y0__1_carry__0_n_5\ : STD_LOGIC; - signal \y0__1_carry__0_n_6\ : STD_LOGIC; - signal \y0__1_carry__0_n_7\ : STD_LOGIC; - signal \y0__1_carry__1_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_n_1\ : STD_LOGIC; - signal \y0__1_carry__1_n_2\ : STD_LOGIC; - signal \y0__1_carry__1_n_3\ : STD_LOGIC; - signal \y0__1_carry__1_n_4\ : STD_LOGIC; - signal \y0__1_carry__1_n_5\ : STD_LOGIC; - signal \y0__1_carry__1_n_6\ : STD_LOGIC; - signal \y0__1_carry__1_n_7\ : STD_LOGIC; - signal \y0__1_carry__2_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_n_1\ : STD_LOGIC; - signal \y0__1_carry__2_n_2\ : STD_LOGIC; - signal \y0__1_carry__2_n_3\ : STD_LOGIC; - signal \y0__1_carry__2_n_4\ : STD_LOGIC; - signal \y0__1_carry__2_n_5\ : STD_LOGIC; - signal \y0__1_carry__2_n_6\ : STD_LOGIC; - signal \y0__1_carry__2_n_7\ : STD_LOGIC; - signal \y0__1_carry__3_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_n_1\ : STD_LOGIC; - signal \y0__1_carry__3_n_2\ : STD_LOGIC; - signal \y0__1_carry__3_n_3\ : STD_LOGIC; - signal \y0__1_carry__3_n_4\ : STD_LOGIC; - signal \y0__1_carry__3_n_5\ : STD_LOGIC; - signal \y0__1_carry__3_n_6\ : STD_LOGIC; - signal \y0__1_carry__3_n_7\ : STD_LOGIC; - signal \y0__1_carry__4_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_n_1\ : STD_LOGIC; - signal \y0__1_carry__4_n_2\ : STD_LOGIC; - signal \y0__1_carry__4_n_3\ : STD_LOGIC; - signal \y0__1_carry__4_n_4\ : STD_LOGIC; - signal \y0__1_carry__4_n_5\ : STD_LOGIC; - signal \y0__1_carry__4_n_6\ : STD_LOGIC; - signal \y0__1_carry__4_n_7\ : STD_LOGIC; - signal \y0__1_carry__5_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_6_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_7_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_8_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_n_1\ : STD_LOGIC; - signal \y0__1_carry__5_n_2\ : STD_LOGIC; - signal \y0__1_carry__5_n_3\ : STD_LOGIC; - signal \y0__1_carry__5_n_4\ : STD_LOGIC; - signal \y0__1_carry__5_n_5\ : STD_LOGIC; - signal \y0__1_carry__5_n_6\ : STD_LOGIC; - signal \y0__1_carry__5_n_7\ : STD_LOGIC; - signal \y0__1_carry__6_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_6_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_7_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_8_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_n_1\ : STD_LOGIC; - signal \y0__1_carry__6_n_2\ : STD_LOGIC; - signal \y0__1_carry__6_n_3\ : STD_LOGIC; - signal \y0__1_carry__6_n_4\ : STD_LOGIC; - signal \y0__1_carry__6_n_5\ : STD_LOGIC; - signal \y0__1_carry__6_n_6\ : STD_LOGIC; - signal \y0__1_carry__6_n_7\ : STD_LOGIC; - signal \y0__1_carry__7_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_6_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_7_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_8_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_n_1\ : STD_LOGIC; - signal \y0__1_carry__7_n_2\ : STD_LOGIC; - signal \y0__1_carry__7_n_3\ : STD_LOGIC; - signal \y0__1_carry__7_n_4\ : STD_LOGIC; - signal \y0__1_carry__7_n_5\ : STD_LOGIC; - signal \y0__1_carry__7_n_6\ : STD_LOGIC; - signal \y0__1_carry__7_n_7\ : STD_LOGIC; - signal \y0__1_carry__8_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_n_2\ : STD_LOGIC; - signal \y0__1_carry__8_n_3\ : STD_LOGIC; - signal \y0__1_carry__8_n_5\ : STD_LOGIC; - signal \y0__1_carry__8_n_6\ : STD_LOGIC; - signal \y0__1_carry__8_n_7\ : STD_LOGIC; - signal \y0__1_carry_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry_n_0\ : STD_LOGIC; - signal \y0__1_carry_n_1\ : STD_LOGIC; - signal \y0__1_carry_n_2\ : STD_LOGIC; - signal \y0__1_carry_n_3\ : STD_LOGIC; - signal \y0__1_carry_n_4\ : STD_LOGIC; - signal \y0__1_carry_n_5\ : STD_LOGIC; - signal \y0__1_carry_n_6\ : STD_LOGIC; - signal \y0__1_carry_n_7\ : STD_LOGIC; - signal \y[0]_i_1_n_0\ : STD_LOGIC; - signal \y[10]_i_1_n_0\ : STD_LOGIC; - signal \y[11]_i_1_n_0\ : STD_LOGIC; - signal \y[12]_i_1_n_0\ : STD_LOGIC; - signal \y[13]_i_1_n_0\ : STD_LOGIC; - signal \y[14]_i_1_n_0\ : STD_LOGIC; - signal \y[15]_i_1_n_0\ : STD_LOGIC; - signal \y[16]_i_1_n_0\ : STD_LOGIC; - signal \y[17]_i_1_n_0\ : STD_LOGIC; - signal \y[18]_i_1_n_0\ : STD_LOGIC; - signal \y[19]_i_1_n_0\ : STD_LOGIC; - signal \y[1]_i_1_n_0\ : STD_LOGIC; - signal \y[20]_i_1_n_0\ : STD_LOGIC; - signal \y[21]_i_1_n_0\ : STD_LOGIC; - signal \y[22]_i_1_n_0\ : STD_LOGIC; - signal \y[23]_i_1_n_0\ : STD_LOGIC; - signal \y[24]_i_1_n_0\ : STD_LOGIC; - signal \y[25]_i_1_n_0\ : STD_LOGIC; - signal \y[26]_i_1_n_0\ : STD_LOGIC; - signal \y[27]_i_1_n_0\ : STD_LOGIC; - signal \y[28]_i_1_n_0\ : STD_LOGIC; - signal \y[29]_i_1_n_0\ : STD_LOGIC; - signal \y[2]_i_1_n_0\ : STD_LOGIC; - signal \y[30]_i_1_n_0\ : STD_LOGIC; - signal \y[31]_i_1_n_0\ : STD_LOGIC; - signal \y[32]_i_1_n_0\ : STD_LOGIC; - signal \y[33]_i_1_n_0\ : STD_LOGIC; - signal \y[34]_i_1_n_0\ : STD_LOGIC; - signal \y[35]_i_1_n_0\ : STD_LOGIC; - signal \y[36]_i_1_n_0\ : STD_LOGIC; - signal \y[37]_i_1_n_0\ : STD_LOGIC; - signal \y[3]_i_1_n_0\ : STD_LOGIC; - signal \y[4]_i_1_n_0\ : STD_LOGIC; - signal \y[5]_i_1_n_0\ : STD_LOGIC; - signal \y[6]_i_1_n_0\ : STD_LOGIC; - signal \y[7]_i_1_n_0\ : STD_LOGIC; - signal \y[8]_i_1_n_0\ : STD_LOGIC; - signal \y[9]_i_1_n_0\ : STD_LOGIC; - signal NLW_x0_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \NLW_x0_carry__6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_x0_carry__6_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_y0__107_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_y0__1_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_y0__1_carry__8_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \dout[10]_INST_0\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \dout[11]_INST_0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \dout[12]_INST_0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \dout[13]_INST_0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \dout[14]_INST_0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \dout[15]_INST_0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \dout[1]_INST_0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \dout[2]_INST_0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \dout[3]_INST_0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \dout[4]_INST_0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \dout[5]_INST_0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \dout[6]_INST_0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \dout[7]_INST_0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \dout[8]_INST_0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \dout[9]_INST_0\ : label is "soft_lutpair30"; - attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of \y0__107_carry\ : label is 35; - attribute ADDER_THRESHOLD of \y0__107_carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \y0__107_carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \y0__107_carry__2\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__2_i_15\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \y0__107_carry__2_i_16\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \y0__107_carry__2_i_9\ : label is "soft_lutpair26"; - attribute ADDER_THRESHOLD of \y0__107_carry__3\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__3_i_11\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \y0__107_carry__3_i_13\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \y0__107_carry__3_i_9\ : label is "soft_lutpair24"; - attribute ADDER_THRESHOLD of \y0__107_carry__4\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__4_i_11\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \y0__107_carry__4_i_13\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \y0__107_carry__4_i_15\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \y0__107_carry__4_i_9\ : label is "soft_lutpair22"; - attribute ADDER_THRESHOLD of \y0__107_carry__5\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__5_i_12\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \y0__107_carry__5_i_14\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \y0__107_carry__5_i_9\ : label is "soft_lutpair21"; - attribute ADDER_THRESHOLD of \y0__107_carry__6\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__6_i_10\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \y0__107_carry__6_i_11\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \y0__107_carry__6_i_12\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \y0__107_carry__6_i_13\ : label is "soft_lutpair26"; - attribute ADDER_THRESHOLD of \y0__107_carry__7\ : label is 35; - attribute ADDER_THRESHOLD of \y0__107_carry__8\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__4\ : label is 35; - attribute HLUTNM : string; - attribute HLUTNM of \y0__1_carry__4_i_2\ : label is "lutpair0"; - attribute ADDER_THRESHOLD of \y0__1_carry__5\ : label is 35; - attribute HLUTNM of \y0__1_carry__5_i_1\ : label is "lutpair1"; - attribute HLUTNM of \y0__1_carry__5_i_4\ : label is "lutpair0"; - attribute HLUTNM of \y0__1_carry__5_i_5\ : label is "lutpair2"; - attribute HLUTNM of \y0__1_carry__5_i_6\ : label is "lutpair1"; - attribute ADDER_THRESHOLD of \y0__1_carry__6\ : label is 35; - attribute HLUTNM of \y0__1_carry__6_i_1\ : label is "lutpair5"; - attribute HLUTNM of \y0__1_carry__6_i_2\ : label is "lutpair4"; - attribute HLUTNM of \y0__1_carry__6_i_3\ : label is "lutpair3"; - attribute HLUTNM of \y0__1_carry__6_i_4\ : label is "lutpair2"; - attribute HLUTNM of \y0__1_carry__6_i_5\ : label is "lutpair6"; - attribute HLUTNM of \y0__1_carry__6_i_6\ : label is "lutpair5"; - attribute HLUTNM of \y0__1_carry__6_i_7\ : label is "lutpair4"; - attribute HLUTNM of \y0__1_carry__6_i_8\ : label is "lutpair3"; - attribute ADDER_THRESHOLD of \y0__1_carry__7\ : label is 35; - attribute HLUTNM of \y0__1_carry__7_i_1\ : label is "lutpair9"; - attribute HLUTNM of \y0__1_carry__7_i_2\ : label is "lutpair8"; - attribute HLUTNM of \y0__1_carry__7_i_3\ : label is "lutpair7"; - attribute HLUTNM of \y0__1_carry__7_i_4\ : label is "lutpair6"; - attribute HLUTNM of \y0__1_carry__7_i_5\ : label is "lutpair10"; - attribute HLUTNM of \y0__1_carry__7_i_6\ : label is "lutpair9"; - attribute HLUTNM of \y0__1_carry__7_i_7\ : label is "lutpair8"; - attribute HLUTNM of \y0__1_carry__7_i_8\ : label is "lutpair7"; - attribute ADDER_THRESHOLD of \y0__1_carry__8\ : label is 35; - attribute HLUTNM of \y0__1_carry__8_i_2\ : label is "lutpair10"; - attribute SOFT_HLUTNM of \y[0]_i_1\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \y[10]_i_1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \y[11]_i_1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \y[12]_i_1\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \y[13]_i_1\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \y[14]_i_1\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \y[15]_i_1\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \y[16]_i_1\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \y[17]_i_1\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \y[18]_i_1\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \y[19]_i_1\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \y[1]_i_1\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \y[20]_i_1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \y[21]_i_1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \y[22]_i_1\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \y[23]_i_1\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \y[24]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \y[25]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \y[26]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \y[27]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \y[28]_i_1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \y[29]_i_1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \y[2]_i_1\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \y[30]_i_1\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \y[31]_i_1\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \y[32]_i_1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \y[33]_i_1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \y[34]_i_1\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \y[35]_i_1\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \y[36]_i_1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \y[37]_i_1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \y[3]_i_1\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \y[4]_i_1\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \y[5]_i_1\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \y[6]_i_1\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \y[7]_i_1\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \y[8]_i_1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \y[9]_i_1\ : label is "soft_lutpair15"; -begin -\dout[0]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(23), - I1 => mute, - O => dout(0) - ); -\dout[10]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(33), - I1 => mute, - O => dout(10) - ); -\dout[11]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(34), - I1 => mute, - O => dout(11) - ); -\dout[12]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(35), - I1 => mute, - O => dout(12) - ); -\dout[13]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(36), - I1 => mute, - O => dout(13) - ); -\dout[14]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(37), - I1 => mute, - O => dout(14) - ); -\dout[15]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(38), - I1 => mute, - O => dout(15) - ); -\dout[1]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(24), - I1 => mute, - O => dout(1) - ); -\dout[2]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(25), - I1 => mute, - O => dout(2) - ); -\dout[3]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(26), - I1 => mute, - O => dout(3) - ); -\dout[4]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(27), - I1 => mute, - O => dout(4) - ); -\dout[5]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(28), - I1 => mute, - O => dout(5) - ); -\dout[6]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(29), - I1 => mute, - O => dout(6) - ); -\dout[7]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(30), - I1 => mute, - O => dout(7) - ); -\dout[8]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(31), - I1 => mute, - O => dout(8) - ); -\dout[9]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(32), - I1 => mute, - O => dout(9) - ); -x0_carry: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => x0_carry_n_0, - CO(2) => x0_carry_n_1, - CO(1) => x0_carry_n_2, - CO(0) => x0_carry_n_3, - CYINIT => '0', - DI(3 downto 2) => B"00", - DI(1) => x0_carry_i_1_n_0, - DI(0) => '0', - O(3 downto 1) => x0(14 downto 12), - O(0) => NLW_x0_carry_O_UNCONNECTED(0), - S(3) => x0_carry_i_2_n_0, - S(2) => x0_carry_i_3_n_0, - S(1) => x00(12), - S(0) => '0' - ); -\x0_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => x0_carry_n_0, - CO(3) => \x0_carry__0_n_0\, - CO(2) => \x0_carry__0_n_1\, - CO(1) => \x0_carry__0_n_2\, - CO(0) => \x0_carry__0_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 0) => x0(18 downto 15), - S(3) => \x0_carry__0_i_1_n_0\, - S(2) => \x0_carry__0_i_2_n_0\, - S(1) => \x0_carry__0_i_3_n_0\, - S(0) => \x0_carry__0_i_4_n_0\ - ); -\x0_carry__0_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(5), - I1 => sample_rate, - I2 => din(6), - O => \x0_carry__0_i_1_n_0\ - ); -\x0_carry__0_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(4), - I1 => sample_rate, - I2 => din(5), - O => \x0_carry__0_i_2_n_0\ - ); -\x0_carry__0_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(3), - I1 => sample_rate, - I2 => din(4), - O => \x0_carry__0_i_3_n_0\ - ); -\x0_carry__0_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(2), - I1 => sample_rate, - I2 => din(3), - O => \x0_carry__0_i_4_n_0\ - ); -\x0_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__0_n_0\, - CO(3) => \x0_carry__1_n_0\, - CO(2) => \x0_carry__1_n_1\, - CO(1) => \x0_carry__1_n_2\, - CO(0) => \x0_carry__1_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 0) => x0(22 downto 19), - S(3) => \x0_carry__1_i_1_n_0\, - S(2) => \x0_carry__1_i_2_n_0\, - S(1) => \x0_carry__1_i_3_n_0\, - S(0) => \x0_carry__1_i_4_n_0\ - ); -\x0_carry__1_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(9), - I1 => sample_rate, - I2 => din(10), - O => \x0_carry__1_i_1_n_0\ - ); -\x0_carry__1_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(8), - I1 => sample_rate, - I2 => din(9), - O => \x0_carry__1_i_2_n_0\ - ); -\x0_carry__1_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(7), - I1 => sample_rate, - I2 => din(8), - O => \x0_carry__1_i_3_n_0\ - ); -\x0_carry__1_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(6), - I1 => sample_rate, - I2 => din(7), - O => \x0_carry__1_i_4_n_0\ - ); -\x0_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__1_n_0\, - CO(3) => \x0_carry__2_n_0\, - CO(2) => \x0_carry__2_n_1\, - CO(1) => \x0_carry__2_n_2\, - CO(0) => \x0_carry__2_n_3\, - CYINIT => '0', - DI(3 downto 0) => din(3 downto 0), - O(3 downto 0) => x0(26 downto 23), - S(3) => \x0_carry__2_i_1_n_0\, - S(2) => \x0_carry__2_i_2_n_0\, - S(1) => \x0_carry__2_i_3_n_0\, - S(0) => \x0_carry__2_i_4_n_0\ - ); -\x0_carry__2_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(3), - I1 => din(13), - I2 => sample_rate, - I3 => din(14), - O => \x0_carry__2_i_1_n_0\ - ); -\x0_carry__2_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(2), - I1 => din(12), - I2 => sample_rate, - I3 => din(13), - O => \x0_carry__2_i_2_n_0\ - ); -\x0_carry__2_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(1), - I1 => din(11), - I2 => sample_rate, - I3 => din(12), - O => \x0_carry__2_i_3_n_0\ - ); -\x0_carry__2_i_4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(0), - I1 => din(10), - I2 => sample_rate, - I3 => din(11), - O => \x0_carry__2_i_4_n_0\ - ); -\x0_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__2_n_0\, - CO(3) => \x0_carry__3_n_0\, - CO(2) => \x0_carry__3_n_1\, - CO(1) => \x0_carry__3_n_2\, - CO(0) => \x0_carry__3_n_3\, - CYINIT => '0', - DI(3) => din(6), - DI(2) => din(15), - DI(1) => p_0_in0, - DI(0) => din(4), - O(3 downto 0) => x0(30 downto 27), - S(3) => \x0_carry__3_i_2_n_0\, - S(2) => \x0_carry__3_i_3_n_0\, - S(1) => \x0_carry__3_i_4_n_0\, - S(0) => \x0_carry__3_i_5_n_0\ - ); -\x0_carry__3_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => din(15), - O => p_0_in0 - ); -\x0_carry__3_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(6), - I1 => din(7), - O => \x0_carry__3_i_2_n_0\ - ); -\x0_carry__3_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(15), - I1 => din(6), - O => \x0_carry__3_i_3_n_0\ - ); -\x0_carry__3_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(15), - I1 => din(5), - O => \x0_carry__3_i_4_n_0\ - ); -\x0_carry__3_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(4), - I1 => din(14), - I2 => sample_rate, - I3 => din(15), - O => \x0_carry__3_i_5_n_0\ - ); -\x0_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__3_n_0\, - CO(3) => \x0_carry__4_n_0\, - CO(2) => \x0_carry__4_n_1\, - CO(1) => \x0_carry__4_n_2\, - CO(0) => \x0_carry__4_n_3\, - CYINIT => '0', - DI(3 downto 0) => din(10 downto 7), - O(3 downto 0) => x0(34 downto 31), - S(3) => \x0_carry__4_i_1_n_0\, - S(2) => \x0_carry__4_i_2_n_0\, - S(1) => \x0_carry__4_i_3_n_0\, - S(0) => \x0_carry__4_i_4_n_0\ - ); -\x0_carry__4_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(10), - I1 => din(11), - O => \x0_carry__4_i_1_n_0\ - ); -\x0_carry__4_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(9), - I1 => din(10), - O => \x0_carry__4_i_2_n_0\ - ); -\x0_carry__4_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(8), - I1 => din(9), - O => \x0_carry__4_i_3_n_0\ - ); -\x0_carry__4_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(7), - I1 => din(8), - O => \x0_carry__4_i_4_n_0\ - ); -\x0_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__4_n_0\, - CO(3) => \x0_carry__5_n_0\, - CO(2) => \x0_carry__5_n_1\, - CO(1) => \x0_carry__5_n_2\, - CO(0) => \x0_carry__5_n_3\, - CYINIT => '0', - DI(3 downto 0) => din(14 downto 11), - O(3 downto 0) => x0(38 downto 35), - S(3) => \x0_carry__5_i_1_n_0\, - S(2) => \x0_carry__5_i_2_n_0\, - S(1) => \x0_carry__5_i_3_n_0\, - S(0) => \x0_carry__5_i_4_n_0\ - ); -\x0_carry__5_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(14), - I1 => din(15), - O => \x0_carry__5_i_1_n_0\ - ); -\x0_carry__5_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(13), - I1 => din(14), - O => \x0_carry__5_i_2_n_0\ - ); -\x0_carry__5_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(12), - I1 => din(13), - O => \x0_carry__5_i_3_n_0\ - ); -\x0_carry__5_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(11), - I1 => din(12), - O => \x0_carry__5_i_4_n_0\ - ); -\x0_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__5_n_0\, - CO(3 downto 0) => \NLW_x0_carry__6_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_x0_carry__6_O_UNCONNECTED\(3 downto 1), - O(0) => x0(39), - S(3 downto 0) => B"0001" - ); -x0_carry_i_1: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => din(0), - I1 => sample_rate, - O => x0_carry_i_1_n_0 - ); -x0_carry_i_2: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(1), - I1 => sample_rate, - I2 => din(2), - O => x0_carry_i_2_n_0 - ); -x0_carry_i_3: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(0), - I1 => sample_rate, - I2 => din(1), - O => x0_carry_i_3_n_0 - ); -x0_carry_i_4: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => sample_rate, - I1 => din(0), - O => x00(12) - ); -\x1_reg[12]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(12), - Q => x1(12), - R => '0' - ); -\x1_reg[13]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(13), - Q => x1(13), - R => '0' - ); -\x1_reg[14]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(14), - Q => x1(14), - R => '0' - ); -\x1_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(15), - Q => x1(15), - R => '0' - ); -\x1_reg[16]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(16), - Q => x1(16), - R => '0' - ); -\x1_reg[17]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(17), - Q => x1(17), - R => '0' - ); -\x1_reg[18]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(18), - Q => x1(18), - R => '0' - ); -\x1_reg[19]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(19), - Q => x1(19), - R => '0' - ); -\x1_reg[20]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(20), - Q => x1(20), - R => '0' - ); -\x1_reg[21]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(21), - Q => x1(21), - R => '0' - ); -\x1_reg[22]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(22), - Q => x1(22), - R => '0' - ); -\x1_reg[23]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(23), - Q => x1(23), - R => '0' - ); -\x1_reg[24]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(24), - Q => x1(24), - R => '0' - ); -\x1_reg[25]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(25), - Q => x1(25), - R => '0' - ); -\x1_reg[26]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(26), - Q => x1(26), - R => '0' - ); -\x1_reg[27]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(27), - Q => x1(27), - R => '0' - ); -\x1_reg[28]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(28), - Q => x1(28), - R => '0' - ); -\x1_reg[29]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(29), - Q => x1(29), - R => '0' - ); -\x1_reg[30]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(30), - Q => x1(30), - R => '0' - ); -\x1_reg[31]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(31), - Q => x1(31), - R => '0' - ); -\x1_reg[32]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(32), - Q => x1(32), - R => '0' - ); -\x1_reg[33]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(33), - Q => x1(33), - R => '0' - ); -\x1_reg[34]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(34), - Q => x1(34), - R => '0' - ); -\x1_reg[35]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(35), - Q => x1(35), - R => '0' - ); -\x1_reg[36]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(36), - Q => x1(36), - R => '0' - ); -\x1_reg[37]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(37), - Q => x1(37), - R => '0' - ); -\x1_reg[38]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(38), - Q => x1(38), - R => '0' - ); -\x1_reg[39]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(39), - Q => x1(39), - R => '0' - ); -\y0__107_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y0__107_carry_n_0\, - CO(2) => \y0__107_carry_n_1\, - CO(1) => \y0__107_carry_n_2\, - CO(0) => \y0__107_carry_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry_i_1_n_0\, - DI(2) => \y0__1_carry_n_7\, - DI(1) => \y0__107_carry_i_2_n_0\, - DI(0) => \y0__107_carry_i_3_n_0\, - O(3 downto 0) => \y0__0\(3 downto 0), - S(3) => \y0__107_carry_i_4_n_0\, - S(2) => \y0__107_carry_i_5_n_0\, - S(1) => \y0__107_carry_i_6_n_0\, - S(0) => \y0__107_carry_i_7_n_0\ - ); -\y0__107_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry_n_0\, - CO(3) => \y0__107_carry__0_n_0\, - CO(2) => \y0__107_carry__0_n_1\, - CO(1) => \y0__107_carry__0_n_2\, - CO(0) => \y0__107_carry__0_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__0_i_1_n_0\, - DI(2) => \y0__107_carry__0_i_2_n_0\, - DI(1) => \y0__107_carry__0_i_3_n_0\, - DI(0) => \y0__107_carry__0_i_4_n_0\, - O(3 downto 0) => \y0__0\(7 downto 4), - S(3) => \y0__107_carry__0_i_5_n_0\, - S(2) => \y0__107_carry__0_i_6_n_0\, - S(1) => \y0__107_carry__0_i_7_n_0\, - S(0) => \y0__107_carry__0_i_8_n_0\ - ); -\y0__107_carry__0_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__0_n_6\, - I1 => y(15), - I2 => sample_rate, - I3 => y(16), - O => \y0__107_carry__0_i_1_n_0\ - ); -\y0__107_carry__0_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__0_n_7\, - I1 => y(14), - I2 => sample_rate, - I3 => y(15), - O => \y0__107_carry__0_i_2_n_0\ - ); -\y0__107_carry__0_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry_n_4\, - I1 => y(13), - I2 => sample_rate, - I3 => y(14), - O => \y0__107_carry__0_i_3_n_0\ - ); -\y0__107_carry__0_i_4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry_n_5\, - I1 => y(12), - I2 => sample_rate, - I3 => y(13), - O => \y0__107_carry__0_i_4_n_0\ - ); -\y0__107_carry__0_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(15), - I1 => \y0__1_carry__0_n_6\, - I2 => y(16), - I3 => sample_rate, - I4 => y(17), - I5 => \y0__1_carry__0_n_5\, - O => \y0__107_carry__0_i_5_n_0\ - ); -\y0__107_carry__0_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(14), - I1 => \y0__1_carry__0_n_7\, - I2 => y(15), - I3 => sample_rate, - I4 => y(16), - I5 => \y0__1_carry__0_n_6\, - O => \y0__107_carry__0_i_6_n_0\ - ); -\y0__107_carry__0_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(13), - I1 => \y0__1_carry_n_4\, - I2 => y(14), - I3 => sample_rate, - I4 => y(15), - I5 => \y0__1_carry__0_n_7\, - O => \y0__107_carry__0_i_7_n_0\ - ); -\y0__107_carry__0_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(12), - I1 => \y0__1_carry_n_5\, - I2 => y(13), - I3 => sample_rate, - I4 => y(14), - I5 => \y0__1_carry_n_4\, - O => \y0__107_carry__0_i_8_n_0\ - ); -\y0__107_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__0_n_0\, - CO(3) => \y0__107_carry__1_n_0\, - CO(2) => \y0__107_carry__1_n_1\, - CO(1) => \y0__107_carry__1_n_2\, - CO(0) => \y0__107_carry__1_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__1_i_1_n_0\, - DI(2) => \y0__107_carry__1_i_2_n_0\, - DI(1) => \y0__107_carry__1_i_3_n_0\, - DI(0) => \y0__107_carry__1_i_4_n_0\, - O(3 downto 0) => \y0__0\(11 downto 8), - S(3) => \y0__107_carry__1_i_5_n_0\, - S(2) => \y0__107_carry__1_i_6_n_0\, - S(1) => \y0__107_carry__1_i_7_n_0\, - S(0) => \y0__107_carry__1_i_8_n_0\ - ); -\y0__107_carry__1_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__1_n_6\, - I1 => y(19), - I2 => sample_rate, - I3 => y(20), - O => \y0__107_carry__1_i_1_n_0\ - ); -\y0__107_carry__1_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__1_n_7\, - I1 => y(18), - I2 => sample_rate, - I3 => y(19), - O => \y0__107_carry__1_i_2_n_0\ - ); -\y0__107_carry__1_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__0_n_4\, - I1 => y(17), - I2 => sample_rate, - I3 => y(18), - O => \y0__107_carry__1_i_3_n_0\ - ); -\y0__107_carry__1_i_4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__0_n_5\, - I1 => y(16), - I2 => sample_rate, - I3 => y(17), - O => \y0__107_carry__1_i_4_n_0\ - ); -\y0__107_carry__1_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F0BB3C440F44C3BB" - ) - port map ( - I0 => y(19), - I1 => \y0__1_carry__1_n_6\, - I2 => y(21), - I3 => sample_rate, - I4 => y(20), - I5 => \y0__1_carry__1_n_5\, - O => \y0__107_carry__1_i_5_n_0\ - ); -\y0__107_carry__1_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(18), - I1 => \y0__1_carry__1_n_7\, - I2 => y(19), - I3 => sample_rate, - I4 => y(20), - I5 => \y0__1_carry__1_n_6\, - O => \y0__107_carry__1_i_6_n_0\ - ); -\y0__107_carry__1_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(17), - I1 => \y0__1_carry__0_n_4\, - I2 => y(18), - I3 => sample_rate, - I4 => y(19), - I5 => \y0__1_carry__1_n_7\, - O => \y0__107_carry__1_i_7_n_0\ - ); -\y0__107_carry__1_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(16), - I1 => \y0__1_carry__0_n_5\, - I2 => y(17), - I3 => sample_rate, - I4 => y(18), - I5 => \y0__1_carry__0_n_4\, - O => \y0__107_carry__1_i_8_n_0\ - ); -\y0__107_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__1_n_0\, - CO(3) => \y0__107_carry__2_n_0\, - CO(2) => \y0__107_carry__2_n_1\, - CO(1) => \y0__107_carry__2_n_2\, - CO(0) => \y0__107_carry__2_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__2_i_1_n_0\, - DI(2) => \y0__107_carry__2_i_2_n_0\, - DI(1) => \y0__107_carry__2_i_3_n_0\, - DI(0) => \y0__107_carry__2_i_4_n_0\, - O(3 downto 0) => \y0__0\(15 downto 12), - S(3) => \y0__107_carry__2_i_5_n_0\, - S(2) => \y0__107_carry__2_i_6_n_0\, - S(1) => \y0__107_carry__2_i_7_n_0\, - S(0) => \y0__107_carry__2_i_8_n_0\ - ); -\y0__107_carry__2_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000444DDD4D" - ) - port map ( - I0 => \y0__107_carry__2_i_9_n_0\, - I1 => \y0__1_carry__2_n_7\, - I2 => y(22), - I3 => sample_rate, - I4 => y(23), - I5 => \y0__107_carry__2_i_10_n_0\, - O => \y0__107_carry__2_i_1_n_0\ - ); -\y0__107_carry__2_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5A5A3CC3A5A53CC3" - ) - port map ( - I0 => din(2), - I1 => din(1), - I2 => \y0__1_carry__2_n_6\, - I3 => y(23), - I4 => sample_rate, - I5 => y(24), - O => \y0__107_carry__2_i_10_n_0\ - ); -\y0__107_carry__2_i_11\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(1), - I1 => din(0), - I2 => \y0__1_carry__2_n_7\, - I3 => y(22), - I4 => sample_rate, - I5 => y(23), - O => \y0__107_carry__2_i_11_n_0\ - ); -\y0__107_carry__2_i_12\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__2_n_6\, - I1 => din(1), - I2 => sample_rate, - I3 => din(2), - I4 => y(24), - I5 => y(23), - O => \y0__107_carry__2_i_12_n_0\ - ); -\y0__107_carry__2_i_13\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(3), - I1 => din(2), - I2 => \y0__1_carry__2_n_5\, - I3 => y(24), - I4 => sample_rate, - I5 => y(25), - O => \y0__107_carry__2_i_13_n_0\ - ); -\y0__107_carry__2_i_14\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B8FF88FC30BB00B8" - ) - port map ( - I0 => y(23), - I1 => sample_rate, - I2 => y(22), - I3 => \y0__1_carry__2_n_7\, - I4 => din(0), - I5 => din(1), - O => \y0__107_carry__2_i_14_n_0\ - ); -\y0__107_carry__2_i_15\: unisim.vcomponents.LUT5 - generic map( - INIT => X"00020A02" - ) - port map ( - I0 => \y0__1_carry__1_n_5\, - I1 => y(20), - I2 => y(21), - I3 => sample_rate, - I4 => y(22), - O => \y0__107_carry__2_i_15_n_0\ - ); -\y0__107_carry__2_i_16\: unisim.vcomponents.LUT4 - generic map( - INIT => X"E2FF" - ) - port map ( - I0 => y(20), - I1 => sample_rate, - I2 => y(21), - I3 => \y0__1_carry__1_n_5\, - O => \y0__107_carry__2_i_16_n_0\ - ); -\y0__107_carry__2_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0407000000000000" - ) - port map ( - I0 => y(22), - I1 => sample_rate, - I2 => y(21), - I3 => y(20), - I4 => \y0__1_carry__1_n_5\, - I5 => \y0__107_carry__2_i_11_n_0\, - O => \y0__107_carry__2_i_2_n_0\ - ); -\y0__107_carry__2_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"FBF8FFFF04070000" - ) - port map ( - I0 => y(22), - I1 => sample_rate, - I2 => y(21), - I3 => y(20), - I4 => \y0__1_carry__1_n_5\, - I5 => \y0__107_carry__2_i_11_n_0\, - O => \y0__107_carry__2_i_3_n_0\ - ); -\y0__107_carry__2_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"6A" - ) - port map ( - I0 => \y0__1_carry__1_n_4\, - I1 => din(0), - I2 => sample_rate, - O => \y0__107_carry__2_i_4_n_0\ - ); -\y0__107_carry__2_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__2_i_1_n_0\, - I1 => \y0__107_carry__2_i_12_n_0\, - I2 => \y0__107_carry__2_i_13_n_0\, - O => \y0__107_carry__2_i_5_n_0\ - ); -\y0__107_carry__2_i_6\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__2_i_2_n_0\, - I1 => \y0__107_carry__2_i_10_n_0\, - I2 => \y0__107_carry__2_i_14_n_0\, - O => \y0__107_carry__2_i_6_n_0\ - ); -\y0__107_carry__2_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"99996999" - ) - port map ( - I0 => \y0__107_carry__2_i_11_n_0\, - I1 => \y0__107_carry__2_i_15_n_0\, - I2 => sample_rate, - I3 => din(0), - I4 => \y0__1_carry__1_n_4\, - O => \y0__107_carry__2_i_7_n_0\ - ); -\y0__107_carry__2_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"69CC6933963396CC" - ) - port map ( - I0 => din(0), - I1 => \y0__1_carry__1_n_4\, - I2 => y(22), - I3 => sample_rate, - I4 => y(21), - I5 => \y0__107_carry__2_i_16_n_0\, - O => \y0__107_carry__2_i_8_n_0\ - ); -\y0__107_carry__2_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(1), - I1 => sample_rate, - I2 => din(0), - O => \y0__107_carry__2_i_9_n_0\ - ); -\y0__107_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__2_n_0\, - CO(3) => \y0__107_carry__3_n_0\, - CO(2) => \y0__107_carry__3_n_1\, - CO(1) => \y0__107_carry__3_n_2\, - CO(0) => \y0__107_carry__3_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__3_i_1_n_0\, - DI(2) => \y0__107_carry__3_i_2_n_0\, - DI(1) => \y0__107_carry__3_i_3_n_0\, - DI(0) => \y0__107_carry__3_i_4_n_0\, - O(3 downto 0) => \y0__0\(19 downto 16), - S(3) => \y0__107_carry__3_i_5_n_0\, - S(2) => \y0__107_carry__3_i_6_n_0\, - S(1) => \y0__107_carry__3_i_7_n_0\, - S(0) => \y0__107_carry__3_i_8_n_0\ - ); -\y0__107_carry__3_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(28), - I1 => sample_rate, - I2 => y(27), - I3 => \y0__1_carry__3_n_6\, - I4 => \y0__107_carry__3_i_9_n_0\, - I5 => \y0__107_carry__3_i_10_n_0\, - O => \y0__107_carry__3_i_1_n_0\ - ); -\y0__107_carry__3_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__3_n_7\, - I1 => din(4), - I2 => sample_rate, - I3 => din(5), - I4 => y(27), - I5 => y(26), - O => \y0__107_carry__3_i_10_n_0\ - ); -\y0__107_carry__3_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(5), - I1 => sample_rate, - I2 => din(4), - O => \y0__107_carry__3_i_11_n_0\ - ); -\y0__107_carry__3_i_12\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__2_n_4\, - I1 => din(3), - I2 => sample_rate, - I3 => din(4), - I4 => y(26), - I5 => y(25), - O => \y0__107_carry__3_i_12_n_0\ - ); -\y0__107_carry__3_i_13\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(3), - I1 => sample_rate, - I2 => din(2), - O => \y0__107_carry__3_i_13_n_0\ - ); -\y0__107_carry__3_i_14\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5A5A3CC3A5A53CC3" - ) - port map ( - I0 => din(4), - I1 => din(3), - I2 => \y0__1_carry__2_n_4\, - I3 => y(25), - I4 => sample_rate, - I5 => y(26), - O => \y0__107_carry__3_i_14_n_0\ - ); -\y0__107_carry__3_i_15\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__3_n_6\, - I1 => din(5), - I2 => sample_rate, - I3 => din(6), - I4 => y(28), - I5 => y(27), - O => \y0__107_carry__3_i_15_n_0\ - ); -\y0__107_carry__3_i_16\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(7), - I1 => din(6), - I2 => \y0__1_carry__3_n_5\, - I3 => y(28), - I4 => sample_rate, - I5 => y(29), - O => \y0__107_carry__3_i_16_n_0\ - ); -\y0__107_carry__3_i_17\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(6), - I1 => din(5), - I2 => \y0__1_carry__3_n_6\, - I3 => y(27), - I4 => sample_rate, - I5 => y(28), - O => \y0__107_carry__3_i_17_n_0\ - ); -\y0__107_carry__3_i_18\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(5), - I1 => din(4), - I2 => \y0__1_carry__3_n_7\, - I3 => y(26), - I4 => sample_rate, - I5 => y(27), - O => \y0__107_carry__3_i_18_n_0\ - ); -\y0__107_carry__3_i_19\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B8FF88FC30BB00B8" - ) - port map ( - I0 => y(25), - I1 => sample_rate, - I2 => y(24), - I3 => \y0__1_carry__2_n_5\, - I4 => din(2), - I5 => din(3), - O => \y0__107_carry__3_i_19_n_0\ - ); -\y0__107_carry__3_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(27), - I1 => sample_rate, - I2 => y(26), - I3 => \y0__1_carry__3_n_7\, - I4 => \y0__107_carry__3_i_11_n_0\, - I5 => \y0__107_carry__3_i_12_n_0\, - O => \y0__107_carry__3_i_2_n_0\ - ); -\y0__107_carry__3_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000444DDD4D" - ) - port map ( - I0 => \y0__107_carry__3_i_13_n_0\, - I1 => \y0__1_carry__2_n_5\, - I2 => y(24), - I3 => sample_rate, - I4 => y(25), - I5 => \y0__107_carry__3_i_14_n_0\, - O => \y0__107_carry__3_i_3_n_0\ - ); -\y0__107_carry__3_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(25), - I1 => sample_rate, - I2 => y(24), - I3 => \y0__1_carry__2_n_5\, - I4 => \y0__107_carry__3_i_13_n_0\, - I5 => \y0__107_carry__2_i_12_n_0\, - O => \y0__107_carry__3_i_4_n_0\ - ); -\y0__107_carry__3_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__3_i_1_n_0\, - I1 => \y0__107_carry__3_i_15_n_0\, - I2 => \y0__107_carry__3_i_16_n_0\, - O => \y0__107_carry__3_i_5_n_0\ - ); -\y0__107_carry__3_i_6\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__3_i_2_n_0\, - I1 => \y0__107_carry__3_i_10_n_0\, - I2 => \y0__107_carry__3_i_17_n_0\, - O => \y0__107_carry__3_i_6_n_0\ - ); -\y0__107_carry__3_i_7\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__3_i_3_n_0\, - I1 => \y0__107_carry__3_i_12_n_0\, - I2 => \y0__107_carry__3_i_18_n_0\, - O => \y0__107_carry__3_i_7_n_0\ - ); -\y0__107_carry__3_i_8\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__3_i_4_n_0\, - I1 => \y0__107_carry__3_i_14_n_0\, - I2 => \y0__107_carry__3_i_19_n_0\, - O => \y0__107_carry__3_i_8_n_0\ - ); -\y0__107_carry__3_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(6), - I1 => sample_rate, - I2 => din(5), - O => \y0__107_carry__3_i_9_n_0\ - ); -\y0__107_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__3_n_0\, - CO(3) => \y0__107_carry__4_n_0\, - CO(2) => \y0__107_carry__4_n_1\, - CO(1) => \y0__107_carry__4_n_2\, - CO(0) => \y0__107_carry__4_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__4_i_1_n_0\, - DI(2) => \y0__107_carry__4_i_2_n_0\, - DI(1) => \y0__107_carry__4_i_3_n_0\, - DI(0) => \y0__107_carry__4_i_4_n_0\, - O(3 downto 0) => \y0__0\(23 downto 20), - S(3) => \y0__107_carry__4_i_5_n_0\, - S(2) => \y0__107_carry__4_i_6_n_0\, - S(1) => \y0__107_carry__4_i_7_n_0\, - S(0) => \y0__107_carry__4_i_8_n_0\ - ); -\y0__107_carry__4_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(32), - I1 => sample_rate, - I2 => y(31), - I3 => \y0__1_carry__4_n_6\, - I4 => \y0__107_carry__4_i_9_n_0\, - I5 => \y0__107_carry__4_i_10_n_0\, - O => \y0__107_carry__4_i_1_n_0\ - ); -\y0__107_carry__4_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__4_n_7\, - I1 => din(8), - I2 => sample_rate, - I3 => din(9), - I4 => y(31), - I5 => y(30), - O => \y0__107_carry__4_i_10_n_0\ - ); -\y0__107_carry__4_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(9), - I1 => sample_rate, - I2 => din(8), - O => \y0__107_carry__4_i_11_n_0\ - ); -\y0__107_carry__4_i_12\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__3_n_4\, - I1 => din(7), - I2 => sample_rate, - I3 => din(8), - I4 => y(30), - I5 => y(29), - O => \y0__107_carry__4_i_12_n_0\ - ); -\y0__107_carry__4_i_13\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(8), - I1 => sample_rate, - I2 => din(7), - O => \y0__107_carry__4_i_13_n_0\ - ); -\y0__107_carry__4_i_14\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__3_n_5\, - I1 => din(6), - I2 => sample_rate, - I3 => din(7), - I4 => y(29), - I5 => y(28), - O => \y0__107_carry__4_i_14_n_0\ - ); -\y0__107_carry__4_i_15\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(7), - I1 => sample_rate, - I2 => din(6), - O => \y0__107_carry__4_i_15_n_0\ - ); -\y0__107_carry__4_i_16\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__4_n_6\, - I1 => din(9), - I2 => sample_rate, - I3 => din(10), - I4 => y(32), - I5 => y(31), - O => \y0__107_carry__4_i_16_n_0\ - ); -\y0__107_carry__4_i_17\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(11), - I1 => din(10), - I2 => \y0__1_carry__4_n_5\, - I3 => y(32), - I4 => sample_rate, - I5 => y(33), - O => \y0__107_carry__4_i_17_n_0\ - ); -\y0__107_carry__4_i_18\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(10), - I1 => din(9), - I2 => \y0__1_carry__4_n_6\, - I3 => y(31), - I4 => sample_rate, - I5 => y(32), - O => \y0__107_carry__4_i_18_n_0\ - ); -\y0__107_carry__4_i_19\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(9), - I1 => din(8), - I2 => \y0__1_carry__4_n_7\, - I3 => y(30), - I4 => sample_rate, - I5 => y(31), - O => \y0__107_carry__4_i_19_n_0\ - ); -\y0__107_carry__4_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(31), - I1 => sample_rate, - I2 => y(30), - I3 => \y0__1_carry__4_n_7\, - I4 => \y0__107_carry__4_i_11_n_0\, - I5 => \y0__107_carry__4_i_12_n_0\, - O => \y0__107_carry__4_i_2_n_0\ - ); -\y0__107_carry__4_i_20\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(8), - I1 => din(7), - I2 => \y0__1_carry__3_n_4\, - I3 => y(29), - I4 => sample_rate, - I5 => y(30), - O => \y0__107_carry__4_i_20_n_0\ - ); -\y0__107_carry__4_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(30), - I1 => sample_rate, - I2 => y(29), - I3 => \y0__1_carry__3_n_4\, - I4 => \y0__107_carry__4_i_13_n_0\, - I5 => \y0__107_carry__4_i_14_n_0\, - O => \y0__107_carry__4_i_3_n_0\ - ); -\y0__107_carry__4_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(29), - I1 => sample_rate, - I2 => y(28), - I3 => \y0__1_carry__3_n_5\, - I4 => \y0__107_carry__4_i_15_n_0\, - I5 => \y0__107_carry__3_i_15_n_0\, - O => \y0__107_carry__4_i_4_n_0\ - ); -\y0__107_carry__4_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__4_i_1_n_0\, - I1 => \y0__107_carry__4_i_16_n_0\, - I2 => \y0__107_carry__4_i_17_n_0\, - O => \y0__107_carry__4_i_5_n_0\ - ); -\y0__107_carry__4_i_6\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__4_i_2_n_0\, - I1 => \y0__107_carry__4_i_10_n_0\, - I2 => \y0__107_carry__4_i_18_n_0\, - O => \y0__107_carry__4_i_6_n_0\ - ); -\y0__107_carry__4_i_7\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__4_i_3_n_0\, - I1 => \y0__107_carry__4_i_12_n_0\, - I2 => \y0__107_carry__4_i_19_n_0\, - O => \y0__107_carry__4_i_7_n_0\ - ); -\y0__107_carry__4_i_8\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__4_i_4_n_0\, - I1 => \y0__107_carry__4_i_14_n_0\, - I2 => \y0__107_carry__4_i_20_n_0\, - O => \y0__107_carry__4_i_8_n_0\ - ); -\y0__107_carry__4_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(10), - I1 => sample_rate, - I2 => din(9), - O => \y0__107_carry__4_i_9_n_0\ - ); -\y0__107_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__4_n_0\, - CO(3) => \y0__107_carry__5_n_0\, - CO(2) => \y0__107_carry__5_n_1\, - CO(1) => \y0__107_carry__5_n_2\, - CO(0) => \y0__107_carry__5_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__5_i_1_n_0\, - DI(2) => \y0__107_carry__5_i_2_n_0\, - DI(1) => \y0__107_carry__5_i_3_n_0\, - DI(0) => \y0__107_carry__5_i_4_n_0\, - O(3 downto 0) => \y0__0\(27 downto 24), - S(3) => \y0__107_carry__5_i_5_n_0\, - S(2) => \y0__107_carry__5_i_6_n_0\, - S(1) => \y0__107_carry__5_i_7_n_0\, - S(0) => \y0__107_carry__5_i_8_n_0\ - ); -\y0__107_carry__5_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000444DDD4D" - ) - port map ( - I0 => \y0__107_carry__5_i_9_n_0\, - I1 => \y0__1_carry__5_n_7\, - I2 => y(34), - I3 => sample_rate, - I4 => y(35), - I5 => \y0__107_carry__5_i_10_n_0\, - O => \y0__107_carry__5_i_1_n_0\ - ); -\y0__107_carry__5_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5A5A3CC3A5A53CC3" - ) - port map ( - I0 => din(14), - I1 => din(13), - I2 => \y0__1_carry__5_n_6\, - I3 => y(35), - I4 => sample_rate, - I5 => y(36), - O => \y0__107_carry__5_i_10_n_0\ - ); -\y0__107_carry__5_i_11\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B8FF88FC30BB00B8" - ) - port map ( - I0 => y(34), - I1 => sample_rate, - I2 => y(33), - I3 => \y0__1_carry__4_n_4\, - I4 => din(11), - I5 => din(12), - O => \y0__107_carry__5_i_11_n_0\ - ); -\y0__107_carry__5_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(12), - I1 => sample_rate, - I2 => din(11), - O => \y0__107_carry__5_i_12_n_0\ - ); -\y0__107_carry__5_i_13\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__4_n_5\, - I1 => din(10), - I2 => sample_rate, - I3 => din(11), - I4 => y(33), - I5 => y(32), - O => \y0__107_carry__5_i_13_n_0\ - ); -\y0__107_carry__5_i_14\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(11), - I1 => sample_rate, - I2 => din(10), - O => \y0__107_carry__5_i_14_n_0\ - ); -\y0__107_carry__5_i_15\: unisim.vcomponents.LUT6 - generic map( - INIT => X"C3AAC3553C553CAA" - ) - port map ( - I0 => din(14), - I1 => din(15), - I2 => y(37), - I3 => sample_rate, - I4 => y(36), - I5 => \y0__1_carry__5_n_5\, - O => \y0__107_carry__5_i_15_n_0\ - ); -\y0__107_carry__5_i_16\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__5_n_6\, - I1 => din(13), - I2 => sample_rate, - I3 => din(14), - I4 => y(36), - I5 => y(35), - O => \y0__107_carry__5_i_16_n_0\ - ); -\y0__107_carry__5_i_17\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B8FF88FC30BB00B8" - ) - port map ( - I0 => y(35), - I1 => sample_rate, - I2 => y(34), - I3 => \y0__1_carry__5_n_7\, - I4 => din(12), - I5 => din(13), - O => \y0__107_carry__5_i_17_n_0\ - ); -\y0__107_carry__5_i_18\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5A5A3CC3A5A53CC3" - ) - port map ( - I0 => din(13), - I1 => din(12), - I2 => \y0__1_carry__5_n_7\, - I3 => y(34), - I4 => sample_rate, - I5 => y(35), - O => \y0__107_carry__5_i_18_n_0\ - ); -\y0__107_carry__5_i_19\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(12), - I1 => din(11), - I2 => \y0__1_carry__4_n_4\, - I3 => y(33), - I4 => sample_rate, - I5 => y(34), - O => \y0__107_carry__5_i_19_n_0\ - ); -\y0__107_carry__5_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000B84747B8" - ) - port map ( - I0 => y(35), - I1 => sample_rate, - I2 => y(34), - I3 => \y0__1_carry__5_n_7\, - I4 => \y0__107_carry__5_i_9_n_0\, - I5 => \y0__107_carry__5_i_11_n_0\, - O => \y0__107_carry__5_i_2_n_0\ - ); -\y0__107_carry__5_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(34), - I1 => sample_rate, - I2 => y(33), - I3 => \y0__1_carry__4_n_4\, - I4 => \y0__107_carry__5_i_12_n_0\, - I5 => \y0__107_carry__5_i_13_n_0\, - O => \y0__107_carry__5_i_3_n_0\ - ); -\y0__107_carry__5_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(33), - I1 => sample_rate, - I2 => y(32), - I3 => \y0__1_carry__4_n_5\, - I4 => \y0__107_carry__5_i_14_n_0\, - I5 => \y0__107_carry__4_i_16_n_0\, - O => \y0__107_carry__5_i_4_n_0\ - ); -\y0__107_carry__5_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__5_i_1_n_0\, - I1 => \y0__107_carry__5_i_15_n_0\, - I2 => \y0__107_carry__5_i_16_n_0\, - O => \y0__107_carry__5_i_5_n_0\ - ); -\y0__107_carry__5_i_6\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__5_i_2_n_0\, - I1 => \y0__107_carry__5_i_10_n_0\, - I2 => \y0__107_carry__5_i_17_n_0\, - O => \y0__107_carry__5_i_6_n_0\ - ); -\y0__107_carry__5_i_7\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__5_i_3_n_0\, - I1 => \y0__107_carry__5_i_11_n_0\, - I2 => \y0__107_carry__5_i_18_n_0\, - O => \y0__107_carry__5_i_7_n_0\ - ); -\y0__107_carry__5_i_8\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__5_i_4_n_0\, - I1 => \y0__107_carry__5_i_13_n_0\, - I2 => \y0__107_carry__5_i_19_n_0\, - O => \y0__107_carry__5_i_8_n_0\ - ); -\y0__107_carry__5_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(13), - I1 => sample_rate, - I2 => din(12), - O => \y0__107_carry__5_i_9_n_0\ - ); -\y0__107_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__5_n_0\, - CO(3) => \y0__107_carry__6_n_0\, - CO(2) => \y0__107_carry__6_n_1\, - CO(1) => \y0__107_carry__6_n_2\, - CO(0) => \y0__107_carry__6_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__6_i_1_n_0\, - DI(2) => \y0__107_carry__6_i_2_n_0\, - DI(1) => \y0__107_carry__6_i_3_n_0\, - DI(0) => \y0__107_carry__6_i_4_n_0\, - O(3 downto 0) => \y0__0\(31 downto 28), - S(3) => \y0__107_carry__6_i_5_n_0\, - S(2) => \y0__107_carry__6_i_6_n_0\, - S(1) => \y0__107_carry__6_i_7_n_0\, - S(0) => \y0__107_carry__6_i_8_n_0\ - ); -\y0__107_carry__6_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"EC" - ) - port map ( - I0 => y(38), - I1 => \y0__1_carry__6_n_6\, - I2 => \y0__1_carry__6_n_7\, - O => \y0__107_carry__6_i_1_n_0\ - ); -\y0__107_carry__6_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => din(15), - I1 => \y0__1_carry__5_n_4\, - O => \y0__107_carry__6_i_10_n_0\ - ); -\y0__107_carry__6_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => y(38), - I1 => sample_rate, - I2 => y(37), - O => \y0__107_carry__6_i_11_n_0\ - ); -\y0__107_carry__6_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(15), - I1 => sample_rate, - I2 => din(14), - O => \y0__107_carry__6_i_12_n_0\ - ); -\y0__107_carry__6_i_13\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => y(37), - I1 => sample_rate, - I2 => y(36), - O => \y0__107_carry__6_i_13_n_0\ - ); -\y0__107_carry__6_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"0EE0" - ) - port map ( - I0 => \y0__1_carry__5_n_4\, - I1 => din(15), - I2 => y(38), - I3 => \y0__1_carry__6_n_7\, - O => \y0__107_carry__6_i_2_n_0\ - ); -\y0__107_carry__6_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"828282EBEBEB82EB" - ) - port map ( - I0 => \y0__107_carry__6_i_9_n_0\, - I1 => \y0__1_carry__5_n_4\, - I2 => din(15), - I3 => y(37), - I4 => sample_rate, - I5 => y(38), - O => \y0__107_carry__6_i_3_n_0\ - ); -\y0__107_carry__6_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"656A9A959A95656A" - ) - port map ( - I0 => \y0__107_carry__6_i_9_n_0\, - I1 => y(38), - I2 => sample_rate, - I3 => y(37), - I4 => din(15), - I5 => \y0__1_carry__5_n_4\, - O => \y0__107_carry__6_i_4_n_0\ - ); -\y0__107_carry__6_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"EC13" - ) - port map ( - I0 => \y0__1_carry__6_n_7\, - I1 => \y0__1_carry__6_n_6\, - I2 => y(38), - I3 => \y0__1_carry__6_n_5\, - O => \y0__107_carry__6_i_5_n_0\ - ); -\y0__107_carry__6_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"F0E1E10F" - ) - port map ( - I0 => din(15), - I1 => \y0__1_carry__5_n_4\, - I2 => \y0__1_carry__6_n_6\, - I3 => y(38), - I4 => \y0__1_carry__6_n_7\, - O => \y0__107_carry__6_i_6_n_0\ - ); -\y0__107_carry__6_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69696996" - ) - port map ( - I0 => \y0__107_carry__6_i_3_n_0\, - I1 => \y0__1_carry__6_n_7\, - I2 => y(38), - I3 => din(15), - I4 => \y0__1_carry__5_n_4\, - O => \y0__107_carry__6_i_7_n_0\ - ); -\y0__107_carry__6_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9996699969996669" - ) - port map ( - I0 => \y0__107_carry__6_i_10_n_0\, - I1 => \y0__107_carry__6_i_11_n_0\, - I2 => \y0__107_carry__6_i_12_n_0\, - I3 => \y0__107_carry__6_i_13_n_0\, - I4 => \y0__1_carry__5_n_5\, - I5 => \y0__107_carry__5_i_16_n_0\, - O => \y0__107_carry__6_i_8_n_0\ - ); -\y0__107_carry__6_i_9\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__5_n_5\, - I1 => y(36), - I2 => sample_rate, - I3 => y(37), - I4 => din(15), - I5 => din(14), - O => \y0__107_carry__6_i_9_n_0\ - ); -\y0__107_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__6_n_0\, - CO(3) => \y0__107_carry__7_n_0\, - CO(2) => \y0__107_carry__7_n_1\, - CO(1) => \y0__107_carry__7_n_2\, - CO(0) => \y0__107_carry__7_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__7_n_6\, - DI(2) => \y0__1_carry__7_n_7\, - DI(1) => \y0__1_carry__6_n_4\, - DI(0) => \y0__1_carry__6_n_5\, - O(3 downto 0) => \y0__0\(35 downto 32), - S(3) => \y0__107_carry__7_i_1_n_0\, - S(2) => \y0__107_carry__7_i_2_n_0\, - S(1) => \y0__107_carry__7_i_3_n_0\, - S(0) => \y0__107_carry__7_i_4_n_0\ - ); -\y0__107_carry__7_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__7_n_6\, - I1 => \y0__1_carry__7_n_5\, - O => \y0__107_carry__7_i_1_n_0\ - ); -\y0__107_carry__7_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__7_n_7\, - I1 => \y0__1_carry__7_n_6\, - O => \y0__107_carry__7_i_2_n_0\ - ); -\y0__107_carry__7_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__6_n_4\, - I1 => \y0__1_carry__7_n_7\, - O => \y0__107_carry__7_i_3_n_0\ - ); -\y0__107_carry__7_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__6_n_5\, - I1 => \y0__1_carry__6_n_4\, - O => \y0__107_carry__7_i_4_n_0\ - ); -\y0__107_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__7_n_0\, - CO(3) => \NLW_y0__107_carry__8_CO_UNCONNECTED\(3), - CO(2) => \y0__107_carry__8_n_1\, - CO(1) => \y0__107_carry__8_n_2\, - CO(0) => \y0__107_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \y0__1_carry__8_n_7\, - DI(1) => \y0__1_carry__7_n_4\, - DI(0) => \y0__1_carry__7_n_5\, - O(3) => y0(39), - O(2 downto 0) => \y0__0\(38 downto 36), - S(3) => \y0__107_carry__8_i_1_n_0\, - S(2) => \y0__107_carry__8_i_2_n_0\, - S(1) => \y0__107_carry__8_i_3_n_0\, - S(0) => \y0__107_carry__8_i_4_n_0\ - ); -\y0__107_carry__8_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__8_n_6\, - I1 => \y0__1_carry__8_n_5\, - O => \y0__107_carry__8_i_1_n_0\ - ); -\y0__107_carry__8_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__8_n_7\, - I1 => \y0__1_carry__8_n_6\, - O => \y0__107_carry__8_i_2_n_0\ - ); -\y0__107_carry__8_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__7_n_4\, - I1 => \y0__1_carry__8_n_7\, - O => \y0__107_carry__8_i_3_n_0\ - ); -\y0__107_carry__8_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__7_n_5\, - I1 => \y0__1_carry__7_n_4\, - O => \y0__107_carry__8_i_4_n_0\ - ); -\y0__107_carry_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry_n_6\, - I1 => y(11), - I2 => sample_rate, - I3 => y(12), - O => \y0__107_carry_i_1_n_0\ - ); -\y0__107_carry_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \y0__1_carry_n_7\, - O => \y0__107_carry_i_2_n_0\ - ); -\y0__107_carry_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"47" - ) - port map ( - I0 => y(10), - I1 => sample_rate, - I2 => y(9), - O => \y0__107_carry_i_3_n_0\ - ); -\y0__107_carry_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(11), - I1 => \y0__1_carry_n_6\, - I2 => y(12), - I3 => sample_rate, - I4 => y(13), - I5 => \y0__1_carry_n_5\, - O => \y0__107_carry_i_4_n_0\ - ); -\y0__107_carry_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"56A6A959" - ) - port map ( - I0 => \y0__1_carry_n_7\, - I1 => y(11), - I2 => sample_rate, - I3 => y(12), - I4 => \y0__1_carry_n_6\, - O => \y0__107_carry_i_5_n_0\ - ); -\y0__107_carry_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"56A6" - ) - port map ( - I0 => \y0__1_carry_n_7\, - I1 => y(10), - I2 => sample_rate, - I3 => y(11), - O => \y0__107_carry_i_6_n_0\ - ); -\y0__107_carry_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"1DE2" - ) - port map ( - I0 => y(9), - I1 => sample_rate, - I2 => y(10), - I3 => y(0), - O => \y0__107_carry_i_7_n_0\ - ); -\y0__1_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y0__1_carry_n_0\, - CO(2) => \y0__1_carry_n_1\, - CO(1) => \y0__1_carry_n_2\, - CO(0) => \y0__1_carry_n_3\, - CYINIT => y(0), - DI(3 downto 0) => y(4 downto 1), - O(3) => \y0__1_carry_n_4\, - O(2) => \y0__1_carry_n_5\, - O(1) => \y0__1_carry_n_6\, - O(0) => \y0__1_carry_n_7\, - S(3) => \y0__1_carry_i_1_n_0\, - S(2) => \y0__1_carry_i_2_n_0\, - S(1) => \y0__1_carry_i_3_n_0\, - S(0) => \y0__1_carry_i_4_n_0\ - ); -\y0__1_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry_n_0\, - CO(3) => \y0__1_carry__0_n_0\, - CO(2) => \y0__1_carry__0_n_1\, - CO(1) => \y0__1_carry__0_n_2\, - CO(0) => \y0__1_carry__0_n_3\, - CYINIT => '0', - DI(3 downto 0) => y(8 downto 5), - O(3) => \y0__1_carry__0_n_4\, - O(2) => \y0__1_carry__0_n_5\, - O(1) => \y0__1_carry__0_n_6\, - O(0) => \y0__1_carry__0_n_7\, - S(3) => \y0__1_carry__0_i_1_n_0\, - S(2) => \y0__1_carry__0_i_2_n_0\, - S(1) => \y0__1_carry__0_i_3_n_0\, - S(0) => \y0__1_carry__0_i_4_n_0\ - ); -\y0__1_carry__0_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(8), - O => \y0__1_carry__0_i_1_n_0\ - ); -\y0__1_carry__0_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(7), - O => \y0__1_carry__0_i_2_n_0\ - ); -\y0__1_carry__0_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(6), - O => \y0__1_carry__0_i_3_n_0\ - ); -\y0__1_carry__0_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(5), - O => \y0__1_carry__0_i_4_n_0\ - ); -\y0__1_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__0_n_0\, - CO(3) => \y0__1_carry__1_n_0\, - CO(2) => \y0__1_carry__1_n_1\, - CO(1) => \y0__1_carry__1_n_2\, - CO(0) => \y0__1_carry__1_n_3\, - CYINIT => '0', - DI(3 downto 0) => y(12 downto 9), - O(3) => \y0__1_carry__1_n_4\, - O(2) => \y0__1_carry__1_n_5\, - O(1) => \y0__1_carry__1_n_6\, - O(0) => \y0__1_carry__1_n_7\, - S(3) => \y0__1_carry__1_i_1_n_0\, - S(2) => \y0__1_carry__1_i_2_n_0\, - S(1) => \y0__1_carry__1_i_3_n_0\, - S(0) => \y0__1_carry__1_i_4_n_0\ - ); -\y0__1_carry__1_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(12), - I1 => x1(12), - O => \y0__1_carry__1_i_1_n_0\ - ); -\y0__1_carry__1_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(11), - O => \y0__1_carry__1_i_2_n_0\ - ); -\y0__1_carry__1_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(10), - O => \y0__1_carry__1_i_3_n_0\ - ); -\y0__1_carry__1_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(9), - O => \y0__1_carry__1_i_4_n_0\ - ); -\y0__1_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__1_n_0\, - CO(3) => \y0__1_carry__2_n_0\, - CO(2) => \y0__1_carry__2_n_1\, - CO(1) => \y0__1_carry__2_n_2\, - CO(0) => \y0__1_carry__2_n_3\, - CYINIT => '0', - DI(3 downto 0) => y(16 downto 13), - O(3) => \y0__1_carry__2_n_4\, - O(2) => \y0__1_carry__2_n_5\, - O(1) => \y0__1_carry__2_n_6\, - O(0) => \y0__1_carry__2_n_7\, - S(3) => \y0__1_carry__2_i_1_n_0\, - S(2) => \y0__1_carry__2_i_2_n_0\, - S(1) => \y0__1_carry__2_i_3_n_0\, - S(0) => \y0__1_carry__2_i_4_n_0\ - ); -\y0__1_carry__2_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(16), - I1 => x1(16), - O => \y0__1_carry__2_i_1_n_0\ - ); -\y0__1_carry__2_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(15), - I1 => x1(15), - O => \y0__1_carry__2_i_2_n_0\ - ); -\y0__1_carry__2_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(14), - I1 => x1(14), - O => \y0__1_carry__2_i_3_n_0\ - ); -\y0__1_carry__2_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(13), - I1 => x1(13), - O => \y0__1_carry__2_i_4_n_0\ - ); -\y0__1_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__2_n_0\, - CO(3) => \y0__1_carry__3_n_0\, - CO(2) => \y0__1_carry__3_n_1\, - CO(1) => \y0__1_carry__3_n_2\, - CO(0) => \y0__1_carry__3_n_3\, - CYINIT => '0', - DI(3 downto 0) => y(20 downto 17), - O(3) => \y0__1_carry__3_n_4\, - O(2) => \y0__1_carry__3_n_5\, - O(1) => \y0__1_carry__3_n_6\, - O(0) => \y0__1_carry__3_n_7\, - S(3) => \y0__1_carry__3_i_1_n_0\, - S(2) => \y0__1_carry__3_i_2_n_0\, - S(1) => \y0__1_carry__3_i_3_n_0\, - S(0) => \y0__1_carry__3_i_4_n_0\ - ); -\y0__1_carry__3_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(20), - I1 => x1(20), - O => \y0__1_carry__3_i_1_n_0\ - ); -\y0__1_carry__3_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(19), - I1 => x1(19), - O => \y0__1_carry__3_i_2_n_0\ - ); -\y0__1_carry__3_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(18), - I1 => x1(18), - O => \y0__1_carry__3_i_3_n_0\ - ); -\y0__1_carry__3_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(17), - I1 => x1(17), - O => \y0__1_carry__3_i_4_n_0\ - ); -\y0__1_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__3_n_0\, - CO(3) => \y0__1_carry__4_n_0\, - CO(2) => \y0__1_carry__4_n_1\, - CO(1) => \y0__1_carry__4_n_2\, - CO(0) => \y0__1_carry__4_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__4_i_1_n_0\, - DI(2) => din(0), - DI(1 downto 0) => y(22 downto 21), - O(3) => \y0__1_carry__4_n_4\, - O(2) => \y0__1_carry__4_n_5\, - O(1) => \y0__1_carry__4_n_6\, - O(0) => \y0__1_carry__4_n_7\, - S(3) => \y0__1_carry__4_i_2_n_0\, - S(2) => \y0__1_carry__4_i_3_n_0\, - S(1) => \y0__1_carry__4_i_4_n_0\, - S(0) => \y0__1_carry__4_i_5_n_0\ - ); -\y0__1_carry__4_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"69" - ) - port map ( - I0 => din(1), - I1 => y(24), - I2 => x1(24), - O => \y0__1_carry__4_i_1_n_0\ - ); -\y0__1_carry__4_i_2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69966969" - ) - port map ( - I0 => y(24), - I1 => x1(24), - I2 => din(1), - I3 => x1(23), - I4 => y(23), - O => \y0__1_carry__4_i_2_n_0\ - ); -\y0__1_carry__4_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"69" - ) - port map ( - I0 => x1(23), - I1 => y(23), - I2 => din(0), - O => \y0__1_carry__4_i_3_n_0\ - ); -\y0__1_carry__4_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(22), - I1 => x1(22), - O => \y0__1_carry__4_i_4_n_0\ - ); -\y0__1_carry__4_i_5\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(21), - I1 => x1(21), - O => \y0__1_carry__4_i_5_n_0\ - ); -\y0__1_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__4_n_0\, - CO(3) => \y0__1_carry__5_n_0\, - CO(2) => \y0__1_carry__5_n_1\, - CO(1) => \y0__1_carry__5_n_2\, - CO(0) => \y0__1_carry__5_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__5_i_1_n_0\, - DI(2) => \y0__1_carry__5_i_2_n_0\, - DI(1) => \y0__1_carry__5_i_3_n_0\, - DI(0) => \y0__1_carry__5_i_4_n_0\, - O(3) => \y0__1_carry__5_n_4\, - O(2) => \y0__1_carry__5_n_5\, - O(1) => \y0__1_carry__5_n_6\, - O(0) => \y0__1_carry__5_n_7\, - S(3) => \y0__1_carry__5_i_5_n_0\, - S(2) => \y0__1_carry__5_i_6_n_0\, - S(1) => \y0__1_carry__5_i_7_n_0\, - S(0) => \y0__1_carry__5_i_8_n_0\ - ); -\y0__1_carry__5_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(27), - I1 => din(4), - I2 => y(27), - O => \y0__1_carry__5_i_1_n_0\ - ); -\y0__1_carry__5_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(26), - I1 => din(3), - I2 => y(26), - O => \y0__1_carry__5_i_2_n_0\ - ); -\y0__1_carry__5_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(25), - I1 => din(2), - I2 => y(25), - O => \y0__1_carry__5_i_3_n_0\ - ); -\y0__1_carry__5_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B2" - ) - port map ( - I0 => y(24), - I1 => x1(24), - I2 => din(1), - O => \y0__1_carry__5_i_4_n_0\ - ); -\y0__1_carry__5_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(28), - I1 => din(5), - I2 => y(28), - I3 => \y0__1_carry__5_i_1_n_0\, - O => \y0__1_carry__5_i_5_n_0\ - ); -\y0__1_carry__5_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(27), - I1 => din(4), - I2 => y(27), - I3 => \y0__1_carry__5_i_2_n_0\, - O => \y0__1_carry__5_i_6_n_0\ - ); -\y0__1_carry__5_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(26), - I1 => din(3), - I2 => y(26), - I3 => \y0__1_carry__5_i_3_n_0\, - O => \y0__1_carry__5_i_7_n_0\ - ); -\y0__1_carry__5_i_8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(25), - I1 => din(2), - I2 => y(25), - I3 => \y0__1_carry__5_i_4_n_0\, - O => \y0__1_carry__5_i_8_n_0\ - ); -\y0__1_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__5_n_0\, - CO(3) => \y0__1_carry__6_n_0\, - CO(2) => \y0__1_carry__6_n_1\, - CO(1) => \y0__1_carry__6_n_2\, - CO(0) => \y0__1_carry__6_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__6_i_1_n_0\, - DI(2) => \y0__1_carry__6_i_2_n_0\, - DI(1) => \y0__1_carry__6_i_3_n_0\, - DI(0) => \y0__1_carry__6_i_4_n_0\, - O(3) => \y0__1_carry__6_n_4\, - O(2) => \y0__1_carry__6_n_5\, - O(1) => \y0__1_carry__6_n_6\, - O(0) => \y0__1_carry__6_n_7\, - S(3) => \y0__1_carry__6_i_5_n_0\, - S(2) => \y0__1_carry__6_i_6_n_0\, - S(1) => \y0__1_carry__6_i_7_n_0\, - S(0) => \y0__1_carry__6_i_8_n_0\ - ); -\y0__1_carry__6_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(31), - I1 => y(31), - I2 => din(8), - O => \y0__1_carry__6_i_1_n_0\ - ); -\y0__1_carry__6_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(30), - I1 => y(30), - I2 => din(7), - O => \y0__1_carry__6_i_2_n_0\ - ); -\y0__1_carry__6_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(29), - I1 => y(29), - I2 => din(6), - O => \y0__1_carry__6_i_3_n_0\ - ); -\y0__1_carry__6_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(28), - I1 => din(5), - I2 => y(28), - O => \y0__1_carry__6_i_4_n_0\ - ); -\y0__1_carry__6_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(32), - I1 => y(32), - I2 => din(9), - I3 => \y0__1_carry__6_i_1_n_0\, - O => \y0__1_carry__6_i_5_n_0\ - ); -\y0__1_carry__6_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(31), - I1 => y(31), - I2 => din(8), - I3 => \y0__1_carry__6_i_2_n_0\, - O => \y0__1_carry__6_i_6_n_0\ - ); -\y0__1_carry__6_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(30), - I1 => y(30), - I2 => din(7), - I3 => \y0__1_carry__6_i_3_n_0\, - O => \y0__1_carry__6_i_7_n_0\ - ); -\y0__1_carry__6_i_8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(29), - I1 => y(29), - I2 => din(6), - I3 => \y0__1_carry__6_i_4_n_0\, - O => \y0__1_carry__6_i_8_n_0\ - ); -\y0__1_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__6_n_0\, - CO(3) => \y0__1_carry__7_n_0\, - CO(2) => \y0__1_carry__7_n_1\, - CO(1) => \y0__1_carry__7_n_2\, - CO(0) => \y0__1_carry__7_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__7_i_1_n_0\, - DI(2) => \y0__1_carry__7_i_2_n_0\, - DI(1) => \y0__1_carry__7_i_3_n_0\, - DI(0) => \y0__1_carry__7_i_4_n_0\, - O(3) => \y0__1_carry__7_n_4\, - O(2) => \y0__1_carry__7_n_5\, - O(1) => \y0__1_carry__7_n_6\, - O(0) => \y0__1_carry__7_n_7\, - S(3) => \y0__1_carry__7_i_5_n_0\, - S(2) => \y0__1_carry__7_i_6_n_0\, - S(1) => \y0__1_carry__7_i_7_n_0\, - S(0) => \y0__1_carry__7_i_8_n_0\ - ); -\y0__1_carry__7_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(35), - I1 => y(35), - I2 => din(12), - O => \y0__1_carry__7_i_1_n_0\ - ); -\y0__1_carry__7_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(34), - I1 => y(34), - I2 => din(11), - O => \y0__1_carry__7_i_2_n_0\ - ); -\y0__1_carry__7_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(33), - I1 => y(33), - I2 => din(10), - O => \y0__1_carry__7_i_3_n_0\ - ); -\y0__1_carry__7_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(32), - I1 => y(32), - I2 => din(9), - O => \y0__1_carry__7_i_4_n_0\ - ); -\y0__1_carry__7_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(36), - I1 => y(36), - I2 => din(13), - I3 => \y0__1_carry__7_i_1_n_0\, - O => \y0__1_carry__7_i_5_n_0\ - ); -\y0__1_carry__7_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(35), - I1 => y(35), - I2 => din(12), - I3 => \y0__1_carry__7_i_2_n_0\, - O => \y0__1_carry__7_i_6_n_0\ - ); -\y0__1_carry__7_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(34), - I1 => y(34), - I2 => din(11), - I3 => \y0__1_carry__7_i_3_n_0\, - O => \y0__1_carry__7_i_7_n_0\ - ); -\y0__1_carry__7_i_8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(33), - I1 => y(33), - I2 => din(10), - I3 => \y0__1_carry__7_i_4_n_0\, - O => \y0__1_carry__7_i_8_n_0\ - ); -\y0__1_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__7_n_0\, - CO(3 downto 2) => \NLW_y0__1_carry__8_CO_UNCONNECTED\(3 downto 2), - CO(1) => \y0__1_carry__8_n_2\, - CO(0) => \y0__1_carry__8_n_3\, - CYINIT => '0', - DI(3 downto 2) => B"00", - DI(1) => \y0__1_carry__8_i_1_n_0\, - DI(0) => \y0__1_carry__8_i_2_n_0\, - O(3) => \NLW_y0__1_carry__8_O_UNCONNECTED\(3), - O(2) => \y0__1_carry__8_n_5\, - O(1) => \y0__1_carry__8_n_6\, - O(0) => \y0__1_carry__8_n_7\, - S(3) => '0', - S(2) => \y0__1_carry__8_i_3_n_0\, - S(1) => \y0__1_carry__8_i_4_n_0\, - S(0) => \y0__1_carry__8_i_5_n_0\ - ); -\y0__1_carry__8_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"69" - ) - port map ( - I0 => din(15), - I1 => x1(38), - I2 => y(38), - O => \y0__1_carry__8_i_1_n_0\ - ); -\y0__1_carry__8_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(36), - I1 => y(36), - I2 => din(13), - O => \y0__1_carry__8_i_2_n_0\ - ); -\y0__1_carry__8_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"E187" - ) - port map ( - I0 => din(15), - I1 => y(38), - I2 => x1(39), - I3 => x1(38), - O => \y0__1_carry__8_i_3_n_0\ - ); -\y0__1_carry__8_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9669696996969669" - ) - port map ( - I0 => y(38), - I1 => x1(38), - I2 => din(15), - I3 => din(14), - I4 => y(37), - I5 => x1(37), - O => \y0__1_carry__8_i_4_n_0\ - ); -\y0__1_carry__8_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => \y0__1_carry__8_i_2_n_0\, - I1 => y(37), - I2 => x1(37), - I3 => din(14), - O => \y0__1_carry__8_i_5_n_0\ - ); -\y0__1_carry_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(4), - O => \y0__1_carry_i_1_n_0\ - ); -\y0__1_carry_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(3), - O => \y0__1_carry_i_2_n_0\ - ); -\y0__1_carry_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(2), - O => \y0__1_carry_i_3_n_0\ - ); -\y0__1_carry_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(1), - O => \y0__1_carry_i_4_n_0\ - ); -\y[0]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(0), - O => \y[0]_i_1_n_0\ - ); -\y[10]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(10), - O => \y[10]_i_1_n_0\ - ); -\y[11]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(11), - O => \y[11]_i_1_n_0\ - ); -\y[12]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(12), - O => \y[12]_i_1_n_0\ - ); -\y[13]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(13), - O => \y[13]_i_1_n_0\ - ); -\y[14]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(14), - O => \y[14]_i_1_n_0\ - ); -\y[15]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(15), - O => \y[15]_i_1_n_0\ - ); -\y[16]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(16), - O => \y[16]_i_1_n_0\ - ); -\y[17]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(17), - O => \y[17]_i_1_n_0\ - ); -\y[18]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(18), - O => \y[18]_i_1_n_0\ - ); -\y[19]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(19), - O => \y[19]_i_1_n_0\ - ); -\y[1]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(1), - O => \y[1]_i_1_n_0\ - ); -\y[20]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(20), - O => \y[20]_i_1_n_0\ - ); -\y[21]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(21), - O => \y[21]_i_1_n_0\ - ); -\y[22]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(22), - O => \y[22]_i_1_n_0\ - ); -\y[23]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(23), - O => \y[23]_i_1_n_0\ - ); -\y[24]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(24), - O => \y[24]_i_1_n_0\ - ); -\y[25]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(25), - O => \y[25]_i_1_n_0\ - ); -\y[26]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(26), - O => \y[26]_i_1_n_0\ - ); -\y[27]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(27), - O => \y[27]_i_1_n_0\ - ); -\y[28]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(28), - O => \y[28]_i_1_n_0\ - ); -\y[29]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(29), - O => \y[29]_i_1_n_0\ - ); -\y[2]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(2), - O => \y[2]_i_1_n_0\ - ); -\y[30]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(30), - O => \y[30]_i_1_n_0\ - ); -\y[31]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(31), - O => \y[31]_i_1_n_0\ - ); -\y[32]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(32), - O => \y[32]_i_1_n_0\ - ); -\y[33]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(33), - O => \y[33]_i_1_n_0\ - ); -\y[34]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(34), - O => \y[34]_i_1_n_0\ - ); -\y[35]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(35), - O => \y[35]_i_1_n_0\ - ); -\y[36]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(36), - O => \y[36]_i_1_n_0\ - ); -\y[37]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(37), - O => \y[37]_i_1_n_0\ - ); -\y[3]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(3), - O => \y[3]_i_1_n_0\ - ); -\y[4]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(4), - O => \y[4]_i_1_n_0\ - ); -\y[5]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(5), - O => \y[5]_i_1_n_0\ - ); -\y[6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(6), - O => \y[6]_i_1_n_0\ - ); -\y[7]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(7), - O => \y[7]_i_1_n_0\ - ); -\y[8]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(8), - O => \y[8]_i_1_n_0\ - ); -\y[9]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(9), - O => \y[9]_i_1_n_0\ - ); -\y_reg[0]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[0]_i_1_n_0\, - Q => y(0), - R => '0' - ); -\y_reg[10]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[10]_i_1_n_0\, - Q => y(10), - R => '0' - ); -\y_reg[11]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[11]_i_1_n_0\, - Q => y(11), - R => '0' - ); -\y_reg[12]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[12]_i_1_n_0\, - Q => y(12), - R => '0' - ); -\y_reg[13]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[13]_i_1_n_0\, - Q => y(13), - R => '0' - ); -\y_reg[14]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[14]_i_1_n_0\, - Q => y(14), - R => '0' - ); -\y_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[15]_i_1_n_0\, - Q => y(15), - R => '0' - ); -\y_reg[16]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[16]_i_1_n_0\, - Q => y(16), - R => '0' - ); -\y_reg[17]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[17]_i_1_n_0\, - Q => y(17), - R => '0' - ); -\y_reg[18]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[18]_i_1_n_0\, - Q => y(18), - R => '0' - ); -\y_reg[19]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[19]_i_1_n_0\, - Q => y(19), - R => '0' - ); -\y_reg[1]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[1]_i_1_n_0\, - Q => y(1), - R => '0' - ); -\y_reg[20]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[20]_i_1_n_0\, - Q => y(20), - R => '0' - ); -\y_reg[21]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[21]_i_1_n_0\, - Q => y(21), - R => '0' - ); -\y_reg[22]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[22]_i_1_n_0\, - Q => y(22), - R => '0' - ); -\y_reg[23]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[23]_i_1_n_0\, - Q => y(23), - R => '0' - ); -\y_reg[24]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[24]_i_1_n_0\, - Q => y(24), - R => '0' - ); -\y_reg[25]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[25]_i_1_n_0\, - Q => y(25), - R => '0' - ); -\y_reg[26]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[26]_i_1_n_0\, - Q => y(26), - R => '0' - ); -\y_reg[27]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[27]_i_1_n_0\, - Q => y(27), - R => '0' - ); -\y_reg[28]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[28]_i_1_n_0\, - Q => y(28), - R => '0' - ); -\y_reg[29]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[29]_i_1_n_0\, - Q => y(29), - R => '0' - ); -\y_reg[2]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[2]_i_1_n_0\, - Q => y(2), - R => '0' - ); -\y_reg[30]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[30]_i_1_n_0\, - Q => y(30), - R => '0' - ); -\y_reg[31]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[31]_i_1_n_0\, - Q => y(31), - R => '0' - ); -\y_reg[32]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[32]_i_1_n_0\, - Q => y(32), - R => '0' - ); -\y_reg[33]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[33]_i_1_n_0\, - Q => y(33), - R => '0' - ); -\y_reg[34]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[34]_i_1_n_0\, - Q => y(34), - R => '0' - ); -\y_reg[35]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[35]_i_1_n_0\, - Q => y(35), - R => '0' - ); -\y_reg[36]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[36]_i_1_n_0\, - Q => y(36), - R => '0' - ); -\y_reg[37]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[37]_i_1_n_0\, - Q => y(37), - R => '0' - ); -\y_reg[38]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => y0(39), - Q => y(38), - R => '0' - ); -\y_reg[3]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[3]_i_1_n_0\, - Q => y(3), - R => '0' - ); -\y_reg[4]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[4]_i_1_n_0\, - Q => y(4), - R => '0' - ); -\y_reg[5]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[5]_i_1_n_0\, - Q => y(5), - R => '0' - ); -\y_reg[6]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[6]_i_1_n_0\, - Q => y(6), - R => '0' - ); -\y_reg[7]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[7]_i_1_n_0\, - Q => y(7), - R => '0' - ); -\y_reg[8]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[8]_i_1_n_0\, - Q => y(8), - R => '0' - ); -\y_reg[9]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[9]_i_1_n_0\, - Q => y(9), - R => '0' - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_DC_blocker_0_0 is - port ( - clk : in STD_LOGIC; - ce : in STD_LOGIC; - mute : in STD_LOGIC; - sample_rate : in STD_LOGIC; - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of audio_DC_blocker_0_0 : entity is true; - attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of audio_DC_blocker_0_0 : entity is "audio_DC_blocker_0_0,DC_blocker,{}"; - attribute DowngradeIPIdentifiedWarnings : string; - attribute DowngradeIPIdentifiedWarnings of audio_DC_blocker_0_0 : entity is "yes"; - attribute IP_DEFINITION_SOURCE : string; - attribute IP_DEFINITION_SOURCE of audio_DC_blocker_0_0 : entity is "module_ref"; - attribute X_CORE_INFO : string; - attribute X_CORE_INFO of audio_DC_blocker_0_0 : entity is "DC_blocker,Vivado 2021.2"; -end audio_DC_blocker_0_0; - -architecture STRUCTURE of audio_DC_blocker_0_0 is - attribute X_INTERFACE_INFO : string; - attribute X_INTERFACE_INFO of clk : signal is "xilinx.com:signal:clock:1.0 clk CLK"; - attribute X_INTERFACE_PARAMETER : string; - attribute X_INTERFACE_PARAMETER of clk : signal is "XIL_INTERFACENAME clk, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0"; -begin -inst: entity work.audio_DC_blocker_0_0_DC_blocker - port map ( - ce => ce, - clk => clk, - din(15 downto 0) => din(15 downto 0), - dout(15 downto 0) => dout(15 downto 0), - mute => mute, - sample_rate => sample_rate - ); -end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0.dcp b/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0.dcp deleted file mode 100644 index 4129562761ff86889bf2b52beab9adfbf4e9f83d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 55001 zcma&O19T-pxGoyowkEc1+xEoP#I`jtCYadC#J25BY$p?2Z)fJ5bMLwDp10mwUH`87 ze%4=q*WSHXcPq+(f}sHc0YL#_86~USz2ax13j+ZSG=TzP0no25CYJUFq9O)HHulEW zrcMTo28{HkCT8b8mfzOI8~5ElB4K>7WRUq>fhp}`uS6wvZ6*_`8c4lbhqg6@luSzp z(MlGGndhvYtINu+izgl^^Gx~gU zba@}LVZv7!GKdk6TVoKI!dSW~A5-GSi5rZw|F~a1yE)5yydkXH&(Vl#BAn#kc=J|z zeZJC&tFoW8&JL{Mco#34G!Ly7lcmKvFKwq^% zH_0E}=$r1x@8#9N=II<|JQm@dXvcTIJ6X-|ou;=D-I(p0VXzjh-u3Z(SG;`m^fW=a zOc*nFLGHB~^B^IB-+8a%(}AAgS%>HIwm)$))b!^ej(^;4vNJkXAsatNg61*mX}@h| z@$jOvL;r_?pO?>_r6Yga`~AeKeaAv)mfyIaMcT#c>Hhx2%T{&g(^Hp6n&CPz-|sWK)$PJl2lg1|QTs=4t53JPM(>ERS_V6q?obT&1!e|BKx>y!8~5(mKFY``0_8gMwebbH?3&b--M-^!D{G^AsE zvG_cB48|wl>ZkmC-*yg{p7#Fu4IsV?po@0l;n^U~z=GzP3v|hIKpk^|mtuWmu0r7E zf{&F7XA*1(qF^w1|5husPmo22VNQcWZb?igxX8nWc=>oG`c(NHg63+BnbVP(5+26E;2YcH&wG!*EiVy(4K=tZ=x zLFQmHHXUlv2GzKxwCRJ{#RtyR%5X4o&Op0<2R~Ow6pZM5VP?s?fE(X~RmV-(hmUNr zUKJ7+k18aL> z*ch~aFf{B2m1r6t!dCmVP<`%#+IrQC!}=Vm?Lk!Z@4p}M2>ESi z)!hVmxx2LCJKIMvm!AwK7^>|j=`~z$Pt7p1qLwdA51bs&-+MpqNu~(?OkG$<-4DfC zj~xHW@ZE>4KK3i`G-HF89hy5HIWAwCbNr4p*oE%rDjJ4*IA&mVP;-1kwqTnk*Xe6; zb_5nG%y2F{d%j+VR&6pn&+Nfy)#>T`>iN8Dl{c3o9dTN|Xq`8K%wSll51AfXGQXhe12KO2YEvB zMz2D|{Y*%t4Q#@V2ynyowPWh!GCAAX5a@H)#R9$QP{dRHP^NIWwke1qlgE42W%h?U#<3oghI9C~t*4r_rgeOCYm9BY&RLhM+*>~Wz@s`Ph=PzX{j1$ny6tozjX(%kh3WR=d8$J5+N^|L<fS}{~>Zz^|i6Cn+WoOKWqjt*(ztn%0V9Pojh!nVO9c%?e4Q#X7p{ojwIVO;h%O?V> zf4cOne#anYnL%o~Zn3(2oGXh^1Fg1-aWnX%)5nOl+DiP^!q z&cA)cvT6Vhf+A#t!79jCWyikQz|eaTXb5zTb4whF%^|2Jd3$_RpFu!3LDAxg13KqD zR{TQl*pNT-E+2-&q*V+cG{Y&8WA7(^Jmr4esuh{ZyOZxdJ(IpE=NHX>2(4${_m<$R z+axo`HTw+bkwdDMM_1h1mkBv3ny=3GwLHr{txJwt4=}EEv7NAVsw^3K{=(t|9T}RtPW%E}PLLa0oi>_>?`n*;ou5p-SE`eCjioSFz zz^A7)lfhqDGALeV_b;NgH@U`BWzkW&mun6kiU)E~Hx_L1dB&H9oU;mK*?#AYNn2SG ziAmG`BrA)by~S8@(W@OyWZV}#ojx4Z(X*@j*e$Zsw<-wz9wGY+cX(_0d06bBKuD+p>OZfI#b2cGygw_kk^jZ>Qdt zYBGhr;yR31xM^*gz$}V*amxN9&Xq9^UYCBe=CNp+F+s@?@$91>Qi`(c9huP;2R8kE0h087N8SRS(7%tToNp3O71(NOyZo#{+t#-FI`!pHN+XWU9X=hS36QnKS=q7A z)TS4vV|-?@ZkCzOQ3hvY?oZejiwz))s|Xu*D9$B@9ECU8t^#0$Hm6;IvUE1ZY)3Xf z&smME3=r9jtn@yK&L`30!9i}xvj5elt)g_bw0oX~zw`^Ktl?lUzls~J8o4fKZgL3D zk?6YqybR0J+IeTIgmUAzgoMa};qwxtVXXXek8>MhHy-Eo3J0VJf=WuYPG1+}E@=)`bS)L>qpy%YspptD!5ytTlSg2@}}!Ya}MCN)tass9m@|A?NT%HdWcbp zJSoj?xJQWEy;5>YioSaBk2i9)XOhWGL0esR`?#>-+d?x|=cF4*_c{*uIt~9Yzf%c= zVTR2HEKo6>S!4>Ie&5-(b($-VFCVgP%M4ZjE%BR5__5G)@wAB~j8a6$Q0Z5Di_#t{ z){^-xG#phc2N-xNwi;lQQMgmY zd*`@X*}LUj#IdZ5#a~-TEsUzsN5z~O&!wdK*j5leOH_Kq=y;Iv)jkF9Mv zm7P-DZv2iGWkfX)_HJ?ORfu)G5^bAly}XkHsFZr4RcfWCN4OzZBjrG-5|EOTb*q%hCpw-T`8|>VHhedyA#*A_5ZT%rvj<$yOSJ}HkZJpV@ z+B_D362mP0?IPP*y8CRM6YSWtejpRr6$gQ@Gy<#b|5<`qFYF6jJ$6n+rh4;QJ%%Cx zwWXLq)fao#L^uRf2x!cY>$Gu6ss)dW$p~*HD3ch`m-s&PKtjj&lCrtK^~crWgTrC5 zYyV<24nU-!5p`1~qL%lU!;eocT2U`^pQ1*T6`QsR^#6stID6Q7tFjhtTV#73zW(_-KJ1Av)ZeQKbS|8l(Vy zg1`U}_=sce&Ws8Z0AhTCXCHH&w;#_uWB|$4k9vl4KY*DwKxe({eNd@GYxF?iT;^so zL`%B-wx3c8@{Wtf>!X69Ljc2eiq`ZZ=dSQ!DDOPI5H7ERL215+-A(=eUCY4pgMM83 zUk8D`rLOj2>Us9X?Q6=v4uxVpUtLm%-(u=U7i?XU`f-#J_tC*<@M@}ergKfX;=yWX z!76=)a?$FB$tuD<+NjNHrpao6+GtEl=UE*o{uyP`3$4$x*>Nv*)NY2E5F#dYii0fCeqg<9cTc zeVWw@XG2?c?MAKR?v;xFWG$N&f?C(j)=o%6E}9jZW+o$4Oh}Kh)8K1nCa2h{bJwt! zD=&r?YN|JCEd$glZ0c$O7PUr(Y%GFlS1W7)7XJ^{vY9EsVr}ijD8!n(DMm**l~rVIw3aNDhjwk9+xkpAS(p!iSjo zA)O53uzCj37@nP2l}_!WT(iOY(TjRI`|g(P4C3W@3={hU7RkGX>Fu9v);P?3wxB|; zuD^p_%zU-j)PonJ`q8Ud8-r+dF8z$tsF{o&>ZlU9yxOo)JZkG)%4WU5_CRY7`N{Td z--gCs)o}JD8RWcv@8c9qtCUQymoyQBG7@WKeP5)2UG#PuRYhgE{(#96`NRNpe#FiyJ{ z`h86d7^HcF#f4|pKQ{Pn<9}5XB)FUW9-;^KDRV~pSBB%S3=a&e{>f(hk#t99Tqvw0 zqwZ*kQF9W^fCCk31E*3qR5R>i@a8_zRbQe}tduuG{j*S7_ zlB3{{)2MB3gm4z0Pqt$=Luk2pRFv@FffQxvDJKy<)PMs%WdD9HR@JV5GZtE(TE2_x zOuCpkdX|ziyU!6aP>ii7N9y9 z^~1p=V4)SGF`m1y=$!okb@B$NlP5r(Tmb512~a25pVd(96wCS(Gv8(DbEzkyW~hf` zzIiZd1B!+GU$N{CSWFmNPW~G_{;iy+zlFuR`mb1?jq1&6MoFlHO3r>VhmGP;!l=uH zoos6OR=pl!0)8Q{kC&(oN-5_&oG%pPJ}lfM&S_N7@P!LuKgckM4(+Q`GSr{R& zG(usU(3;g~_85Uh!MnmSw?U-y1&#C5?dDZHM~zM;6Z#1H>WVGBI>`>0?5k^eZ^32r zx+4s=X}~X;K*cWs{sz<^FN$Iz_lWMX`F86sGS{5^lC^XR9nzhTTaQ#jKv%Fx_3LOa zc%GY!xItcCvOH0rxP#qD4aY6J7$HmXXFO$drZ5rpJR_0ilJh2MOE!dR0&*U^Tt|tX zTBh-jf*1+;*-%#_*XYz}qz10RsKB8ausrr5PAMMUOqr~LiNaOaA$O(BnD!DmH3k@h zC)Dtjs}=7P((}j_?^ff7Qp|?s;i!ygllpT!z9)}_#eq?~-c-dXBxY!;;Chk}B(2 z!7$2ElroF-=P?*Mvuc3v^Vqxf=MQihBGuvQOBm|dLA;b!E2=_&tGXnVXeT5L&Kr)m zd~@b`l@gD5+6yD!BRl_=H+(?W!!jFPAmhuu&$8WLnb3P7?$GZ~N`B8wDpg5OJ!q|9)sGNKT8e$Ol8E!m z{)?2Ac>8^U_!)*&LIyNL5IO~0D3pmZ3rUQE)nLSKVus_+V}V)PZw4byBS93@@~=|L z<#W=}OWE_`sK8RnEISPpY&(p?3G>nJ=Tb4hV^T=j0D;lSTSb2zDKHbo$(fHv9im{{ z?Z1+mVEom^74!vyl*!RLjI?yYk_-?GNHhz`~XcyOlD z&lD9rf`g{Iq(0NmYl#ZqWtwqS>9lDGsrw&7Q|7943>1F7~*Rj!wl3k zA}`{y@~_NDjs!qWuu#|jz{WNZjI!9cFQHV%`TE?ZyJj#mdWgx>_RkF-EsRV!CiXCv z%0hxEa?t3natW*q<^v2HSFppiErF696JuIeQJ4?FT8&SoTlTX}9$YlOj)a8qrnn@& zo@NbA`q~*w;r?Byh&jini!$;zILMc7yW2rLchZk#B{MynQDKOGa%r~91I0me0yb=b zaIVLh*{8u-fklCuT)`(~!~|qr+a z|F-Ouq;^1#Zv>ML8b*~2tdt69$>Z#8v;c|q#~GuPTYLDTxU#b7@wKr!pYXjf2}7{S z)mcBy_84qhNES9%CzFd|-1QqsS->!wASU5jaPYEx>~?D#lm$81^uQ(wEcCbGeHqE5 z)kkluxMZk_<#BQY?-e$VFl||1xWINio)8HYa{$fiUiydiZH0)8mAD_3PW??&pI zOQH5~0K8R~oF0ZK$*>_G88D?`?mO{SA8R#=1vFz>9;C9)n`gxCYOyg9P#^VKXrMm} z&q-;(KHPoJToc-$hJOU!Wl~u=tuaa0$HpJL2|_%V(Upxty+ zC%kPER)r_u2sfPJL*DB_+@0iUuD$Cw+P1mzpBQrjHRnOz0%L&eC>yUJHonAPm@l(& z%<&aLe$_$p^^7;{f6-#PTxJgrLll;4&p`*xv88l>B+~x}7X|)J)f6CA92nj&w)*L- zFED_D${7%)>B=z$6cj)kNqYq#Fg@#=f-d|{j2A0D{yd=vW+LD7Jb}g*fcQw6Z1&<9 zFiW%vlf-Tdv)^o-WcH#(K8DPYfhyQ^pAX1_Bp?gm;#&-Dvy1BUuyxS-TMW~|Fu4+B z=#A-UkFfI;z|N<>DVS!sQf3{}g61U${5Rl|)QT&uIr|~Y_bR!plpuf#b*St|AqfXF% zrm*Y8#CIH(h-G2gO|)8TJA~Ub;mJiuU(eiM?kbc;X>|=h{-%fu4K$~6l-C=HVMp#K z)}xK-!8Yh@6i0~B*3CjXqIOL?I_sYUw!DrCmJ{YxDcRi1RVi14hQ@!zQ~)^pY$>#e zoA06wc_LzQZb&F|_#n7f=b57xIgb{L0F&3Fs!IqDvOA~lU|dz7HOP+(wzGxpU<}jk zgaR2+$rS|iO<}z*jK=nZbJ08)#v}#mBGL$eh+5(e^`N7d^grPBJ+18>sqKIe@HwmP zZPhzCh}Bhp{M;mfivgQN1(7C$zIs1k=lBN-0d|hI3e72e9H}`E|1ewibfr`+bw=6U zRP@iVE5ZQ_TT22VEHef}6TDuUyO0Nc#vp_mL5H;{0>i?uFDYfQs!T&*u(R=m1?{8CcBl^u0PPs}m zd6>OEw=!%5z^*o){kfxub}?OksVnXRgUs3P#P_w9q6CETj|@Wz#Ru3iIp~;vCI60Q zL(Twh!+xV`n7F~x!~Q1*npqy4{n!!JW_M8CrU<@@K69?A@86HKK2g6(OEotIW!5TquSQ=5h zrQD5`8c@H|;`koKk>T_B*8)-GMVu2zU2UkI|tEDjC%+5EjWwXFk{Z%I|tX{%3Fu~ zW1Zvev$>|(zUAV$YcoWa(b>}> zErCeId#A%()DkMH{^nZYL!0A50nh%*^7B`>dUsv#Bih-s@LkHg&h zQ3I?)_sCH_h`C^*9%WG4sqlHc4nPR72wSlHVnWj8h(l*&4qZbHPX*V ztpsXLqu7$#KS}ObW!1xin=+Jmq65X}KL_4%Xj!qEwJk*snh1jKRSXj0aK+fR4f2oC zu#yMOmN7}T{1|L(NS>O9i5cmxwSt=^FaE_Bn~!5lf)HX|+gcCNd_1Bc#0kA*;<{B7 zCIGHPtedzTkg2apPSoTeX9hm$PrhUVC(+FIxg^$~t5GomsOehrIt7L5kt6x(4r7E{ zlkw8}#9%&Bz*QQ1J1G&@w=tTlXVHU2(VaJ+UhsnT1}50yyCp~~8}I}|W13XvOX>RHvpstOVU9AY;&9M+(eO%W;q8>eYQ1;)6O;UN zjxJiQzM^=rrTXANfsrR)dF|>3D=P^raX`7`jaQ#%Qb|JwO*sFiftEAI_Ef@P5e+9X zz;pp?59zN!7;-Ta2V1zv_VX`03i!*CyD!M{0NeQP^UvSIVYZ)V5O}TLB;$3Oeg7`% zsl?#?8USobzWY2+7UKY?w3fh1F~w4x?gY&$iy%SK75RqpcztysCYXJ6^XaY4sd9Bza zMa7_Xk)v?7l*)wy*T@{iBu4^Raz_@3g3@&0SBRTxaq1N|N3Ev$eQ3&sUT*0sA%H#7 z4w4^FK<3(E_}y!mIveY8>NDXeC)37F$)+1p$yXJlzn2SDc~zAlg-)eKE9x3D*XUBo zVMIqW8pVtZi+vq15sGX0%ADKWZ9-HalL-FhOSGmH^9-hYv-e;yx!TQ=z-GQsm6rYY zLD1o#KUE+=S#*F|c9_2FE1ZLT?KcfVhl{Ss0(>!;qC(=CT%a@uW_*TE82E+E0i0#O zTgPhpbEC~&E~aRMC&#X)C}i7`9ckJnm+@sJjBtQug$R++{B@4gr231EO1A(IhAi_s zSPS@mhNNUXp(#7eeL_n!JcCYwRC_m9o~LOau8NVCbiCmiXSkIEC2U;v9X+jj44y_`t-X}NZ_L#Pf{kNcd)2wZX(zhb;!XK!h>^uEwb(!iY zzw-yquFv{x>UF`xcVnyVbjA#Zy4K$ zu!U@jW5?D;E!FXZtr&?cw;8oR)kCygr$)=W=md{3m)4n~~gU17}RxKxs1u<|i7HDXztVp4pVP=-;FtHCLkjBhuRO zGsF&zVxRW^|R9}!zSVyH#2{Fe{fG7R(o^6@`e!?#1zX43q!=vg8rmccn) z;i!&SS3-#jna(zNLX3g_bqAwQ;U*bRr5&0ee)d#M_C^_M|0!* z{rRgC>&i3R^1I#Z>d7I>mT|<9GcUev<@?L&BZqdw=r7%y>65X?`*4gORgMy#O*=GqKdeBTx4`ca^rD-;MmJe-fZTOE^h(qWH92;OHm5qHIA3U2%Qj@{QwdP`bSmk4ON{N1tz}dqvfuY9>W?9%<+?>)G{m#)p93=GGZO4W+OM<2B z!N@u$EXt_Jfh9z2jfKDoFX_AV>CbR#_5sxIvKhigyUkA80 z+DpUvH88cI5s`)7Ke^X$x8a2;Wn-d9xo1m-3gaQfX)p{c$tpS>O354}h$iMMS&xH} zK~?Tlf-STyT13HZ8P%efmJ}gZVT)!Y?NG@;ztxq04!( zU}AjHk--iiXigA9@<{!lB-jj*uM*-YwNl}k@CB4Nvw6P1qN1glamlbVmVNor0*Gg< zz(`IVoG447g`ov2LzUNOdL>urNGvr7q?$j3-h+g;NuaS&VUTlXY+yoYBOaxq61<5; zpuszc2+r~@gMKq6l@ws?xPVe$8v&AoA}J=1urI=$R6?r)Pj7Rm8Fjs?f)Sa=TKs9|sG4(r-9Takx&K9Idv%p);OxhXPwVJSWgy&>J~C z4xk2#8a?F(4-|+ zw9E2s7qM;VhwJVQ!L@MFWG7pRh)|O3+DXp*(Xb~L1Tac1!br%JJ0h~y;dw|15$aM_ z$s$!2@j59#j>3r&!F|e(!~#UhdQ>6F;fq#xuSFg8W0z!#428{*MZvDy1<|h12EkpV z5kpE$h@r@nd_~5qqDbz96Bg2dM2+_a8|_0wXgQ!kKnCc2BB^2uxfv~K*R!W7BENXH z8!``|kdzP+AuYKp^|N82&-(x?hD)OdDuPsYh@v@WMnUfhNymEP6JpCqN+KZ$FIg4BL27ycAzhmQ%7Y20C5D6YyEZ~*o(O&wABrn3 zqPvw;zsU>FAs(Tg3dYb&6cH!e#g`+eG^Ql5P&&U-)I&MON?`48rws^25yK}h@t6G~ zy$;bU1CtQ_UKL%gz_EYBSuT*IC4MP5Y32PcI(Y(l|NX}xsA$OL?cVKz^&hrEg2HVj zNRE)rSI5WZi5#R((IADcY*&@XyZXMN%3_g6WqAHKoB_G*B}xRsCUNNPG1kXhy8O)E zrf3AhCFameIlvfwO>Uc}xo=4A5~``S7vvAY+H`}2(^N030g%Vjg_GE%z_IPV*AY9_J3zSHAx z<0>S<2TxfhVdFc>v9MBK3z+aq-#y$~f}e$f%^HT0$Sc&6ofG@W+E(FS$AwrwD?|5Q z5CF``<|SPKvrMuR=@yF4rN861a>xO2HXE*sWTB;>yVuwL40`p=WL}e2GmNfniAkxc$flm-kzYyPo;} zrBe(#fZfuQxD>wBpbcPK0@w|>DDP!5qtdJ{l}j-8qip(L+b=sxQQk8yTgAt>_g5JG zF+0lTdJRxF@+t}&Yauo>skf`4?Uk05bxeaUMp?cM)H3*26PzG+;2bE{2~?3Hbs?nK9| z-2PIp01zn$0p3Plr|GACv44SVGJo19NxuARKOJD-?Ys1&b+69e3I)W*lrQ>0_ea)rRcJJh-0_Ew(N zRBL6LIQqvZPnnI1RnA>3h=Xm7JKP;&_dyDLfV>#hHV3*^4fiB_WI9qswIp;`{&3)eW24fDiQ&z8fAFTfNlzK)>RKpT z;GK14@TLrDPwP;2H@pdujd2V)n2su=>zD7~ z(3djXQiRE(Mu+V9Y6*Z1rMTS8$uCJg5=pO;2pD5aXAfR}KzB_^G5dQu2PJ~W6#|jT zp>uEI$vsXeVbB@@Q9%9?0GH07_Ug>fxa29L)fD7WM_4m}Phxcedt$fgwePDn6rv*9 z4}S>=NGOsg*=&=0?9joeAS2KEK~FA+FWI@Bd5POet0^Ok@(>)BY-7g=n@r1%>6)4b zZXF@DlMb0%P`W$Pl!EGOo&FaIArh2QDNeeOpm_CVg$LY{}+)c{fE#iJf1uKMa*^oA;t=ie6F=Ki~LfW$#Y}`Dnp6(;}oxh zye%+435<95{1f(OW8Gijv4-ZU_KSg_{b0J81tHZpuV~+j9yi=}H&e)zePz6lbC$Gg z5v}oGZ}x8Y*yfokbf<&drfQ@T9pAC3eZ2NMDgUVMYUi(_Ms~~iy2D4%f=A%bD{Nx7 zRM&IAHCL778QegTD+^#3e|x)?`~FgE_>L~}%Xi|-nBx#tJWTaM>4EmaQtX=8gL}bu@XqN6;pi2%xx~G?%f~ z4}o`R0iP**zylqO=(N_-euoE1nexChLwDIgJIbK$PB?5a&!P2I-Yn3CN?91CR9m4{4k2M=#IRSGtZ_ zec*JCz3JBPK&L?oYSe(A+SIvlgDSu?Da9bdGAaSN25B%UKJEExpK#j`Y6=Vl(yhGL!mxel)A!BMxqG^W8@zPmP`^ZdUhFh2;5)UMz7o zK{azshGg}cRoL@7p_@tw1c!iG^I!^#_DKS+03W8T8!alF0u9Wghg|Affd~fg3z8Vv z9+@qeb3#Ufnft48y1?77J;L{RR}LJUk#T^jn^4m7c`;3ousmAw_<9AHW+F-dBhW7( zAbE^p-9ZHY2IS3+W4GIup; zp!Bz}yjFj1cBME>B=&Lcv8qPD6o*p3r0Kd?4>qUi?=Ij0zJSFBuW&NlXZVR=gs*_V zCk721Zwa2~fBN;0W@FFWmkgOV)QoJNahdW=W?=B|Y9e?RY2w6Mrg3Trl>kkVVDuUU zEp=S5xfEE4 zXSnzd1dof<#a;`D;3u5cm*k+U<}#kr9zwmBUKhUqbeiHmxM7h?_YWLS#q;vl)8tA5 za$o$3?XlS766fz?p$_a4ui~zRMlQ67oqc0)5ckAzr|<-DXn=0@H&Q$=Mbm>lMUXA6 zFf+6o1TszF(|A*llm0z!B=WC|T9Y`hUYIG*OZ4uG=Mr@YPYK3|CvKEnJ7kD(rzQBp zz^96V=r#0#+~I)G3>*Sr(9E*-y?eT#j7$9x#XBW6apU207 zNWBzD$^kDa*~|tP_L9nKjvWX4O^hplq@@3-aU4P|kZ@PpLymP1=l_9MmIMMp^a53j zACKk$xs4tUG8k%U&Xs-1@UC^T`I0yn%aa4;Q9D2F;zm>zn4pHB*($ulCL+6WRZf_c z3ce;}0iK7v2dodBION2?ZBSB=)~-M$ncWNuGfRdC8!upi5nojx#;2VmeAF&>&U~Fv z^0rT=WD?4$(?FNT1Sq|FBYgpfHUv zNY?i|IQKO&Ky6(V{2tP#%JWz4ne2b;u7et_l8;&AymuwC20Cv}?xU zyX2r!sv>F4V%KGRu!5<{3ZbX!N2YHk2E-Wt z^yRs5OO?}OD5IU%)IfUbqS#eS4XZj&&B zHPs8u44dz&aG4pT&sCA)&5zkUT+Nc#GX%`Qz08 zV8e7&6uo{yJDoONNZR&%zB9Zjj~%t(OLGy0tGLQ+?%*Z=0%Pvhc$_d7ZLA9h^X z)z=c!M3yvEt_}ZldK^t`_FLK`#(SsvBlx4;4%9agp(@Mtj6X&h1GZIFAwyNZqhZPa z;$Zw?Bx}gIa03>q;v;U@Y{lAAg#f3auQvqjG=TxQ-4UpT9U5?tr2knDXrBunk=I1G z!-7REF5F+t6XX*s_cCmb1vNp3{k)g5xKv&#E1R3mMf)UN{z`YP;;P}{N+TJ#92-M} z&^#)0|A=r({)5TK)yb2UkKe;}kGv(lLr1QKV`G^ihxPK~>ci8SzpWW7%g2+aD}#VQ zc2ie3>w`(B;^dO^V`1WY*XFiw=6Gf-F-Z7v=J4rEfoR8fUratuUx3Hs?iryPvi9-s z6Rx0t&Y8Kp9*u90&b^s7x85yGxz^{B~ z^>p^BOEc)iwSC*&)8g84zSD6#?}~{vAb9h6-u=KPvux$qz>kaS@_2h)o*APkE|;nF zZF(NV6yW!8Va4&Qd%oIQ_LD`-`MBNNt5^Kyl2!U~Y)|7|9jo7&{qA&a#JW+|0u98+ z#pkPU^vc|oX5jmD@Oskcwshl`YL2;?#q;8m>dkVWqA3?s4db0@*0#!{Hku@WPM#e> zXOp$lSDZPa;N)|^y*psj%6V#7B~dQPtFyt@WPg~NU%AlbE7#a{vx+`4X-pL=Fj)BU z;qB+b6Q?8k8@j2OT{6GEJcwjx={C~Usb}wH__;Cp)p6?3t{Z%KG(7GyfkY1{lS#E2 zto|&0JzA2bC%I}JerwdmUz^&FbOPKG%f|L|thPV;&r&ZH!#mPs`XLyv<-6B%gZ=+gG;v$$G z`#`=YLDp6M$9>CXh}{ zh3142&rio0zf-?O!9p4;JP9)EmWoi&+~J&v{XC{S4l?7GhLG1h;QS5SbNufx9Wa~# z44?lEGXTSdPwoVO%XGnxFYeKHY{rs;V_Zlj6H~T`B@T!51 zRAv?PYyLO2+=h>5e51=foUI{1qhX z^l4%LVT8l@<1nav;B5cwhWBIa)yh2efLCL@?d9t}tE!pBvE>UIjOr3zPaihVH(z#chp_o6Kf*Uo zzXxAe9~Mvc7v8qt*K6Llt_KLpL5DNGk!`5i)8XA(3iQs!g9=mG3L5(E`*0r5Hwp^n zkds_AMK4Y47L*@5`!^qKe7vPluh;8MOJif151a2@3?hivR6Z5=ujC`6_@{NW=mf_W$%M2}?U;C)knkiE)`YHz``F zXtyzj$cJ$mdg%$d2^y(!$FUIx1{pf$c@_?4WlDLvQQ7g43TfJr@u|96X~Y1=29*k_ z=L*7!)k=|v5qbR;YcW?NP?fr`1}(!GO3&-X(8PDwBlu1>SXoYSe4*^yNqou8&DUKs ze>!mLr#D@LC<*Xt8q@&I!+a z`{e;0wV%}Nir8~{XPYKWiXUd=r^32MpT(%j)h5G?&y#t{pxmE21o*W6u3WaUe)-*Q z_Z}Yt`51F=;f~=Nxz&|l@Q9jNiN6kUm7B#;uKim{m=V10*pG9ZV|647^z3h2d1@dD zK~q9bn|g3K&AD<_fNHO1-~({hZzi`&B(&FC6G3@^YnbiUP;91~!PHuq=4Vu9j~b^= zI@;B3{mXWCafR6wGW2$SEa}9kH#uemS?95>_6ryw4uL)7&%A`Y3?1hXb_(?>_%G7) zw-cE2SH4$gwX6G^=#0f5FpV0W>hW$tIIQ%=RZFz?Ldzc>3ra4_h*&XYJqYW)sCPEl zw`eYPF4+B9^{|e=sRVbXZua0C=HFgM^oIm7r+Xpq8gnxTVJsPTmJv>@y^kabYa_XY}=WMZQIGjwllG9 zYhou8+qP|YY+DmsUqA0Z=e%dF@AO)~?p<|V`>uQM>ZR_By1f_@k$LnZ8tps*b2o5 zIL)euJ?cEkoq6eKhBtAWhJ&|L#5;i1g^|M}t6SGO>dl(k$>V;yK^BHS(V%kC$G~Vsajf?)Ni+>w3?O`-m)~x)28zm%17O^8;-w8*n zJO?NoSWurRQyk_crWxMzbY?>D(}!$M2hpO777E~(73@i2g8iYU3&zZx83Wfj`L>pV z^?ppQ(X6aBQ5g@J3X7?0Nh=y(9A@C>LmMfFnWbP$kUy~9V00TvlD7UX8$VG25!m=) zTX0!BFj2)~dUl#8g81#?{L5+38OusWvXqu84SKs?V^c^f>6*6Uw^uIj-5C>_DzkzD zj058({}uLiVT_SiCvS8K<(|0e$2-#cSk}|S7BWKc1@hzVnU-@&gS}DW|}dPQrMuk)*}7l?4rOV_Y@6_y~FGd{BTF5#N|X6^g7Ae zZtNkPztJJ`+S!w9`sdiMJ4}h-ul!+-XnRCR396z9M)n2eOXz^Bcodti@!aLdayfz! z5GdqbVazaOzvu2c?BB4U1rD4+iC_>5@3gsw=q;kFh}R#8`^#bj}!E6I|q zPcJ%mI>Xy)sRiNGtDnIO?h`hQjEDcsQrjq*O0~t1UiTfQ7u9GTyB8v8e9a1GJP}3Y znJK_{D&2y!vwT|4#eGb&j#(5EjNDMR2e>6M_1pb%z(fMuFx{6GZ?)mIjj3w>dyPM=h;`mAl~dNJ=?a?+}!-xS)OiU{Pl*}zE^xwgHG_@;$4er zX|g*}=R=6;QH+cRBfryGg=uFBCxQQfC2bn9^X2*7Z}EbPF2CVOr@G1s4F;WNo1^i` z*lm-ywydly!Uuou&bXCcv<-GV9!m44c_fN+d!E<`PZABguid$6NS#UlcfkQ#%c|=N z1$MV4g89YNj0Ltwp#BwbhnX%is`C> z#|=|S#oE*M8KHcL{dKi!G?QH<@XRc7@(&c8i|!nYoE+@ydw5uKaDP5Z4iwU}s_;AV z^wa(}*0t9=+pTnohdM=422NkiBX}x*%QagIjhE}^r%dsB#M*YZ ztj_C`wLaZVCkN7|EHrO&fc{BjZJI`@i_ySYq)I)~_i1}~mOhj{0B^y*a*jM|(Izl# zZ6pdt%h}wPUCCNBx?k79&lh)swkMI%$;bo`Vre&7S8-Xo=f%sAK9s+7OnpAAGK#BH zOy69K-P^1g%t*XK)O)Npb;7PCn>MzIJghMzi{1~KS&wkyR4Cq9`)iZi=+TeS-l>6g zM>aw^$pIC`Bj-j=eQtX|2cl(QFFD1L9b(7@dQcZOd>ppjF{c&yn@t!VUqqIa<5KUq5SEm+EVQ~1& z(y{I?7Ezbt;}v%|c2`aOq@(SeQ4e$L&!2Kw3@PsxaSby%Mg)zj|1u@eqAyP{&w8m< z2)v4&zL^rKlA!Y&pgAOu$QE1)Z5(eXGig#w6>-v4mWH?&0bX5FN)N`BW!41H$uNx z-CnS)8CB%uo?BSiS@6Tqm^QG6+`1P{`fxOH(p5>m!ySoyjx&E%Z>Uir8;!6vh!Iur zkGH5J3rFZ4Vp>dG3kB+49yK?WV$uIC<%}Ba@{n}ys1C>H*jlcAsj?K+Ww>=}{>gS* zxu;iIUd?P!(gj-$-H*ipFl818ywbO%hLu6#&Z&QjF_}_FcY`6hG@!gZXip^^*Y<@i_t*LUj>v6B#Vh2U z3uD}P2g$4L5M;#fYzBR@-lXG)(!0*x#HEr2@jGuz`B{@z5S?r$LYhQ#7(ET%G`d7{ z7HB>4Su}l6#*WuVL_#lCZPI9aW=^G?>OssWGBr-3PJG4E_6?Xr;!2NhQ^u%Q+8t2Q3-#>#cFij z4@fiNjh%c#FM$(jr0s0U$SKjE>*x1Wo;#iPc6Z8>?kC)sd z{NINDCp;8TsuBj)ux8rfw1A<)2b*}6Fz<5DUZS-@ud^?1iQZLr| zLb}46^YOU_;{@2AI|wY>xdF6}WVIGxx1?0}(`)-M@8tcgYGAj3IklMsBRs)p3;9n3 z&90|6<_#OH^z5<+?y=wW_+UIbP5YED|5FdxyXH|naP7cu)-(lnIRN(y>JaxR%%l4v zL+7K=7GnAEW`|A;YpiDbTaj1SBFg^@o#SDBIp4YFW9dzD+F0&z{40F+>$JPu>4+Ww z;f_8YJgw(**V{W?P5Vdqw(lfh0MIR(XA<`BEO3VFbQ9dGD<4`QbOu87ITw*{1rTf= zFvIGy6PibUYAt*+_%)n&khc=R5HO8w)QIvZz+`bsa=HnXZ48J5XJmGHmH@!ndce9+ zpL>BeL3d!AP6EDk0u{vcR)TUu8Z?4)5V9+U6hM6iC(ur#0u;nIYC!oB-59sY}}iJ^NCT{r?5!e>PD%tg%6Pi+J3A-V}~-i7SrI&uQ12@$s3 z1#bxRzj02p4ZoEDjewc26~u1ViH2-Fgso4IQ@#Ab2fMm<*tgEX8QL;yDZ(FUu303iA4Exfyr}^m7I&BNVEd>Ojii$( zP#m*JI2$6l_m`UW^e+6fNVq?U0{M8IY~aDu%%R0Zyb~C8 zr_eBOJ8q$ej*m{Yi4p1VzMkvKJQD5)uyCpZY&8#F^mOoRt6_Jvuaou^Mt0_xz4Y;- znmqv8v(7)QMNf?G46D8APnD{U!q%UB+HAj5>~wZI>NO`}wJ*Ls+iYt~s~>xKQge=> zs}Z|!8kV2Ko0`AgM~tg~SlHea$2V{5%rAFvMh|Nn0koede_C$^I^utfYF0%6=(^Av zmYs#Yu>1&#TJOR6KX;K$>L^4TnQ;DD8V=&)B=yR{JU-0srMMEdfVQ+C zvDxRY9H@j|IoBbxwaf-aDU!C#{!6=o9ei4Qhjg&X?bZ+?-Z<&&&hvcl!T~z$zUYkK z2|h4P>wS^7K?pX?In#!p*4#-gwgo&V_pTc8fu5cVA(QvZ-v5gKh=WF+xIL&XY;t|A z|6ALM09^Z}^Ix$C_CJHne?a7+8e0$px>9yJ}2xt@Cy34gNBIzq|sCy>k9z zX_G6;7uMyg4~w^P0(hsI+&DoF09I&+1J><9-nCy9=$swS0{Zt{1Fsst(N_DP)&||` ze4qGXeQk1spMjaIeX;;E0T%>~xpKZCNj|!|$K72Oc+Z83xoUhj&#U)+`oI823*=S8 z+BgAuSB|+J*K&iKNwe_ZW^Ge>=tkEk>_ze^)PK;ZDai#x^#A9H$M(@~&T8>;zdJks z#rJ|^@!~uy0k}EIImtuz|L2Pilr z`!5v@C9JPaXa4geha10L0;C$~>8~kgX#am^gh8JBd#xp!$r!=$T5` z;lT=nNWcV<00c<-h1>0AQe@+YMl#!}TFz9O}YH(f4)%822-&oUe~h+v}eiR~oo)v>g-%)_f5-AYef;(IX*H8+?os=aO7O5LG9 zuGZ=wT+$R37~!-ow9zwi1w-~_n5oS#zzKMO{D-CpYBLOi_Q z6yK{y)L@1Vx~U>h5^ZcNZDG1aK*TAZeZzCaHcGYJ1(@g{=PL#EZ;VJ$s**!^d|0mQ zwS}t+MKnnd%@(D-Xt}94*{)}o2Z=GZRUX^1L-rmsn76&~bEUJ*M7?X}9pLw6w6#60 zuGie4wy?GE^}qsqALuhTspp_Q>=s1wzP_-u{;UO_Z9FaHuaR19nYzJ|beU5L>&V#P zpF>U_l3X^tzIakwu23>Uy$AU}-PhN5(@g4HtSzuOLRQZ3L4m_JqMB`inFQXnInu=U z{>+jf7{&`V+v|5wSb^xV|4;)qQ$0WBQE^OrM=Q{GFBsTOUKaA4p&D3}4r@DH1$ zvhsezTW*0&fC7Eh?btBItcql{0Z!UcS0ph<1zC2#F(RahSjmX=l9THAc%){*j$Epu zoc0sEqm#+v$du!OLBxrEkrA|ab5V3sg@5VdBSBV0i3UX7p@z}#It)@Fu`)~$tLA-_ z9}yiaoEH#CjQ*ZNuDicP#Ezpf02Pz+)DoG1=b$_441%GC#|76o1v6?SA3or{vo0q@u)V<0!&gWl)9( z*2LBkQiMtlX2L!SCRG$rZh$2Csh2EjB!Noi9EXb0Nd?`pX%f=62_MqMFcA)$=QFk2 zv!}UN;KK$v5w6}6AX*XS1Q??iOTq?9>hKceE1dIqp>?0R9sK}v~D5uB`aqhLNf~=<*p#%_j zCHYWbw!=XC0mDRu6ZTPZdz91NrZ{)Zzk)2qS)l}NprxUcs&qpy8U31Jg4zT7=yz9? zllHbaxA1jARs~RA2g=t#`4uSpVjms$L^);bh;#1&;IoC8%s9}_q3hF0We^A?3`i7^cpxd}d2KhYN<9L=l%#+t1JRuoa-9BG;Ijwh z5eV#cfe#@NW+37~w1C(G3Cs=Lr`Z9f3dBnge0bOwDw5V8`)Cq~IS9i9GMH|1E>s(p zAew`cAH#0Jhr>2sxzzrbc{1xDS0gJU0+LNQFlApw2FK%W@Umk9K60o$4$6m=I2 z2{ZuTD{(kL11r#A12pUd4XZ%IS}-ue9|X1wjo_VjFvJ|$+x;c+*Q?5?J6cWbqvtw? z2}TpW#&*zF7x_Rg$| zUGqSp_M+g!X+``Mu{P??dK&@b+6yQE`^xVED4YX@Y@iTjfPK{3%P>*v1q`_Z44FJF zw7{zcaU(`?+02t?mRL+i>Usam5*(^tAwth9sRz@U%hJV zSc_`KX>44P$l7W951Dh;MZV85*Qki2HTo+hjUjE;bH2ziSFo7)l%rirWcmSBhIAFe zj*9Y>Bf_uZ41A5>v(Sh2(folEnj;Bpa=&9uxHEq>iPU*i}@6 z`u$qa9O;qKS$o@cY-(u%oQ1F)w{p%}vLg=4@I9+S%5lxM%X`wx=9Zqo0goopqXOs|mU3*Jrhb8KRzA zGsa-&K-JN;XewISe-uhO|2?R}VArG_Yf>V0&ay9{IOYSAqgT^BVt6~!y?yR9R%?;?^@)qsY$eyroJkD9o1@=s%rB2#L7bgEQTc8e8Pq}DC ziGpKWITDNt(5vLKUe3feu#VN&)k#Z*E2}WG`YfB9gSwEje^35=mZ027{pnSx!p50D zHsa(`QH`7q&oezy=RDUs@hTIQNygKi)eYTh_h37HgteAQ`i3;gWiP&C8hhP&>K#Vg zlFo3BHFc+km2IRo6FZlldUV0aGpQkQ9JQ>Oif-i6Vu00Z>k?~#wPNH_!zd1wwEU)A z#Bjzp7)#sIF3z)~A%T|6aFgPAB8jb?niS=dutRgGbY^TF$%uZ2)=TFUyJ(`-6Hw&p zC*BrVEaN-bCIP&fH`!KPIO#hn;4`b;9RN zR`5=a`Q2plPMm$~tQGh9(k0FGbydh+XEIA8UtsWDYd08d>wpuSQleu;`ZYil|3iHb z5ybXEClnlG>!dYu?cLXuK=u@L@I3#(hJ@cj3+d|Y=cH3=EQeNV9PUG%>8qG{+)GJ3 zLZRem!<4`=EopS=sITc^L)lJ4mRkwsN5h}0vtI_0yXk($TCtmF)H^I-c3$fm5@ND~ z&2**Mr?>{VC;o5g2B?V=4^=zDzpGBUd+8GS9=c4q;YAr=l#70yp7c;>m7R$j;Fi;R zjn_4-nrU0~)tSvPzr#;DwFK+8(3NtZrllTbp>!K-$-e_?eN?!%0JXj9b$A6v;es74=N#T%G|| zIlI>aW0Va>_feX-@t^G$I`fRvIKRvUK!M<^t;9&gmyMR6y3qBi7dNMre?8_cXw;XL z=I8VtAH?UhTRgJ%Lyg)oA7S^2J1DH8*N#1_Q`keGefk~J!e|R!FKM2?7Pa87yy?^Fj&nV?A)jd!_^Cv$Rrhf+6toRr2Z>3> z+}zv?kN$6DZv*VeKDr^SXM>s7-)&=EmKmtI4Igc4mCtNS@-prf0%J0sSF86u<96X4-IU;K73lW=R z;~z9v#0$h=t(1|x@u?H#rebLkm38cxkQU(3aCnWFCfO4i$)BT%Om{wl56 z5X{WI*caSY%~7v&PFO3duJBU+JsR*q(+o|>6vUVRR1^l&{_3Jy0cV9LoTCV9MI@|W zoVdsp#8*yZX_q(Iym>^EfxUJVQc<#&K4?uqlW%45Jr?v!O~zZiDYUo1m5p*Mb;!nU z(uRi)Wc_so`US*--_l+i4deo6GaN}Fc$_TweLf$wF0udfG#{0T^pm$GLtP=8@yR(nuDi-PXD*?#*`ZmN6Z?t zw$S9lU)#g}hZr`qD_20I5V?ANQfdk2RhjD?C*`nAKz4>ywXR$At#xy}yu(}u`8f&? zv)=a8vEo}trB=5XeL;$)9Is8(8IDGyRRmA8dNKQzDuKL#GdpcsSuNnasf6&6ylHBw zN~fSldK8++o;RbQaDKSjnNV1=bJ(0wN#=|TdPzo@@Yhb}WnKjNIn_BLGq4rrz5^eJx3XUPu|!W;8I)wPfpJPjdd$`;LMET@}Lh%_BqW3MQu?t?!@{C z4bPTs1JUP_OM|rtzCgsx6pk5x4&{QUv6om|ylN=`O0U)xuSO{DseF;LW#YxhS=SkF zE0Z7Or2ABd;yL~k+)m)Tap~+0z~>yI*re^Nllw`^Cfk=TRdIca?q~Ks^_8W%vs60& zXa3JG^mFgGybjmp`IHKs)1=duwJ4MK3T1N`-;Wvn9_eiAhg)Z}r?1R&^UB5$0Vm1D z04Vhec9C5F#+7(Qb9mn%;&Pv69?4Tt`w%#u1l1F`xAwnyjEEXWBlgt*=uW!C5FP~p zZvB**THe74yl>N#a+R{)kJBBc>OdK_3iwcgKW432s@Sl;ZZpR1(t32KRhl8D9@51f zVt|Z49F)Mhlb}ETjI;D`=~SaH@*5+YF+umsS#XY2xt$g;g>lc7Mw$517qcQJV zvX!#j4%NlcBj7x2a+~H9+DPlF?vg`z#k6&Dr+x!cFJ5m(z%@KiCQCvC8Q*~MG}2+1 zeXxNT_RUB$m8h2({v2^~p5q$BrCY`5sP2YASVO#(BmWklmzRwKhMsg{YfW^;<;Vd&6YKqDs)eX@*KA1^D&9 zdg`TqG^byy4=+Vcbae+6<`Dd7M331ez{M!mmYvs3D2zRd=Wp$k2_H! z=B+zVgG6v(=^QyICx*pPV9?b{5gOYC%|RL{TNtO@7L$gqOwbrvtzT3gv=u zZ??%{<;CiszW|j=cq70p8}oL=dF7S63ui%PK@G%E!#9z>GE>XoX) zAb?+T@w-O8Ye*j~JVic5!Z(%Ez=yXpEZmA7f#rwCX}+%`o4I>M0XRx3|+VgmPA06x!Hs z?Bbws=a|AvmF|^fX-z-SpC2&5Xo!;_H2a+~lO(tZZ!zdldV;w4Ag8 z-+9u-L6zsM+1Fik9wR);*>LNudw%D;Jr#;D5PJy$*=;Z(`0T7`mWXS=WiGb_bXWC3 zUB0_ZnvW|Mtr~l{T9AR#MeyAHJ`G7>Ri>ffyyBX(E^QWWGcA1%mx?n#G zYOor-uNsGHV%NL-O=!hZ_0_JRCY(Q7I;L2hR_{jGlZzirlj)3!$ve>7k8!zx+Cw?X z(br6taPaZ021Pyknnojj=lFH|bToE*vMD&zsXiXw<*RZUciC{KNXfx>_$g?ylS=iZ z)=Q6iS?aITbzK>-LAojf-YQv_24@#%$Vj+J)Gr|2w)L&A-S#u++3L^nr4WChi%3l*60NihU_n4xroXmgg%!-0{r*N>$ zbR4iQdBV*T6#G%qJ44O(`@o<6<|uA*+#b?Wa?~zi02WVBx1eeJahZvOL^_qg4TVpJ zST->!loHl{_95Vq?$XmEOjDsQf=^pxw^6b83iuv^;4zLjl+I0Ls9_HUT^H1B=nC%F z4V&Y4{(9k$D48r*M}f~V58sKwi-oyT5U5$_^NXF+Nbb-+g?0LgcN^`M${EaHZMQOf zoL|_${dU=5W^3ox&hCI68fm*};N5%09q9HQbLFX?-f_pBD?u8b#y$#Ixw-t;GkRKe z=~a7fFCTMxM{d8*uuEUh&7!Cp2Sd+oL!220zGFu-lP(j30Dr?pTYxW<#@fT1e~Xiu z&urs_(9=;C3{n~!BFK$ruFblg0zP1l&)dr@69n@N8Zh_tPuc`_mLT4Izx8}bvdXS= zols)MKZF?epD@M9@=zTBwI(g9<%mYFSBmnN@{XhG=i3qbfmXN;V!59~uIuNhi>EdF z5evw6^^v@#YBbZ=SgD6(Qb4o}{&_@4`w5$C4Mpc5#lHArPiNKR{>8@%Nz5 zLWL-g$NStT0CIQyXIg(VITI$ljmos$Li-_MX?YooXhPK6W8f@04d9W)p z!ZUQ#mdGTvhZH;2vK@w?*yOK$b?qCk)>Gu#je%%*u^fc0KR(oOLyD*tWE7O5<>TMu)wKLJx8+$=0mm zvg&#`VsLNt%=dHiC+EbB(d1(s{64g-@)injchE1#Pvr`3h>N!oPz8?dna87dRxmT@ zc{nz_fDP3*l?+)4Y6~+tY8iV3N4bx}U3B>N?a{9*YTV|;l!lgPIRq_o9_3*UKM#Zv z<~PP1ZnZ#;TTETuM4n=_RZJMEdp&38Oh_2R>Il0E5{NcCW#+P6c2c}W8#Yh)nK`Se zL8k_GH1xd-L6hMy!P!~7Y%U!t1`&<9t zz2bkzb01csgU34aC|aW3ds>ec4A*8#iJRYp8@g)7YbGO(*^R3WG*V{bC~MT2zj#i> zDrPygpD1PPn$T!rX!AUkH>hm{7u)1I1Hj@<2nDxM@<`tvqpDMywWTJGTTqw-BKG~? z*ZH8O26kLCtY2ketu72B=GU);i9_c4)SZEe&>QqabAPwpE`ZhEK|3Pg!4UIjGnG?e zab0;14rP5)}EUqvdbpwB?-q(nq!h8u`Z7QW%fBU`EJ8(_BNW25C zd1CeE!MrVZ%bCt#)4__~qvQ-XAD2R}yZ5e+?e54T1i#--ueiDm#K-e5!*SHP)4vUB zm|vI%7F9c~g7oVwpFG@Vbtd&TL0{!6>8MircCjSNQ89u+tkj6f7R=iejqdzb=JIH# zRB1xBcnQ|z=uotnb!vrht&uvLd&sbe-I1Kd(+-{R*+vME$0Qd32riKzAvgHjGq>~iHjM6|1W-!@k?L7|JGUE)j zoxA9csfhC#VXJ<;ai~RO+e_#VZZu5xOKMBQ>FvP>5zo1yIT&Xkr7_?6RDgJe4DF(7 zOl4EX+YlLr^5`e%38>@BHKfdyD;vyO>ACc^KdYsv&7q|m|0FQtrZC{(oP5pqt^X_8 zN6l5Fdre6HSd!lAGhyq3$jl?kdDGc*g0sz>;eLkr_~4dMw$NO~!6i>7t3&hb61-D?UjyG`JRTN{rL46~j-tI4UkvYWhBtmq0UT8Ze=Tv)n5&sR)0WQHh8S%T5`9qe<@wwSK?Wk4dQx<;%J( zu_azJt`@>?`sJjXocb+x_;7G47KP;-p^)9>jo?mk0Z6@Lwq|Di_oSIEycNmK_jF}T zWvv?k4K*4(X{G<=mw3WH*jt72%>V{I1?8&O66w4}Ma{gPS9>gBi1uMQp{PyCrH@G| zVv9+kd@08gnl{>_#3tcwjWm98j>l3Ehw=WZ2h2{I-mR|X3(}p5RU@~Y^*B=fIwg*NnvRIKV59%FYE}i(cwKR++AY!x`*z+x@;90557wo0K*;VAe(QNcB4V?=wL9{h><}Zh zp&h$lG-6%1O(g{`{cNFHmuc;HFf67ZOd+FUNtavLR-++$haU+uT#)xf<>)ZN)o|SWaRI!viy*x&Cwl4)3mCP$`cfb;nU_btE6$5T z3#W=zx0S~=4AKsN?ARQ%sws4t1!}Wbf&)stqOD&0pp6r&J30$8`z+y2^0m!@uKcQU=I;<-TM3P`!qGa4BYqN6WZ9 z+-k5)`sMc@JhLlol#4XBPc08T^~1Exp&i1)B5Ek6FM?EhF?iyiB^G^OrBm4+vgVkB&J--Zv)Kl+92>*2T@o+*1kzj2mUAXGnIzGWZ8mfYpy0l>W^2irL zxdWqz;@Obz3i!SMuv+JA-s^&L>s_&mezm`?H|^}J z;7ad%GOxFu#L4_f`fmF8t?|&-G^Fu!MVGVkfDprt0!lv>vJnu|Sx@zm>Wg;uu0b428ny%jsoY|oXypyQt>-*}*7#nwn|K=g*1-tL=Z^A?C}Q*u z>wBFRVz?N1m`!KCU@u5@{-iF9*1DZBsuNpm)M5O%%ug~xELt;p5$2=6<+mpcinSc< zaS{|?M(Img^~^53|JZ~i8C4SZA13&NOkMd(lFj&#Y;5f@4xAkCByCJ=N4bY#3BB;e z`KABRXxP|lhHiJ$CK61XrtCJtMLC@hQBr9@l7luvd3xb0cgYfp*Z3|=kC|0k4O@wP zC349hRALnB_<3b5u<@pxZD4d-ElD48o2FRRG+lpnSZX~82Oj`$U!ao@XFl{tcxES5 z{t!)ePCa5IA#{w&!c=}IOSEw7tf5ZzE19PcPh7zumN^<=Og=lY5owj_j3LydP_UOe zOa1$|kU)D|p-7xo_)daY#7b2kSDc37CETato-CJwftCn@PvYU@Zt!p)%Q4LCOXM|% zW&c-?zHF7Bn^N5CR+_AgP47R|$tpsYrFe$>&^73!PzXuG5dP>p!MGsPUK<1L^#;pj zlXf!74o@SgtMI$na)db&{fYy_=0#=HwOdnpz`Oz%ndg(zgpRNfToH3m_w1gPo*dWM z8GN~Nt=9DH9Lim4uc?bI-iA1 z$h^9*TON0KPdRS)tit%|jXts+5$@2p(0%8KE;ISP!r+n%m55L3xXDx0v#>ZPJ8L2y znUNz$WpKF0aopW zVvhSi15AX6)7me7$LdW$S5RFCDNm`VgMddFv={5B8pF;8sbOG@53sDvIaC*1Ou(TE ze<~^bHYDLQgWb)0ne4-{K<{P{kCG7QlxXJ57g+nP=a9J#Za@ToynbW17ahKnZR5Q7 z2ZyN!pRW=f9oN~g!G^im@NB*~a>kj@q!TyHt$h-TG3&92>)&Z{=8%E~###GM5GRfM zzp~I3g@uiOd5Ekvyes=8V2Nenb0pj*gqCu1@Sf?IhV&*lWgSr+c?OT1_RCG7=!L(i zEX6+BCvM!Z$BM5Ya5wE2M9?_gW4>`o|naY>7=;59*qFS^UCu4QNuL`aCgs@am5?Txl5zQsYE$0%ftL|k)hO+d)DF!h> zu=-7HQ+ZOVywLrZ2)iFPjHs@YgmbYD6{`|Om{p}SGBZ~1Xm<1S&`FgQq=b#B&Q4z0 ziY4>z;`j5S!?S$5 z*?&1WRUFaKb}Aq=RK{0+F(1^*{aj8tZ-}g$^#?oFw>8iqv}6>iD;i{L2O>I%NH4rN z?~J5FvP@BG*0?cp=#7`%&1Ka~9Ta-xEnrh!LLvc@bRdO9+6m3gQ~qHD3y;rOO`bCf znP3>p2BP_KQ@J#Zh+raTmrz;Gm0R*@PKn{3KM*;QX9nLTz8(Wz^1;Ga?~T&oslK)3i6wm)?wEC^Y*)B3=K6 zhwP`+45nBY1i9((7acUbR3z#kCQ1p;mXaZB*>{H7`X&a!ET{Lp%k?S4WaQFOTQ`pU zq2~Q#{-Zsm=F28j#~{7sWY_I^l+v1ijjk#p#kGwaQ~qzNgt*GzOG4c%aMLjlTvK8v z9H)g-f$WjKKRGP0KXY!XZ22^D`mxGqs{)SG^_Dbywv{S8>8M+-iHDu&lum%`XJy^ToUH*WWX@SgsaKsQ3)QkUR?DO-ZB4G=*e9k&6 zhD$ycX?oF6&xIA4sgfY03wzKhnp-KYEAF>+r#fcj8(WT{ui0Sf!df`;jMmcFZ1zC6 zE(9`YwKSFFHUA&4%Z%s*uTRbdrRF(}jg=VqjX&)8M5XwNiJpw?u*G?Kh#dw=1<9#z zH$P`|E9`MbXN6v2n_WL|y?$gMuU^IJEtr-v`goe@O!0OEvlMOHgN4c*RX-Mp$YLTG zMf1pwG7dQX`unpbBL|*7G6_-91h!o;RhU^7C2NQ(DV5>}%7-f=VUg(i53ibq1xt{f z96pm6J}ZzIa)bDlOX>&pLbqY@TDk~jCC(Ya9gMMQiTXXme4|e>IJz*pl#2IXd#*?9 zd>|YGHv>)eZ9G;VFLyAa>x&R7Dq-7813Y!0c6LnvvWimDGT|gs_C$K27T5l~B}OOU zX~j?v?7KOnv%LQNB#rR+t;Cp8uuv#3Tz6saG^gQs*_#1}7PPy{`&&se=>&{>LAu1p z$gZAF^&1=fd!#6s>pSGX6<1-E-pAWJJL+hOFS` z`t=9fX=lr837qId!ZVKB(DPPl^j#|oejUpQ19}KeJsN3W0$5W-TQEVR3XVY;4%3h^ zQE+@X2CN9XVhs{AtD=5RUk*LPY0G@&C0jW$7xO18IrOuHf01_Fb{MRNqXUGz&fC>> z!4ikOIp1H_w^932 z8GGidjH6hOPh)UR{%$L;qq^2>CDiR$%4R~s{!2wczs$isyzA9iCZjEAFdE*Da*rzh zhvvZxTQ~(OvhEZdWV}C-g~e0du=dJ%XF+yS^Ze-F6B4F0I7vE2G}^F!FS zVmtiaJm)c&Ts$#wG*-sX_=*|wFqO+r!lbe1k|Op6PfIa6N(O}erNS7@qJf43bcFxy zeyssHzh%w&HL$s8axZvH;Ltk9T2{@KXTYD>yC9as=J=y}f4gnXybx}@o}6OUO7C~b zJ?|J3lc&#E0`{%0?4#FaL@PzV?gKfi^8j0J#NQGM8yhCRr0+(`9Sy7P%a{_gJDpP{+*f3Wv{nWwAn;l1#dbz+Y0JSU3{5vG0ef@X#e z2@^V>$C=(7hmQD9a`$F8by{hW$tWpuzT(nKh$I({v4t7WOv_R<|BOvv-!#e42#f_0 z|Lc2K8yMZnNr*z2W4wX7A0;1QEpo%}vY2XuDp+87PzYHW;xZC87`C&2$c($7t3l{`1#s=*qip8T$tyg8d%{Kl89pM{HT#>jGO3HWEc zi%&iYON2}%rPSJ;N;?(OnWF&p0O=61Asp~RZ*NK%6I|RMppT2+5hr3bKB>^4^~psZ zrkcJH1F#esPV#Sgkb%L&nc&1?TkD76(WgVQ;}*+n^%rXH3;zdAK(fDq!k%l*jKB7s zzb{@Dcs09So1nwx-@ZRafU&>jt)8V=7!8cV5z>QZ_#6Y8N4yz2q0z3{k93Ci;=Yfq zjF$ZROEF552kKbiYT8s4pk3i*Pk0k58S{s#F^F~m{*CFYTg5-S!uJSGjW@cuNi#cP)h?PNM%PdXUa#CQMi36O zav7`$>2~o1vgUwDiABlI@vvWfs=AUlC5Qsp+VO4hSIH|A_&GOLnHUs#6Cbz5Dd$+; zzdQ=ldycaLJBR|25r?ZJu7?Or8s;QX=+ZLTr&w7K%D{DNc1u+=qHZsui zw^D`+%eyHTTO3;cZLncsl+6hs0Iue?MQ{@bd5m1s29C`ykLmdgUcHE6P?$qm2%a`g zzO44Gu2!%zVX6A#BgIEPKwzTn5AUR3l*P&$kJpQ~B=0&QK{hk$h-9)@^=Kr$lCSZM zm~w*%!g2f+xp++ID1OX(t$e!}ee6l^faEaDm>-fsru2V~PgubE4e%zU)^y$<(Gx)f z>ms@I7CjWV7*9x0hELg0fO4=CId!!qyr=}Vwi zs0a70Hz3{>!;$qLuou5TfgGt6ma(-A7}NDL3DTPDO%+*1@s+x_iu``yr9ZgQWxp+7 zrJ7N;L@Gx3@;^xiO#p3QhQH6H*DWKt{L>}rys>3Mo7>{JqH1^UFWuks7zcseiF5Pj zCIhTlHUB$vWL))(95myoB^r9y+pt+5A?rqAY?eKL^9(1o1}Siie{Txev*v0zl)q)I zQ=MJtL*1m0BifpBF-LpQUp);^mV-Us9zXujjy{NXxY|oJhCkRJ-qQB5>919@S+(oA zm(cg|Lcu}v(RIymuINjv6s_2K`8i%n?f!UV6n|4vB6)iBi}9Gf94iqSM^CH`ghYT7 z92k4-ANm_|FbjqYD%aX=u=fB%JPFkQZ%`Y^Jm})QqtVdTGo+kZ@(dR%*Zit^& zdfcMw2zUS;+k2#XY%F1pgoa?$J;1ZxESl`s;c4FF5MlU8rRez|*52(}x;vlR;Ai-H z{JL}^VW<%Q0-O?Y!l+oz>aPqD=Qb6n`%MNf!wb&w_}^Ik&ChZbdca6yofH!|&Awu8 zZX>re&itQ#qxl zE7LuC_Ez0oSJZ?$kKdp3_Y7dj#4f)BP_!mVxHT6$UT=e2KiceR8b*9)$+RxSq69Rj zSF9myUKC1B8ouVNMhB(ij40;}NK*LDs;cS7UGMa-CR=*l$Ohx>C!OXG%;}fGhcvQN zY1`fW{L1HG)e^8QYkJ|Xa2om*lb-|3TaMPYM>?I%M8tRVy?CrD^$VJ_qVvytq^2hC zU5|5PbOTt%fcgObMgg24zF~(qf4Qx0)jsa-F+Q~70ru57C=o2eama-hN4W|&Ym*jg zPQPz;>Ssi)p5;Mrw-VPym`cy#u;-W%EDp~Fby+Vo9|dt+ql}rs`9ufBgK0y^$p%MNei1PNaz<{p-uXJY)|iAaU1SnjaG#76w)Lqy6PYu0! zFy*a3VoE}-cGl6Mii^UI`PzWMw-bsS&=8iHmjQxHccTxQH1wh)03N9It5yea7&1Bn zpzuJx$5NmGs0;#O*<+?C;X3W1_U&S7)L@$3e009jIeq3QJ+h^zEBV8rE#3F4PuYs7 zK+JmoceSws9Sv$OC^3C#9L|TeUG35AT;Mc8hFp&Sp8msy1%f5pCWHW7%!6&AUiWhvLsrEgo`7j0dkARj77T-=C?PU-xLN}s5@z=7 z8-NeaEq?Hfd>ZLX>r5n0IuRwr(X_IlKgJW-jaDfGjurslHV6(0f938EjaCn?V41!F zznW|)zPk7ba7H8K=^}-y%$rh(`j&mW+E_sML!vE`l38x&PmfUPH8ucD28`ftYQ#PX z-dQnUkA*BS1v_(e=W9mS;tQoj`MJDnsXE7hA*YF1CCQMKDnLKXiR*epV`V+U1I8Pz zx2dnEpBG<@6Kh0n5 zv}?@}kfB5Ed_t+|%+dHN<&P9sHU$hvO9&hG!J601^2&twt$r}ph5-x>7 z%e(44+$aQ8y0(AX10d2GOZkOE-OG47AV?(OrF#2<0<;3OvKg9(Y&TlI+oI`A zP~pcLd!(^6skix=Pxf98_d#jr$x4h>jS9TJ8r5&qTTB{~@P%g~-i6kVD6?;DuK>7MSuicTZO4q2f z-s>$kQ-M$vt5JJnx?6a}Sn%&)7f&%?0>=bPv#8v0njBHrJ<&x%{;3Mly2P&AH|=+T z2PAH0hH9J@>RR3B+1NK|x02fx;#X{FyZ@ZseV<()zoMyeEgW=6Zob^r{ zj121!hiI-^fxXolAo05g&$$;y^`PZ!J8hMkxgdHU(zw}K>f1s_(CKVZ|LE%2Vuo?R ztR-CLaM6!9%)EPWQC))GSLO3J#C#YsS*=T0q!P2_hoE2ca^OfsKg0K1f*tFp6$nk_ z9cpVC?1zIr6X)ot`rWVEk_otege^)}Qtz1rg~OjX1jKyUtN$jVNXhUI+HL3vjTWmi zt4}sSk*8yR>w1QodYKuWL}M`xbCN@0BhgCUA_8L!U=L{UqWSgX(;sg)vw(f6LPp1ZHCK-H;9__`hp(ca#ku^wK zYT@PDxM#h)cad;y+{Eguu~(rK5g%(+Ht*w2GSNDJmTX`3^F8sow; z!W-~dI6K~3Wrj6@n{2M1^up5nZ=m3y*+a@9IpH-_kVNm%m}9|QzKQXmrl%t_tnnRQhY@?ppF zXd<^P27^&KqiE}dLv6iJXOyZ&p72o8R5~>}GUgPOaMEGyUdi+{E)Jh?Q>66}&2P=O zKm>0hU)?>D@1@UpPAWB-ny8(|t@%4~jd!n_Lsu9Hfele~SM}+u)w0>@DnLbfi&=-e zW@dG1=OB6#QHb`3pnBaTCmV{kqzzO2x7#(M89cWr#C7wlw`|oVIMA}9PJka&y zI|QdiDk}!5<0$+T-dbYzlcd``Gv)$VfPA|`t>D9RyJCnjUwQM~J?C4!SRIhGILhXJCv zouBIqiG%l7l@?@t-^uO%UahPJ7VyngtiJ3i&Bd5mB#nWsGkiR9b-YhQU?z=2d{*68 zdpTfiUhTVhH~GQXy{_mj#_L0Q!zeYk01<}D4pA*0H)?{Vhz;>B*lLar4imk9VX&SY z6;Y%H5vBq^wh{d%5H*SdUh4Z1_Qn73p#GUT?PUYld(2)3AuVgXH3yOg%pL4JW&UygLscN|+-4Iru!1|a_wU2W^Pgp;D z*EAH&z3ucbg$yx{-kiDN``!es4ZCC*^N5<`xnMQ<^mT_Qz33@i-224xLlg;KCTz$#n&ij*JqrJnw-fb5e-1*_*E;$44sU{4&V}-<2`+_p9_<%b-$> zc9dxWYR>_D@wN^d^2$kQZ^O-`L?dcU#0=Jnfm0e{HIt`{7-bc5uKZ;ZNY%4#?f?+ue7Q{lbhRB=a*hYMvQDw%fTx#3v?_M$F`&7kq_Or~zL(04AO2x)Ji3K2e~j^=ua z9sdfMlEh~=m&*a2tzmWZCG-T=%TUpz_?=l5^N$?et0M`L>c5kDP*xaMSO`Pxhcs4BEG`z zlN}}6yHTwZS=@6HmAUtxa1z5JUVg|Vtt8Rl%9)TpmdC%!$JGhe9HxMqig!;Al2LOZn^+3frc;Jv}pk|f2Dy^S4iVcbnELNL_zM&em-?- zRgvWu9Q1C|ma&QulK;!sH#h<}tKrw%@w%{&&7+>-MaS@CaIF&IEY7%(i?+WI(w{Oy zsnpznnR0`T9P9{!s~)XF!Yt6&OTSqxM>pW99kaHzDF=#r-xU#0TtXqg1d5a%m2`IU zQ{o-hMv1F;JTxUT>n`W4$=NX+(v$Q@^K2Uo0(rSgG(+@q7PDU|*9|s@MZxDXp0QvX zBQ)!s{aXO{8KPpjs2Id4Gl(~`{|tKOX(xGq@TS_Y#oyR;3GRCT#XiX}TLy;$vNk}o z_3d}&TPaIfxdIT3=o9zA%JsXOedFxd2aaKnLz)C>jn0(8hA82QEOXJ1Xv6zz!-MBS z9JMW7f43oP2sA7$*P<;B2~>DZ5+GBaxKTQN-B8DyQmji!O4qY#vnF_P|rB;swo!^VWrt+Jy>^%z#-n5 z&xtm1>s7}D8yh9q!CMR3OlHii^kc>YJ`TWLLHAo&!;C5PU4T21Ul}D1l!ss^GU8tw z)UiZH7<(kM-RScE4iuxGQV9_fp!*jFf>7?Y3%zlmO`Ic`&0-5vk-&2X!6$(;9O%Nz zr#hrgN>DlJ-jFua%PXv7Nue zT;dJ}__^5;tsxh5w>U&RU+w3Zq6l+(EtP922 z*z7J`^Oy!GTG@{~<^HA9Rfd(Bol}p`MR@M(<%Oe8CR-hJe0i1i=+sfYv0A1_@Aq9q z1F3kD!j_sv`!KOeksOwA>K-qoE1z89)>`=*e7nT-TNxC(28SLnsUU-?LUST?5(4u+ z+k(J_Y*EK}W7e-qWj2F=`FJbI`J!nLmQWJ{=gn`$sX!1;LE=MfVO9XuQHxw2Y5%I= zLJG1=ABlp&@emt&?32RceiO=x5lRTdWfef1UIHjkR(1)zfm^&g#2*(mtaYC2j#UbC zoWC~N|Hz20!l-{Ux3BikoYv3I?*Ko^But&||8MkVqv5&gI3p7tG^){&hM1+yOE|Kq zI^#*Cko%s+pKCjKRxxCps8((gci9#XbTeV;6mu49yVW`n60fzhgn#6{ApB;%uFCjU zIHMiHDiw8b!rAonvVu8t8EWp}!}pF1DB`2wJw!x;1NzK6O-sM@9(@;sij4K_L>$Jq z^+vbq$?}XZ?FC~Q3s#P_$rhXOQA&r6_4qg{kxJJ3n37Zsdwhn@X0MGjogh5XU8+5Z?779Ev`u58vcz8TJa?UCAV z5TQAIW5SR!z+=+f>L7o|v=r@Sq!mqjpUTNsBO@@z7YX{d#XwjouZ9!UVABGV6%H5m zQ^cwF?+zy9KgGhTA5kgP4ctXzZy1_5HUY2}kq&PL_Q}*!3T8EdnVv9&HojBiVDY>7 z0|UqhyRKTBasUgCY*$F>=+PK$4CdQN!0H+I_83>ayh?i(2(T#Ix-O`v@EmiYquHm79aKP! z*Og)_br(fQKoTO2X~?CqkfqbwZgdM_g3K<@a`j109k~_lj1Uu(@U6`|r4@os8T+-M zjgOrQObV~gGHP%R>mehwSkIm*9a9FG)%kwi`)FT|YZlg7y(XJNNezqeHYIA4t9{UW z0M0FZIR0|5BJ>UrTL+*b*7c$GA^KKYB^uFZLJeUitKd z2UwCS&D0X-;9f&w3=Z5rDvIN;Ff#6bDN%GGM!&+JVx7ld zn+y5z)hBM$z~TZM!T*2!rVXmj$ANd*OPi8X2^AN$zk<4x9GaLS)=y{j|cVy%(p+pSU~F;^;E(+@qO%4pcDqtsxC&6)&w^4Zj0>3Z2L(|2FC9 z-cK+%3rU>;01cTk%d{3`N+F1ZLBn@S^ zT#GOccuUPW=Ae~vaIGMlQjeS2)Js|e)}_$H5s1z_6Jweg&zbPgs*RVNvu3b z0$UWTds1&uZyR%cl>)LqEAbw20L-vTQaadC_%OBV{Tnt9{8i4=CO%>m3g zxR(U-;}naHFS^AeR4?S z@lbN~r%&id51UN>JQ5>^w!TG5MAAm_Spl`_uILrPxG4@4bLD+0V*uj6XCf)=&Jl_$ ziiQrw9{s{1wH++8A2c7)PdhEtJ6}#7P-xPOBS>2d9f?mP+-awyDuD??3JoqD5M#NR?#rqz9>@q`z(Dxi_hUdIvHyEj-9v<5 zHZ9Kq{~LUP%pkLw(1KZ>|HKZ^pb*sX#MRIOWs*eC?XripLD?nG(~M_#?;7H^Ln7tO zw>#__TdvqvpQcdsSXn6*lL?QFP(oep@#1=I>!SO!m$#F9pKByaEnoijFgMfGPS3~cj|dN34{S=S{+#vM-K zoi9szh(=7~vE+hVPl>|C9p?e;(63K<#2bY4D~)_ z;%@Izsx2=NG>+$hC;2d@rM}Q$ED_~nST0Nn3w}^OOZD-m4Qux6DC-A7gX^^ zbwC$tSd6)eAZd^17hCHpX9}wg$ z=kwc)p=c{AHp^?8XR2J{c*~4f{PwAY3h`+7f|Oh=P&#FhPGz0xNZwqXI1Zxcn+9Lj zcdjGSH~aUW7-A{{1kMfMS`YmMLtZg)f`|Nb0DNJsc|^0bF0(PHJYInR8SZG8 zzISNh6HtzC%Hn9Qda)oeiWMNUv5BunO)PM7KQ^g2RVZ7>b^Z#dqx%`Mq2jHpK>Z^s z3~_UTZBN9>g4u>XksK5A@~`RVx`xl}Y+>b^JhNF_@#SknBD@ztW0BRRQ_GuGQho}6 zr0%1kiMH-4Q|=5>t#Wc<1LZ^>XK%V)+h|pq8^1FhXSV@Ko=x>U&{W?5!RADObGR)0 zZ*;zF@AvU`uzuTc!BI;w0;Rhm$U0;Td3OM=-1B*v4+BdqU01yO(uWHNSaKBio! z6s0x@CMx*TnO;B<3oaP^$?fY;qbnlMLmLX45&xw!^9dERAnhv&WS?9Dn|HsV5lYqJ z1?1P`0U*XG05_U+H;X1KI7LK8XM4u_EE$KRtB18;d>ohx$E6Yr(ObdUyO~@V)2H4@ z*hE;xUwn7Czi_dz`9Cb!bf~7Tz%l_&`b6Ww$}W=p!+?>VyHInS!EA_H)h)p*-b;jd z0Nyh6HND{U#cTXgF5$YZ>By*Czr^MwQjc_bGrgBrA*8I84y`MMZMXD7ViA`)_+lcG zsA5oFEs4bx5$ZzNl?FQw!PeI>-C9Vzw0Iiu4203qS@x#_R(m1%qO~3`&E{Za#Ol~& z0`bwCVI-J~XXjRB)K=OE9%6uo{@!=Dm4P8;pe>yOu1{fv{kZl>H&ER+!j%h#X0#oo zPx5yzj3MLi;M-OoI*?y>Je%Shg#4E<0b}zEPsxOMX@mACSWgzn&FfnVMU$nHI*7uu z;T}$cGKezCzfSa2dRYvr*0@7c;-3|_zVP`}RdV#~ z@k5;K@FsOFImD|P$9I8O_->qVLV)Ur8Oe*ZP`+TM?Y?!OU zE4bbYi7Z86d0ZsArgJLhSjbNalXq;*M3lt{Srac#aX%lA57lqHpa==^1rZcqOnw+m zrXr0hYEy_9%!kQmJ9dyBB4-f**QH{VG53_y{B7iDu#Q=+ltJZzGTNd~ovy)~X4A@r zzpqFtFdtT9Z6YI=JT$DL{9wYqX+RdpKgGY7=pg+lSlxyg_PvaZA-shIfWyW&P^+cc zySV1#sB}`}t9r0^uBpON^9E|!7kUyz2p5`{o@lJtb?ETezD$RE`P6F@_2-V8E>WL< z{Z@j(81GWEyTxpu^6@w^B*o)*e7s_v+#o37wpdc(Uh_oh^urbl|ErCF%N!ET5s`n5 zAfe^n(QISH*wepOlkeqiNRKabIs}{QscFqaIB#*2s1Ar%kjPC&XN0Ms3QbPZZ*7?F zsB~gfbXt%bEDPwR?>OUP2!|JOE83=IcnUp`Gs|zloa3#<*$MWDq z%*wF1hK6s@a9aOu+PB1uPopTRtpQqIDcqT2IF}ATiJu$%DKQnZg7{Ly z^9A}{Y@+b^f9LVgBW+J#AVrO0id;zBJ0x*0 z?jh&bN7*xmoARvBP5SHW;OGAa0vy#HyMtsF4i1X#PzhDN?1HaD7yMi6r@`Lj<_jV= zVyBJu%-lo}g281NnxH$F?|JJU8Lj8htaWtK1YY%;b2>4FWD^TRe2pGLmBtnv&($v1 z&bCVX1lN&&t`*m}`-mm;0C=^w44?Z(?=Q^m{pQ{Bs_A(t`H8Xp!TZDbC`GU=oK>~s zY7kz4@H+51U&oO zHL3Av?+pO>rWS;`f^h0={q=PZ^)jsnsl4YFRl3bp{G=b7GG7kAs3#@Zt$0$XBsCbGPpIARQUAv8MW(=CNm)c(dvAHPNJKuzShs0mI<70?s z+?RHpR>4p>mN55YNa}j6y4&EXDz>u3fynE63f5hq?DzS*I1LK(#F|ZG-~KQtMEB@D z8jzHH_|5Z<7a1Rd6$uVw&+hhQ=EJ}u4f_6V{a*VyCDcHUa&(VFcT&YX`nj4|d*5p) zz5|IN{(nmeV=a19or>D?e)h~zHPrz@90Jb&eT^RBtHya6?0saMZ;`Gj&RS0E_vksZ z(82W+FNB-gq)emE`3z42cVHA5PwZI=0okinEepV@h}Aq_Mf8-Qsjk~Vb!{Q!)MsTy zMCIC`LD#q@7dD_4X^gE2Bw`eF2PWJn1Yq?Q6Y$I5TMgr_^C>j>CIT=sV#IAUFg1)# z%k?*bj$fgcfD!TFXPHyIX*bXY#aPGu>X+~$2MJ5c6c>HtKheo6S4JCeXc3fxka;qW zU)%Yi)3y5G8+{)mYYn9DLLBqMggiKnotmD0`?otjMfGf?NV_)hiVXQ^bd%1Hvmu%3g_X~9{ny&r!3y z@AgK!BQXMIdg0RdE)HK(Q&6(_@^wzx1>qX=yAFn$Oks-KXYIlFfWBnL!Is;>bU|w z?;18v=XC9OlvH*uw0%4XAva|L94!@}EkP)%DZM^^c37B!03zj%?!LkP0zv7G zxxXnk$)aeHr2e(-z-Yyv7|K|p91tKVpf6J)>A78gj!@yBiCS)C{u-Zaa`_+WzrCp2S}Ge+5~-vacH$Pvy9+^HOo_9t6g z7zAgvJ1a(4CAoHv>qCyKmMhQCI&$^@6QgsFAM@$%(iHflZ&xO`(Sk7W!xs>6ZW9L> zwj1AOa2_v7K7^pZM?*mKdO&3*n_~a4XPrRfoi4F|2;>Rn^cXnh3me>KoqwJm z;iDRMUo;_bK3hwOeNpiD`=%!ekQ{PHfZ+Sg= ztWNAyjAIs{Fs59pSL-d2?IPO*ND3&BV^C)(2$GA4MZU2d>Bsk0aiXw@-v-k*9XRW}kw7-52$||&c=;%2l(Dycr zT_{HRJn$S@drR2lm#I`7;*_{b(I@BhE>0Wflb*e4?l5}S zwSiG*ehLvRuB#G=HCP$ORJ>c9jSE);jshe%g4?Ob!J;nj&yF;V_eJ{$fM8#t*8E*M ztg-6b2oks)SyY_j;0LD26c9m^re5<>fdE9Tqa^t!0jpCgQn{}q>Qb>~7M5K{c(ux6<;&_?^A#{?eR_m>Gzi5R?UoaTp%w9t6lT3IiAAv(8EM4S#TU zP;aig7ZX?3d!NJ=TssVj3q0Srb~N6_=5~5{h*Pn7{FS>WjU4o}Rs^dm_@lu*ENeT& zG7&DjYyToxol;qPS)hwoUQ8MBo4(3#=qSWk4sf;GnwB0QuRqO4dTzZPt2#}G>73oc z3!-^|B>C%kU63@W*_>vw3bpa-_h4#Db1P=BpVx^8+175|`^Z|g{ebgr~2-jPP z-GLqR^1i|rwUP|H^A{5FexnI(cXc^g3_GC>+VyR(LJ+ELUy3qNi)1tOk# zw;i{JoAyAYAaC~>$eLSi@r`WfO32G)FK$ABYoJ4ImHS1@T6~WgV>Hp$x%z@2bdmFE ziz@7B=hwW$9yMqbpB&;o=*ww!mqZVpt=<8OOoRLKs=%zjD_qZ$mW-(jbCw1HdW%1R zt&cG68omK{mH^?Ogt8LH0%Og=j+tJ%Td7omkwIRL3_zYw(YoO%jr;jkaNyjE~swr1HxF#dVW+vNl3nPhEXMw8LmJDbtpl+jK z=8G;d>i9KmKfAZo(DAGaz}HCj@wk+VfRE=Nd#Q>j3LpIuoryl{_Lg7(XK&vvqlRv= z2ybAd>$(_a5ajlkQHFLU^K@6FgCUyM;LNPijGF`C1NwMRXd|V4ESt6hhH-CnLakC@ z#qC@li$$Bl*><^fwzb8`6=kGwHsk%;>P)p}p!ZTpDL4oArT7Ft%>%NpY<&`2PZO>+ z1T0*k0(4xguv#6XCvONAI~!V{c*tgw9$dj4C7Ry#PDyCrFm1+9^6mij!hG_4?Q}xI znHp99JEMFX9j^2d_TM4(?^!XF(fR_>lV%d zqeMj6ARi%pR}zUgX!-a9$?P<_+Dnbuj|&qGIMvz^xNb7tXy@`9l-4^65va-zqZ$hDL&Z=KZVmcCT z*L#>fbm>M>_&uV<=)a3H;IgXpsZ{9S^Ea!qIZk!%1fU?tsAap2Va|NZwc%sRKli z({riGfLhWoQ=9uKM`BN7F*Yl{s5qArw*yi8AFS}Py5h<>B&^=*YtmW`+FG8E*7?p} z675-5As^i_9R3tH2weXPT=Jf4x$USeEKRk00t~m=-0Bb{8q39RrE(vWmeB7J8MLaF z-tG~airZ)E`H6anN`0@%+nG*g+E>gFKTYqoEoZt-Z^{}PS`Q$?)HU7X;io+d9`%lf z%m0Sj{kr|Zu{B?bm$$@6b@2P3~q4C*d+&40E_ z;tkSWzB>F9(4=|q>Z?vlFLR-Z=>=KB}_Z> z_B&k%y72b(ipUe8?G*z=faNgV68{fkfVG$5e%UOvl21`aR_SG2>#ZM71*5S%l4zwY zdo4Ixy83mmZMU21l7?ON;1K&bJ3Y+<{l@A5JHY|+ z>=mnE*>YFC?{5_x$9*W;A=i$)smZGi@*aRa6+L6xlXDdAf|%6t_pMxO3a@NR72RL= zruSD>$0m`}3DwA7?858D0l}E}QCm`ya(G9d;Qhc8>;T@oq4fmflYt+3|5b&H3aj?+ zCDzBvQ%T%bcZACk2)mtctIpGXk4ol$^RR}+$ojcnb7gQIe7w4N)1p+A&Dcon<=EPE zA%ulw=jH`ig{DO~ajcO|hS7rgAsCy^8JNjR@o+QF3f*j(li_1?6A^Ny8|}c6jQiEy zjwwrdEqJm5Jx)S@L$+IH8a&Hv(~e)p=~}|4ZB-|Mm|#-$?s`RgX4Z!g69P%i*YlKx z*TzsDH=!!eDM}6~oN#^gI+QhtmnU-$Z?cS+~;gIQzSd3>x{qYSgVL{HIRD z0Yp3oAcnkamW=4NdH!}RuGAfz=w4G-*Y{4M;-{w6@ubsG>xe*YeH~=PKS;c=NNTn> zf+&}ue)HdKF@0N%-{LH?>tM>!M_zsJe-UqvQd=qh9+D1BU;Z`PhBQf z52|CQ6%$%y@57OW5v&Ze^&j{7&ebtSMtRm0a}#d|db7?o_T!0%vBoM0Wf)KeM}qC*`vJbMwkE1Feu;u zX>Yn`#50=AEmb)jD4myoYBaPtJG*icn1@Q-U-22i8Y9Xh+r3n399R(imjIoMk|hi} z;Fz6{@J&p(DQWn^*POTV{c4vkljL@uGR;|$5}ElNcP=3u54b8r=sBi!&=$JZGwq+u zy8Cz;AxDKE)KA^iX1z8htz^x7{IaYH`nasvo>t5>yOg2L4*ZGrTFGxJu?P%UO1lmK z0xR_WXt=pzF{u^7?bSq35#iWiu)~|O_g#amBmb($?Lp%FVMbk^7JHcLk`sof>U+gjp65xugi@vI)#1wxHDsRd@F5&K`V7u<-(!`S`xdTYc z`2R*FsmBd$_K3MIp#ov%Yg!D#LVW>gaS?)yt&tn9L)-)AKqsjQ}x$egMuKfOVDZ{xmgCEJstfLIZqas^yqhLgIkaATfaMG z&WMmrxW_q1G>qu>0dS7~8=5K{!S}L?An;ZEa{dL}Q2=qv8M2t;UN~JngnVAAY>s%) zqd6a(Ms>e(>mn)iS6QOj*zu5NG40l>!jM6ssx*1LFSs1Pk97%O#oG zUf(GusfflHD4kkzwm*scQMY;}-%+f;5tvLH+#{NmlZxi}#jPezy9jd$(4-8;mSdIX zeQPmO89IHFE~}D~u4hha%ds6>vjP+o6viNF-9Hz0M ztv<*+9v?Jy(4@s(j$JzxByXg?4zXi4m^oAuP#EX+aCDL3Onju@$ADBxkSKUh{NHge zMg_Bowa0;|Z~4u5vdWut&jT7ECI3#1sG9#~X|Z19ueu(hU6t{~iqODN5%eoxNdCG(V` z;IoZlehQgHSJKE(J)22lKJVpB#1ddolXKK-;z6Z2sH!$De_efAkPNo$_7?NZVJL08X&l>z~7_2a(O;$?f%|v#z;F@-Yrh zWoZv(RbA7yb5V{_Y5bmC?ccZK>QMp=4uHRJapf%0zB4Ig+m`&LpNlUHD9=>k-m#9mN+A%9PYEq}C9i zL0Z}es+%0?Kxdq`C{pWF{{K`us*?7rNeRMDre=*EQ`4q{ns;lrz(Pm{9%IuJ0%h70 zK==T5E$udRyS04nsT)Be`a>B~J?G&~mLw{~#Z!vB`k7XXhpKK1d*4y2uVsFT_3Puj zPDui(;zSp3SxSskqRIr7H~iAJmegOH1V)WFKlJZ>t@Q@oD_(oLQM;h`PVpKKM{XC! z$_e%=gP+FxUr#|m(Drp9SlsVvA>D?ow)PepfB$xY;OuvGuXvOi9@necPb=lA;Xcb;=-93xiLr$R=Xd1A``A~ z+sw+cIvB+yHWA*RHjK#4m1|%G@3)=sAgmHF;|{MmP22Ybl#!@#_LlgAX#j|daD(n( z$de(WXNv0X9ON|2&9P6nm29$_-&7*uMGkk@7ETn1VUivSLkw6Agf08mlnN?Mfx7M_ z#TIt(R&`QcG-wflvB2?`(_AhRij8KjGGfUHYiWp@BncUK4hgLbFs5W1T zO4`^fC~LCF#?M~9`pI%Ne}Avk?G(!q%vZxyO^AV~T_VP0M3IiMN}aY&9Wldz_x#-v zS96Cl4ol%193EEMVzj_;iiN8p%9MvQS@O|{;HX+((QJ^)!4MhK+HuQdIyDQR@u6FM zgD(rws>XG95AqXoDyyh@ltl)}NtAF7GUv~P=Uoy_MuZ#U?s7ps?h1!j8kCujOx3#v zg5RqERPfk&V25fl2S6{qJMd0z<1neOBGvKSOyVf3uaHOdQ_3DMI+y5?I;5T@~uF&zKPH${{$jOt>d6k9FQ6jVZ7w#=gBf% zn>B-b%nf!%uE;4r$IFgW^dFCA207iKxuA7vFv^EnIiuyry4JF+I_ppd(&}HD&%AL7 zCqr{-2F=!<{p&Bmhqm@TJM_@j<68MQZ7kK^qlj$`Ewuz^mE7BT;CF~N?-0@HdCX7| z){k?oip2S&v122|9-7CwA#&DPeVunu6WbTZgY@17q)P9J5V{~mYN!F}5JKoB)DRR9 z5Kxduf)oJ-p3-~opj4GANR`f`JWxcMGy(aA@%P5xW8`;t?jJk5`P~fP+_8q|{rXvU#KX zJYw+^M>K8fap|{Oa><2VH|9|?9z;QZZ_wpgtXgueXjzC2Ic`HRtPZ{1z&f=h)&un@ zgER}cgz#(fl;{d2Q|XCJE?d%}4%0)3)(3;!oGVfCdnOebs=b_j(-KWCTr^3<&EF*1 z>E6aN{w>g+Kx6I&Vj`sy+z2l^(prWqehIF$wNs?bx{|t@@Mf}p=0;l9F-rJpU%N^R zHum$N26q0_Aiz*K_w=sbLyCLSI`~uBFzMqs3qo$D73~T|_C?zW3T_~8n?lgoJ=*Ej zZ7f~vegAIH0?pt$u9C(Mfgz5(8SIg8Hr==g9#YGPIxAA5j5tr|wKNKBd*DzN#WoCu zqMJ3L!b5=yWh`I617i+7qBi5$$=O4vd~Tb&I1bZOcG?eE+jVu$9+M+b0a{n8!*7;; zmSq;DNs6vd=3yISq?Bn%)T1DC8*lzj0dtgv$2FDvEqw8y%zKafN4DRM6BefZ!0IgX zlpx!*Qd9LHH@*b%rx|wCx&<=!M*5L}2JRJ6^+VC`%I_u1!MGHLRmrqRy-f4(V%F#R z0S)Ec}R@hf!MWkI9O4?IcS!oUUw?T>o8>;lUDg-kG008@C2KhR`#ohe8ka0;i zUQ-~3hnvSDn0S7p!Xu}b%b4~W+y{2oxKqJ;PZApz#w*h@a)utCDNVZ)dcwhtT8jtU zK}x|%`I3fa0&hjcg%8`2jenKmzM&nP`3P7fUEOO# zszypRo$KRak<1X$Yt|n#Vl%8dh5^Jt1ObyJlrIspQW|LLRibmCIif#zk`c znn@XoXn2n@^gb;>vozu#%IPb6O`$on$=uBbus&463WL}d&}YiI%K1hxALXho4KbJ7 zTM{efkJS=Tm6)#$fN&uVI(&c0#KAW7>~2UREfCU0w!x#zIdTP3WhZ1GjpTh|ce=uz zT-(t_RPsP8$*82@;j(OqatA@}S6*jOEL$UdZ1}Eqb`#ghifi#q4#IY1TW~;daI;d& z2F0qh$7aR;U}S%o?E9a@FUOv)FdX$Nw%lRp9*4vB26@Yi3dx6-G;;3%F$Zs!%LYR~O$mE= zBq~zWhXairqCpxlJ^7S*+S<_kv->e*C?IEJ-)u7M_j}~!COVL1xbTT@Z z@(`nkUvo44F~`g(kTaJ+*r{>j^}Dxhl~Px$)vuyO8E$C0r0zy8oG^)^DkMv~bsPh{ z^J>$@*Hy33FMg3l{N3iC^F?E;*8FM>+J)3-zk}F|S=Alau7$FotD#IS_eX1;B9ax= zbsL#5DP_`ZCB;H(gDRfQ9E<6+HKnesE80*F(3mslLpL!wBOe3bmpjjUh+ljbJo z5d3rL#Q;PA5lCTeiK zJaw@qD4xP4#?nnknMa6_{6j;2AuUk`<$H5b!{H`Vf=_i2$zM9HKZ@qpIW(|u8AmjTcyAH zUbED^Tkv^z!#8H`c2(0|JSY7`5-pYm3IaJiTfs1CfFWnVv6?JEL;)Z_T8r+VI`~q` zsPz_O>(kXl8woNS+Fqej^SaIO5-Z1>;9^d)!mf9mUtVzNpnh0fqND3tMk^V`48tX;|V;Vh0kD8r6+ z_nT-dgmYKoD$R`eiauI$-r}Bt3%!TlO0@+9AxM9u^6IH(M|PO$#EZQqn*C$^&UoT& zYJWKJIh}#gFhvtkX0f4rXU49M+RZtaB5Kp8P@O@#)9dQUUJ0hiNg(+8fSu%Y+C-HW zgAC8ctfhYYGdSDCj=RbVtM>Dk!9kV~9iADAZ!O!_-v}v_!Fy(JS*L<4*AZv4;Qavu z8S~Mpexz=?l9c?t!^0kZ%TnB2h=+R~8E{TO0$|3u%H9ckCZj3<@TMLg!2Dm=zwlVH}46d`BUx;fzDG(g{w-i?- z!uKNPC5l#m5f|jGaDMuaG3Thd@UhD - - xilinx.com - xci - unknown - 1.0 - - - audio_DC_blocker_1_0 - - - - - - audio_clk_audio - 12280700 - 0 - 0 - 0.0 - audio_DC_blocker_1_0 - artix7 - digilentinc.com:nexys-a7-100t:part0:1.0 - - xc7a100t - csg324 - VERILOG - - MIXED - -1 - - - TRUE - TRUE - IP_Integrator - 1 - TRUE - . - - ../../ipshared - 2021.2 - OOC_HIERARCHICAL - - - - - - - - - - - - - - - - - - - - diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0.xml b/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0.xml deleted file mode 100644 index 324799a3..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0.xml +++ /dev/null @@ -1,364 +0,0 @@ - - - xilinx.com - customized_ip - audio_DC_blocker_1_0 - 1.0 - - - clk - - - - - - - CLK - - - clk - - - - - - FREQ_HZ - 12280700 - - - none - - - - - FREQ_TOLERANCE_HZ - 0 - - - none - - - - - PHASE - 0.0 - - - none - - - - - CLK_DOMAIN - audio_clk_audio - - - none - - - - - ASSOCIATED_BUSIF - - - - none - - - - - ASSOCIATED_PORT - - - - none - - - - - ASSOCIATED_RESET - - - - none - - - - - INSERT_VIP - 0 - - - simulation.rtl - - - - - - - - - - xilinx_anylanguagesynthesis - Synthesis - :vivado.xilinx.com:synthesis - DC_blocker - - - outputProductCRC - 9:a45c4d1c - - - - - xilinx_synthesisconstraints - Synthesis Constraints - :vivado.xilinx.com:synthesis.constraints - - - outputProductCRC - 9:a45c4d1c - - - - - xilinx_verilogsynthesiswrapper - Verilog Synthesis Wrapper - verilogSource:vivado.xilinx.com:synthesis.wrapper - verilog - audio_DC_blocker_1_0 - - xilinx_verilogsynthesiswrapper_view_fileset - - - - GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 - - - outputProductCRC - 9:a45c4d1c - - - - - xilinx_anylanguagebehavioralsimulation - Simulation - :vivado.xilinx.com:simulation - DC_blocker - - - outputProductCRC - 9:c6804d72 - - - - - xilinx_verilogsimulationwrapper - Verilog Simulation Wrapper - verilogSource:vivado.xilinx.com:simulation.wrapper - verilog - audio_DC_blocker_1_0 - - xilinx_verilogsimulationwrapper_view_fileset - - - - GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 - - - outputProductCRC - 9:c6804d72 - - - - - xilinx_externalfiles - External Files - :vivado.xilinx.com:external.files - - xilinx_externalfiles_view_fileset - - - - GENtimestamp - Tue Dec 21 12:20:01 UTC 2021 - - - outputProductCRC - 9:a45c4d1c - - - - - - - clk - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - ce - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - mute - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - sample_rate - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - din - - in - - 15 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - dout - - out - - 15 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - - - - xilinx_verilogsynthesiswrapper_view_fileset - - synth/audio_DC_blocker_1_0.v - verilogSource - xil_defaultlib - - - - xilinx_verilogsimulationwrapper_view_fileset - - sim/audio_DC_blocker_1_0.v - verilogSource - xil_defaultlib - - - - xilinx_externalfiles_view_fileset - - audio_DC_blocker_1_0.dcp - dcp - USED_IN_implementation - USED_IN_synthesis - xil_defaultlib - - - audio_DC_blocker_1_0_stub.v - verilogSource - USED_IN_synth_blackbox_stub - xil_defaultlib - - - audio_DC_blocker_1_0_stub.vhdl - vhdlSource - USED_IN_synth_blackbox_stub - xil_defaultlib - - - audio_DC_blocker_1_0_sim_netlist.v - verilogSource - USED_IN_simulation - USED_IN_single_language - xil_defaultlib - - - audio_DC_blocker_1_0_sim_netlist.vhdl - vhdlSource - USED_IN_simulation - USED_IN_single_language - xil_defaultlib - - - - xilinx.com:module_ref:DC_blocker:1.0 - - - Component_Name - audio_DC_blocker_1_0 - - - - - DC_blocker_v1_0 - module_ref - 1 - - - - - - - - - - - - 2021.2 - - - diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_sim_netlist.v deleted file mode 100644 index a5ef95f4..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_sim_netlist.v +++ /dev/null @@ -1,3188 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:49 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode funcsim -rename_top audio_DC_blocker_1_0 -prefix -// audio_DC_blocker_1_0_ audio_DC_blocker_0_0_sim_netlist.v -// Design : audio_DC_blocker_0_0 -// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified -// or synthesized. This netlist cannot be used for SDF annotated simulation. -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- -`timescale 1 ps / 1 ps - -module audio_DC_blocker_1_0_DC_blocker - (dout, - din, - sample_rate, - ce, - clk, - mute); - output [15:0]dout; - input [15:0]din; - input sample_rate; - input ce; - input clk; - input mute; - - wire ce; - wire clk; - wire [15:0]din; - wire [15:0]dout; - wire mute; - wire p_0_in0; - wire sample_rate; - wire [39:12]x0; - wire [12:12]x00; - wire x0_carry__0_i_1_n_0; - wire x0_carry__0_i_2_n_0; - wire x0_carry__0_i_3_n_0; - wire x0_carry__0_i_4_n_0; - wire x0_carry__0_n_0; - wire x0_carry__0_n_1; - wire x0_carry__0_n_2; - wire x0_carry__0_n_3; - wire x0_carry__1_i_1_n_0; - wire x0_carry__1_i_2_n_0; - wire x0_carry__1_i_3_n_0; - wire x0_carry__1_i_4_n_0; - wire x0_carry__1_n_0; - wire x0_carry__1_n_1; - wire x0_carry__1_n_2; - wire x0_carry__1_n_3; - wire x0_carry__2_i_1_n_0; - wire x0_carry__2_i_2_n_0; - wire x0_carry__2_i_3_n_0; - wire x0_carry__2_i_4_n_0; - wire x0_carry__2_n_0; - wire x0_carry__2_n_1; - wire x0_carry__2_n_2; - wire x0_carry__2_n_3; - wire x0_carry__3_i_2_n_0; - wire x0_carry__3_i_3_n_0; - wire x0_carry__3_i_4_n_0; - wire x0_carry__3_i_5_n_0; - wire x0_carry__3_n_0; - wire x0_carry__3_n_1; - wire x0_carry__3_n_2; - wire x0_carry__3_n_3; - wire x0_carry__4_i_1_n_0; - wire x0_carry__4_i_2_n_0; - wire x0_carry__4_i_3_n_0; - wire x0_carry__4_i_4_n_0; - wire x0_carry__4_n_0; - wire x0_carry__4_n_1; - wire x0_carry__4_n_2; - wire x0_carry__4_n_3; - wire x0_carry__5_i_1_n_0; - wire x0_carry__5_i_2_n_0; - wire x0_carry__5_i_3_n_0; - wire x0_carry__5_i_4_n_0; - wire x0_carry__5_n_0; - wire x0_carry__5_n_1; - wire x0_carry__5_n_2; - wire x0_carry__5_n_3; - wire x0_carry_i_1_n_0; - wire x0_carry_i_2_n_0; - wire x0_carry_i_3_n_0; - wire x0_carry_n_0; - wire x0_carry_n_1; - wire x0_carry_n_2; - wire x0_carry_n_3; - wire [39:12]x1; - wire [38:0]y; - wire [39:39]y0; - wire [38:0]y0__0; - wire y0__107_carry__0_i_1_n_0; - wire y0__107_carry__0_i_2_n_0; - wire y0__107_carry__0_i_3_n_0; - wire y0__107_carry__0_i_4_n_0; - wire y0__107_carry__0_i_5_n_0; - wire y0__107_carry__0_i_6_n_0; - wire y0__107_carry__0_i_7_n_0; - wire y0__107_carry__0_i_8_n_0; - wire y0__107_carry__0_n_0; - wire y0__107_carry__0_n_1; - wire y0__107_carry__0_n_2; - wire y0__107_carry__0_n_3; - wire y0__107_carry__1_i_1_n_0; - wire y0__107_carry__1_i_2_n_0; - wire y0__107_carry__1_i_3_n_0; - wire y0__107_carry__1_i_4_n_0; - wire y0__107_carry__1_i_5_n_0; - wire y0__107_carry__1_i_6_n_0; - wire y0__107_carry__1_i_7_n_0; - wire y0__107_carry__1_i_8_n_0; - wire y0__107_carry__1_n_0; - wire y0__107_carry__1_n_1; - wire y0__107_carry__1_n_2; - wire y0__107_carry__1_n_3; - wire y0__107_carry__2_i_10_n_0; - wire y0__107_carry__2_i_11_n_0; - wire y0__107_carry__2_i_12_n_0; - wire y0__107_carry__2_i_13_n_0; - wire y0__107_carry__2_i_14_n_0; - wire y0__107_carry__2_i_15_n_0; - wire y0__107_carry__2_i_16_n_0; - wire y0__107_carry__2_i_1_n_0; - wire y0__107_carry__2_i_2_n_0; - wire y0__107_carry__2_i_3_n_0; - wire y0__107_carry__2_i_4_n_0; - wire y0__107_carry__2_i_5_n_0; - wire y0__107_carry__2_i_6_n_0; - wire y0__107_carry__2_i_7_n_0; - wire y0__107_carry__2_i_8_n_0; - wire y0__107_carry__2_i_9_n_0; - wire y0__107_carry__2_n_0; - wire y0__107_carry__2_n_1; - wire y0__107_carry__2_n_2; - wire y0__107_carry__2_n_3; - wire y0__107_carry__3_i_10_n_0; - wire y0__107_carry__3_i_11_n_0; - wire y0__107_carry__3_i_12_n_0; - wire y0__107_carry__3_i_13_n_0; - wire y0__107_carry__3_i_14_n_0; - wire y0__107_carry__3_i_15_n_0; - wire y0__107_carry__3_i_16_n_0; - wire y0__107_carry__3_i_17_n_0; - wire y0__107_carry__3_i_18_n_0; - wire y0__107_carry__3_i_19_n_0; - wire y0__107_carry__3_i_1_n_0; - wire y0__107_carry__3_i_2_n_0; - wire y0__107_carry__3_i_3_n_0; - wire y0__107_carry__3_i_4_n_0; - wire y0__107_carry__3_i_5_n_0; - wire y0__107_carry__3_i_6_n_0; - wire y0__107_carry__3_i_7_n_0; - wire y0__107_carry__3_i_8_n_0; - wire y0__107_carry__3_i_9_n_0; - wire y0__107_carry__3_n_0; - wire y0__107_carry__3_n_1; - wire y0__107_carry__3_n_2; - wire y0__107_carry__3_n_3; - wire y0__107_carry__4_i_10_n_0; - wire y0__107_carry__4_i_11_n_0; - wire y0__107_carry__4_i_12_n_0; - wire y0__107_carry__4_i_13_n_0; - wire y0__107_carry__4_i_14_n_0; - wire y0__107_carry__4_i_15_n_0; - wire y0__107_carry__4_i_16_n_0; - wire y0__107_carry__4_i_17_n_0; - wire y0__107_carry__4_i_18_n_0; - wire y0__107_carry__4_i_19_n_0; - wire y0__107_carry__4_i_1_n_0; - wire y0__107_carry__4_i_20_n_0; - wire y0__107_carry__4_i_2_n_0; - wire y0__107_carry__4_i_3_n_0; - wire y0__107_carry__4_i_4_n_0; - wire y0__107_carry__4_i_5_n_0; - wire y0__107_carry__4_i_6_n_0; - wire y0__107_carry__4_i_7_n_0; - wire y0__107_carry__4_i_8_n_0; - wire y0__107_carry__4_i_9_n_0; - wire y0__107_carry__4_n_0; - wire y0__107_carry__4_n_1; - wire y0__107_carry__4_n_2; - wire y0__107_carry__4_n_3; - wire y0__107_carry__5_i_10_n_0; - wire y0__107_carry__5_i_11_n_0; - wire y0__107_carry__5_i_12_n_0; - wire y0__107_carry__5_i_13_n_0; - wire y0__107_carry__5_i_14_n_0; - wire y0__107_carry__5_i_15_n_0; - wire y0__107_carry__5_i_16_n_0; - wire y0__107_carry__5_i_17_n_0; - wire y0__107_carry__5_i_18_n_0; - wire y0__107_carry__5_i_19_n_0; - wire y0__107_carry__5_i_1_n_0; - wire y0__107_carry__5_i_2_n_0; - wire y0__107_carry__5_i_3_n_0; - wire y0__107_carry__5_i_4_n_0; - wire y0__107_carry__5_i_5_n_0; - wire y0__107_carry__5_i_6_n_0; - wire y0__107_carry__5_i_7_n_0; - wire y0__107_carry__5_i_8_n_0; - wire y0__107_carry__5_i_9_n_0; - wire y0__107_carry__5_n_0; - wire y0__107_carry__5_n_1; - wire y0__107_carry__5_n_2; - wire y0__107_carry__5_n_3; - wire y0__107_carry__6_i_10_n_0; - wire y0__107_carry__6_i_11_n_0; - wire y0__107_carry__6_i_12_n_0; - wire y0__107_carry__6_i_13_n_0; - wire y0__107_carry__6_i_1_n_0; - wire y0__107_carry__6_i_2_n_0; - wire y0__107_carry__6_i_3_n_0; - wire y0__107_carry__6_i_4_n_0; - wire y0__107_carry__6_i_5_n_0; - wire y0__107_carry__6_i_6_n_0; - wire y0__107_carry__6_i_7_n_0; - wire y0__107_carry__6_i_8_n_0; - wire y0__107_carry__6_i_9_n_0; - wire y0__107_carry__6_n_0; - wire y0__107_carry__6_n_1; - wire y0__107_carry__6_n_2; - wire y0__107_carry__6_n_3; - wire y0__107_carry__7_i_1_n_0; - wire y0__107_carry__7_i_2_n_0; - wire y0__107_carry__7_i_3_n_0; - wire y0__107_carry__7_i_4_n_0; - wire y0__107_carry__7_n_0; - wire y0__107_carry__7_n_1; - wire y0__107_carry__7_n_2; - wire y0__107_carry__7_n_3; - wire y0__107_carry__8_i_1_n_0; - wire y0__107_carry__8_i_2_n_0; - wire y0__107_carry__8_i_3_n_0; - wire y0__107_carry__8_i_4_n_0; - wire y0__107_carry__8_n_1; - wire y0__107_carry__8_n_2; - wire y0__107_carry__8_n_3; - wire y0__107_carry_i_1_n_0; - wire y0__107_carry_i_2_n_0; - wire y0__107_carry_i_3_n_0; - wire y0__107_carry_i_4_n_0; - wire y0__107_carry_i_5_n_0; - wire y0__107_carry_i_6_n_0; - wire y0__107_carry_i_7_n_0; - wire y0__107_carry_n_0; - wire y0__107_carry_n_1; - wire y0__107_carry_n_2; - wire y0__107_carry_n_3; - wire y0__1_carry__0_i_1_n_0; - wire y0__1_carry__0_i_2_n_0; - wire y0__1_carry__0_i_3_n_0; - wire y0__1_carry__0_i_4_n_0; - wire y0__1_carry__0_n_0; - wire y0__1_carry__0_n_1; - wire y0__1_carry__0_n_2; - wire y0__1_carry__0_n_3; - wire y0__1_carry__0_n_4; - wire y0__1_carry__0_n_5; - wire y0__1_carry__0_n_6; - wire y0__1_carry__0_n_7; - wire y0__1_carry__1_i_1_n_0; - wire y0__1_carry__1_i_2_n_0; - wire y0__1_carry__1_i_3_n_0; - wire y0__1_carry__1_i_4_n_0; - wire y0__1_carry__1_n_0; - wire y0__1_carry__1_n_1; - wire y0__1_carry__1_n_2; - wire y0__1_carry__1_n_3; - wire y0__1_carry__1_n_4; - wire y0__1_carry__1_n_5; - wire y0__1_carry__1_n_6; - wire y0__1_carry__1_n_7; - wire y0__1_carry__2_i_1_n_0; - wire y0__1_carry__2_i_2_n_0; - wire y0__1_carry__2_i_3_n_0; - wire y0__1_carry__2_i_4_n_0; - wire y0__1_carry__2_n_0; - wire y0__1_carry__2_n_1; - wire y0__1_carry__2_n_2; - wire y0__1_carry__2_n_3; - wire y0__1_carry__2_n_4; - wire y0__1_carry__2_n_5; - wire y0__1_carry__2_n_6; - wire y0__1_carry__2_n_7; - wire y0__1_carry__3_i_1_n_0; - wire y0__1_carry__3_i_2_n_0; - wire y0__1_carry__3_i_3_n_0; - wire y0__1_carry__3_i_4_n_0; - wire y0__1_carry__3_n_0; - wire y0__1_carry__3_n_1; - wire y0__1_carry__3_n_2; - wire y0__1_carry__3_n_3; - wire y0__1_carry__3_n_4; - wire y0__1_carry__3_n_5; - wire y0__1_carry__3_n_6; - wire y0__1_carry__3_n_7; - wire y0__1_carry__4_i_1_n_0; - wire y0__1_carry__4_i_2_n_0; - wire y0__1_carry__4_i_3_n_0; - wire y0__1_carry__4_i_4_n_0; - wire y0__1_carry__4_i_5_n_0; - wire y0__1_carry__4_n_0; - wire y0__1_carry__4_n_1; - wire y0__1_carry__4_n_2; - wire y0__1_carry__4_n_3; - wire y0__1_carry__4_n_4; - wire y0__1_carry__4_n_5; - wire y0__1_carry__4_n_6; - wire y0__1_carry__4_n_7; - wire y0__1_carry__5_i_1_n_0; - wire y0__1_carry__5_i_2_n_0; - wire y0__1_carry__5_i_3_n_0; - wire y0__1_carry__5_i_4_n_0; - wire y0__1_carry__5_i_5_n_0; - wire y0__1_carry__5_i_6_n_0; - wire y0__1_carry__5_i_7_n_0; - wire y0__1_carry__5_i_8_n_0; - wire y0__1_carry__5_n_0; - wire y0__1_carry__5_n_1; - wire y0__1_carry__5_n_2; - wire y0__1_carry__5_n_3; - wire y0__1_carry__5_n_4; - wire y0__1_carry__5_n_5; - wire y0__1_carry__5_n_6; - wire y0__1_carry__5_n_7; - wire y0__1_carry__6_i_1_n_0; - wire y0__1_carry__6_i_2_n_0; - wire y0__1_carry__6_i_3_n_0; - wire y0__1_carry__6_i_4_n_0; - wire y0__1_carry__6_i_5_n_0; - wire y0__1_carry__6_i_6_n_0; - wire y0__1_carry__6_i_7_n_0; - wire y0__1_carry__6_i_8_n_0; - wire y0__1_carry__6_n_0; - wire y0__1_carry__6_n_1; - wire y0__1_carry__6_n_2; - wire y0__1_carry__6_n_3; - wire y0__1_carry__6_n_4; - wire y0__1_carry__6_n_5; - wire y0__1_carry__6_n_6; - wire y0__1_carry__6_n_7; - wire y0__1_carry__7_i_1_n_0; - wire y0__1_carry__7_i_2_n_0; - wire y0__1_carry__7_i_3_n_0; - wire y0__1_carry__7_i_4_n_0; - wire y0__1_carry__7_i_5_n_0; - wire y0__1_carry__7_i_6_n_0; - wire y0__1_carry__7_i_7_n_0; - wire y0__1_carry__7_i_8_n_0; - wire y0__1_carry__7_n_0; - wire y0__1_carry__7_n_1; - wire y0__1_carry__7_n_2; - wire y0__1_carry__7_n_3; - wire y0__1_carry__7_n_4; - wire y0__1_carry__7_n_5; - wire y0__1_carry__7_n_6; - wire y0__1_carry__7_n_7; - wire y0__1_carry__8_i_1_n_0; - wire y0__1_carry__8_i_2_n_0; - wire y0__1_carry__8_i_3_n_0; - wire y0__1_carry__8_i_4_n_0; - wire y0__1_carry__8_i_5_n_0; - wire y0__1_carry__8_n_2; - wire y0__1_carry__8_n_3; - wire y0__1_carry__8_n_5; - wire y0__1_carry__8_n_6; - wire y0__1_carry__8_n_7; - wire y0__1_carry_i_1_n_0; - wire y0__1_carry_i_2_n_0; - wire y0__1_carry_i_3_n_0; - wire y0__1_carry_i_4_n_0; - wire y0__1_carry_n_0; - wire y0__1_carry_n_1; - wire y0__1_carry_n_2; - wire y0__1_carry_n_3; - wire y0__1_carry_n_4; - wire y0__1_carry_n_5; - wire y0__1_carry_n_6; - wire y0__1_carry_n_7; - wire \y[0]_i_1_n_0 ; - wire \y[10]_i_1_n_0 ; - wire \y[11]_i_1_n_0 ; - wire \y[12]_i_1_n_0 ; - wire \y[13]_i_1_n_0 ; - wire \y[14]_i_1_n_0 ; - wire \y[15]_i_1_n_0 ; - wire \y[16]_i_1_n_0 ; - wire \y[17]_i_1_n_0 ; - wire \y[18]_i_1_n_0 ; - wire \y[19]_i_1_n_0 ; - wire \y[1]_i_1_n_0 ; - wire \y[20]_i_1_n_0 ; - wire \y[21]_i_1_n_0 ; - wire \y[22]_i_1_n_0 ; - wire \y[23]_i_1_n_0 ; - wire \y[24]_i_1_n_0 ; - wire \y[25]_i_1_n_0 ; - wire \y[26]_i_1_n_0 ; - wire \y[27]_i_1_n_0 ; - wire \y[28]_i_1_n_0 ; - wire \y[29]_i_1_n_0 ; - wire \y[2]_i_1_n_0 ; - wire \y[30]_i_1_n_0 ; - wire \y[31]_i_1_n_0 ; - wire \y[32]_i_1_n_0 ; - wire \y[33]_i_1_n_0 ; - wire \y[34]_i_1_n_0 ; - wire \y[35]_i_1_n_0 ; - wire \y[36]_i_1_n_0 ; - wire \y[37]_i_1_n_0 ; - wire \y[3]_i_1_n_0 ; - wire \y[4]_i_1_n_0 ; - wire \y[5]_i_1_n_0 ; - wire \y[6]_i_1_n_0 ; - wire \y[7]_i_1_n_0 ; - wire \y[8]_i_1_n_0 ; - wire \y[9]_i_1_n_0 ; - wire [0:0]NLW_x0_carry_O_UNCONNECTED; - wire [3:0]NLW_x0_carry__6_CO_UNCONNECTED; - wire [3:1]NLW_x0_carry__6_O_UNCONNECTED; - wire [3:3]NLW_y0__107_carry__8_CO_UNCONNECTED; - wire [3:2]NLW_y0__1_carry__8_CO_UNCONNECTED; - wire [3:3]NLW_y0__1_carry__8_O_UNCONNECTED; - - LUT2 #( - .INIT(4'h2)) - \dout[0]_INST_0 - (.I0(y[23]), - .I1(mute), - .O(dout[0])); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT2 #( - .INIT(4'h2)) - \dout[10]_INST_0 - (.I0(y[33]), - .I1(mute), - .O(dout[10])); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT2 #( - .INIT(4'h2)) - \dout[11]_INST_0 - (.I0(y[34]), - .I1(mute), - .O(dout[11])); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT2 #( - .INIT(4'h2)) - \dout[12]_INST_0 - (.I0(y[35]), - .I1(mute), - .O(dout[12])); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT2 #( - .INIT(4'h2)) - \dout[13]_INST_0 - (.I0(y[36]), - .I1(mute), - .O(dout[13])); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT2 #( - .INIT(4'h2)) - \dout[14]_INST_0 - (.I0(y[37]), - .I1(mute), - .O(dout[14])); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT2 #( - .INIT(4'h2)) - \dout[15]_INST_0 - (.I0(y[38]), - .I1(mute), - .O(dout[15])); - (* SOFT_HLUTNM = "soft_lutpair34" *) - LUT2 #( - .INIT(4'h2)) - \dout[1]_INST_0 - (.I0(y[24]), - .I1(mute), - .O(dout[1])); - (* SOFT_HLUTNM = "soft_lutpair34" *) - LUT2 #( - .INIT(4'h2)) - \dout[2]_INST_0 - (.I0(y[25]), - .I1(mute), - .O(dout[2])); - (* SOFT_HLUTNM = "soft_lutpair33" *) - LUT2 #( - .INIT(4'h2)) - \dout[3]_INST_0 - (.I0(y[26]), - .I1(mute), - .O(dout[3])); - (* SOFT_HLUTNM = "soft_lutpair33" *) - LUT2 #( - .INIT(4'h2)) - \dout[4]_INST_0 - (.I0(y[27]), - .I1(mute), - .O(dout[4])); - (* SOFT_HLUTNM = "soft_lutpair32" *) - LUT2 #( - .INIT(4'h2)) - \dout[5]_INST_0 - (.I0(y[28]), - .I1(mute), - .O(dout[5])); - (* SOFT_HLUTNM = "soft_lutpair32" *) - LUT2 #( - .INIT(4'h2)) - \dout[6]_INST_0 - (.I0(y[29]), - .I1(mute), - .O(dout[6])); - (* SOFT_HLUTNM = "soft_lutpair31" *) - LUT2 #( - .INIT(4'h2)) - \dout[7]_INST_0 - (.I0(y[30]), - .I1(mute), - .O(dout[7])); - (* SOFT_HLUTNM = "soft_lutpair31" *) - LUT2 #( - .INIT(4'h2)) - \dout[8]_INST_0 - (.I0(y[31]), - .I1(mute), - .O(dout[8])); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT2 #( - .INIT(4'h2)) - \dout[9]_INST_0 - (.I0(y[32]), - .I1(mute), - .O(dout[9])); - CARRY4 x0_carry - (.CI(1'b0), - .CO({x0_carry_n_0,x0_carry_n_1,x0_carry_n_2,x0_carry_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,x0_carry_i_1_n_0,1'b0}), - .O({x0[14:12],NLW_x0_carry_O_UNCONNECTED[0]}), - .S({x0_carry_i_2_n_0,x0_carry_i_3_n_0,x00,1'b0})); - CARRY4 x0_carry__0 - (.CI(x0_carry_n_0), - .CO({x0_carry__0_n_0,x0_carry__0_n_1,x0_carry__0_n_2,x0_carry__0_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(x0[18:15]), - .S({x0_carry__0_i_1_n_0,x0_carry__0_i_2_n_0,x0_carry__0_i_3_n_0,x0_carry__0_i_4_n_0})); - LUT3 #( - .INIT(8'h1D)) - x0_carry__0_i_1 - (.I0(din[5]), - .I1(sample_rate), - .I2(din[6]), - .O(x0_carry__0_i_1_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__0_i_2 - (.I0(din[4]), - .I1(sample_rate), - .I2(din[5]), - .O(x0_carry__0_i_2_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__0_i_3 - (.I0(din[3]), - .I1(sample_rate), - .I2(din[4]), - .O(x0_carry__0_i_3_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__0_i_4 - (.I0(din[2]), - .I1(sample_rate), - .I2(din[3]), - .O(x0_carry__0_i_4_n_0)); - CARRY4 x0_carry__1 - (.CI(x0_carry__0_n_0), - .CO({x0_carry__1_n_0,x0_carry__1_n_1,x0_carry__1_n_2,x0_carry__1_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(x0[22:19]), - .S({x0_carry__1_i_1_n_0,x0_carry__1_i_2_n_0,x0_carry__1_i_3_n_0,x0_carry__1_i_4_n_0})); - LUT3 #( - .INIT(8'h1D)) - x0_carry__1_i_1 - (.I0(din[9]), - .I1(sample_rate), - .I2(din[10]), - .O(x0_carry__1_i_1_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__1_i_2 - (.I0(din[8]), - .I1(sample_rate), - .I2(din[9]), - .O(x0_carry__1_i_2_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__1_i_3 - (.I0(din[7]), - .I1(sample_rate), - .I2(din[8]), - .O(x0_carry__1_i_3_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry__1_i_4 - (.I0(din[6]), - .I1(sample_rate), - .I2(din[7]), - .O(x0_carry__1_i_4_n_0)); - CARRY4 x0_carry__2 - (.CI(x0_carry__1_n_0), - .CO({x0_carry__2_n_0,x0_carry__2_n_1,x0_carry__2_n_2,x0_carry__2_n_3}), - .CYINIT(1'b0), - .DI(din[3:0]), - .O(x0[26:23]), - .S({x0_carry__2_i_1_n_0,x0_carry__2_i_2_n_0,x0_carry__2_i_3_n_0,x0_carry__2_i_4_n_0})); - LUT4 #( - .INIT(16'hA959)) - x0_carry__2_i_1 - (.I0(din[3]), - .I1(din[13]), - .I2(sample_rate), - .I3(din[14]), - .O(x0_carry__2_i_1_n_0)); - LUT4 #( - .INIT(16'hA959)) - x0_carry__2_i_2 - (.I0(din[2]), - .I1(din[12]), - .I2(sample_rate), - .I3(din[13]), - .O(x0_carry__2_i_2_n_0)); - LUT4 #( - .INIT(16'hA959)) - x0_carry__2_i_3 - (.I0(din[1]), - .I1(din[11]), - .I2(sample_rate), - .I3(din[12]), - .O(x0_carry__2_i_3_n_0)); - LUT4 #( - .INIT(16'hA959)) - x0_carry__2_i_4 - (.I0(din[0]), - .I1(din[10]), - .I2(sample_rate), - .I3(din[11]), - .O(x0_carry__2_i_4_n_0)); - CARRY4 x0_carry__3 - (.CI(x0_carry__2_n_0), - .CO({x0_carry__3_n_0,x0_carry__3_n_1,x0_carry__3_n_2,x0_carry__3_n_3}), - .CYINIT(1'b0), - .DI({din[6],din[15],p_0_in0,din[4]}), - .O(x0[30:27]), - .S({x0_carry__3_i_2_n_0,x0_carry__3_i_3_n_0,x0_carry__3_i_4_n_0,x0_carry__3_i_5_n_0})); - LUT1 #( - .INIT(2'h1)) - x0_carry__3_i_1 - (.I0(din[15]), - .O(p_0_in0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__3_i_2 - (.I0(din[6]), - .I1(din[7]), - .O(x0_carry__3_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__3_i_3 - (.I0(din[15]), - .I1(din[6]), - .O(x0_carry__3_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__3_i_4 - (.I0(din[15]), - .I1(din[5]), - .O(x0_carry__3_i_4_n_0)); - LUT4 #( - .INIT(16'hA959)) - x0_carry__3_i_5 - (.I0(din[4]), - .I1(din[14]), - .I2(sample_rate), - .I3(din[15]), - .O(x0_carry__3_i_5_n_0)); - CARRY4 x0_carry__4 - (.CI(x0_carry__3_n_0), - .CO({x0_carry__4_n_0,x0_carry__4_n_1,x0_carry__4_n_2,x0_carry__4_n_3}), - .CYINIT(1'b0), - .DI(din[10:7]), - .O(x0[34:31]), - .S({x0_carry__4_i_1_n_0,x0_carry__4_i_2_n_0,x0_carry__4_i_3_n_0,x0_carry__4_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - x0_carry__4_i_1 - (.I0(din[10]), - .I1(din[11]), - .O(x0_carry__4_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__4_i_2 - (.I0(din[9]), - .I1(din[10]), - .O(x0_carry__4_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__4_i_3 - (.I0(din[8]), - .I1(din[9]), - .O(x0_carry__4_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__4_i_4 - (.I0(din[7]), - .I1(din[8]), - .O(x0_carry__4_i_4_n_0)); - CARRY4 x0_carry__5 - (.CI(x0_carry__4_n_0), - .CO({x0_carry__5_n_0,x0_carry__5_n_1,x0_carry__5_n_2,x0_carry__5_n_3}), - .CYINIT(1'b0), - .DI(din[14:11]), - .O(x0[38:35]), - .S({x0_carry__5_i_1_n_0,x0_carry__5_i_2_n_0,x0_carry__5_i_3_n_0,x0_carry__5_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - x0_carry__5_i_1 - (.I0(din[14]), - .I1(din[15]), - .O(x0_carry__5_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__5_i_2 - (.I0(din[13]), - .I1(din[14]), - .O(x0_carry__5_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__5_i_3 - (.I0(din[12]), - .I1(din[13]), - .O(x0_carry__5_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - x0_carry__5_i_4 - (.I0(din[11]), - .I1(din[12]), - .O(x0_carry__5_i_4_n_0)); - CARRY4 x0_carry__6 - (.CI(x0_carry__5_n_0), - .CO(NLW_x0_carry__6_CO_UNCONNECTED[3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({NLW_x0_carry__6_O_UNCONNECTED[3:1],x0[39]}), - .S({1'b0,1'b0,1'b0,1'b1})); - LUT2 #( - .INIT(4'h7)) - x0_carry_i_1 - (.I0(din[0]), - .I1(sample_rate), - .O(x0_carry_i_1_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry_i_2 - (.I0(din[1]), - .I1(sample_rate), - .I2(din[2]), - .O(x0_carry_i_2_n_0)); - LUT3 #( - .INIT(8'h1D)) - x0_carry_i_3 - (.I0(din[0]), - .I1(sample_rate), - .I2(din[1]), - .O(x0_carry_i_3_n_0)); - LUT2 #( - .INIT(4'h8)) - x0_carry_i_4 - (.I0(sample_rate), - .I1(din[0]), - .O(x00)); - FDRE \x1_reg[12] - (.C(clk), - .CE(ce), - .D(x0[12]), - .Q(x1[12]), - .R(1'b0)); - FDRE \x1_reg[13] - (.C(clk), - .CE(ce), - .D(x0[13]), - .Q(x1[13]), - .R(1'b0)); - FDRE \x1_reg[14] - (.C(clk), - .CE(ce), - .D(x0[14]), - .Q(x1[14]), - .R(1'b0)); - FDRE \x1_reg[15] - (.C(clk), - .CE(ce), - .D(x0[15]), - .Q(x1[15]), - .R(1'b0)); - FDRE \x1_reg[16] - (.C(clk), - .CE(ce), - .D(x0[16]), - .Q(x1[16]), - .R(1'b0)); - FDRE \x1_reg[17] - (.C(clk), - .CE(ce), - .D(x0[17]), - .Q(x1[17]), - .R(1'b0)); - FDRE \x1_reg[18] - (.C(clk), - .CE(ce), - .D(x0[18]), - .Q(x1[18]), - .R(1'b0)); - FDRE \x1_reg[19] - (.C(clk), - .CE(ce), - .D(x0[19]), - .Q(x1[19]), - .R(1'b0)); - FDRE \x1_reg[20] - (.C(clk), - .CE(ce), - .D(x0[20]), - .Q(x1[20]), - .R(1'b0)); - FDRE \x1_reg[21] - (.C(clk), - .CE(ce), - .D(x0[21]), - .Q(x1[21]), - .R(1'b0)); - FDRE \x1_reg[22] - (.C(clk), - .CE(ce), - .D(x0[22]), - .Q(x1[22]), - .R(1'b0)); - FDRE \x1_reg[23] - (.C(clk), - .CE(ce), - .D(x0[23]), - .Q(x1[23]), - .R(1'b0)); - FDRE \x1_reg[24] - (.C(clk), - .CE(ce), - .D(x0[24]), - .Q(x1[24]), - .R(1'b0)); - FDRE \x1_reg[25] - (.C(clk), - .CE(ce), - .D(x0[25]), - .Q(x1[25]), - .R(1'b0)); - FDRE \x1_reg[26] - (.C(clk), - .CE(ce), - .D(x0[26]), - .Q(x1[26]), - .R(1'b0)); - FDRE \x1_reg[27] - (.C(clk), - .CE(ce), - .D(x0[27]), - .Q(x1[27]), - .R(1'b0)); - FDRE \x1_reg[28] - (.C(clk), - .CE(ce), - .D(x0[28]), - .Q(x1[28]), - .R(1'b0)); - FDRE \x1_reg[29] - (.C(clk), - .CE(ce), - .D(x0[29]), - .Q(x1[29]), - .R(1'b0)); - FDRE \x1_reg[30] - (.C(clk), - .CE(ce), - .D(x0[30]), - .Q(x1[30]), - .R(1'b0)); - FDRE \x1_reg[31] - (.C(clk), - .CE(ce), - .D(x0[31]), - .Q(x1[31]), - .R(1'b0)); - FDRE \x1_reg[32] - (.C(clk), - .CE(ce), - .D(x0[32]), - .Q(x1[32]), - .R(1'b0)); - FDRE \x1_reg[33] - (.C(clk), - .CE(ce), - .D(x0[33]), - .Q(x1[33]), - .R(1'b0)); - FDRE \x1_reg[34] - (.C(clk), - .CE(ce), - .D(x0[34]), - .Q(x1[34]), - .R(1'b0)); - FDRE \x1_reg[35] - (.C(clk), - .CE(ce), - .D(x0[35]), - .Q(x1[35]), - .R(1'b0)); - FDRE \x1_reg[36] - (.C(clk), - .CE(ce), - .D(x0[36]), - .Q(x1[36]), - .R(1'b0)); - FDRE \x1_reg[37] - (.C(clk), - .CE(ce), - .D(x0[37]), - .Q(x1[37]), - .R(1'b0)); - FDRE \x1_reg[38] - (.C(clk), - .CE(ce), - .D(x0[38]), - .Q(x1[38]), - .R(1'b0)); - FDRE \x1_reg[39] - (.C(clk), - .CE(ce), - .D(x0[39]), - .Q(x1[39]), - .R(1'b0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry - (.CI(1'b0), - .CO({y0__107_carry_n_0,y0__107_carry_n_1,y0__107_carry_n_2,y0__107_carry_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry_i_1_n_0,y0__1_carry_n_7,y0__107_carry_i_2_n_0,y0__107_carry_i_3_n_0}), - .O(y0__0[3:0]), - .S({y0__107_carry_i_4_n_0,y0__107_carry_i_5_n_0,y0__107_carry_i_6_n_0,y0__107_carry_i_7_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__0 - (.CI(y0__107_carry_n_0), - .CO({y0__107_carry__0_n_0,y0__107_carry__0_n_1,y0__107_carry__0_n_2,y0__107_carry__0_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__0_i_1_n_0,y0__107_carry__0_i_2_n_0,y0__107_carry__0_i_3_n_0,y0__107_carry__0_i_4_n_0}), - .O(y0__0[7:4]), - .S({y0__107_carry__0_i_5_n_0,y0__107_carry__0_i_6_n_0,y0__107_carry__0_i_7_n_0,y0__107_carry__0_i_8_n_0})); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__0_i_1 - (.I0(y0__1_carry__0_n_6), - .I1(y[15]), - .I2(sample_rate), - .I3(y[16]), - .O(y0__107_carry__0_i_1_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__0_i_2 - (.I0(y0__1_carry__0_n_7), - .I1(y[14]), - .I2(sample_rate), - .I3(y[15]), - .O(y0__107_carry__0_i_2_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__0_i_3 - (.I0(y0__1_carry_n_4), - .I1(y[13]), - .I2(sample_rate), - .I3(y[14]), - .O(y0__107_carry__0_i_3_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__0_i_4 - (.I0(y0__1_carry_n_5), - .I1(y[12]), - .I2(sample_rate), - .I3(y[13]), - .O(y0__107_carry__0_i_4_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__0_i_5 - (.I0(y[15]), - .I1(y0__1_carry__0_n_6), - .I2(y[16]), - .I3(sample_rate), - .I4(y[17]), - .I5(y0__1_carry__0_n_5), - .O(y0__107_carry__0_i_5_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__0_i_6 - (.I0(y[14]), - .I1(y0__1_carry__0_n_7), - .I2(y[15]), - .I3(sample_rate), - .I4(y[16]), - .I5(y0__1_carry__0_n_6), - .O(y0__107_carry__0_i_6_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__0_i_7 - (.I0(y[13]), - .I1(y0__1_carry_n_4), - .I2(y[14]), - .I3(sample_rate), - .I4(y[15]), - .I5(y0__1_carry__0_n_7), - .O(y0__107_carry__0_i_7_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__0_i_8 - (.I0(y[12]), - .I1(y0__1_carry_n_5), - .I2(y[13]), - .I3(sample_rate), - .I4(y[14]), - .I5(y0__1_carry_n_4), - .O(y0__107_carry__0_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__1 - (.CI(y0__107_carry__0_n_0), - .CO({y0__107_carry__1_n_0,y0__107_carry__1_n_1,y0__107_carry__1_n_2,y0__107_carry__1_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__1_i_1_n_0,y0__107_carry__1_i_2_n_0,y0__107_carry__1_i_3_n_0,y0__107_carry__1_i_4_n_0}), - .O(y0__0[11:8]), - .S({y0__107_carry__1_i_5_n_0,y0__107_carry__1_i_6_n_0,y0__107_carry__1_i_7_n_0,y0__107_carry__1_i_8_n_0})); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__1_i_1 - (.I0(y0__1_carry__1_n_6), - .I1(y[19]), - .I2(sample_rate), - .I3(y[20]), - .O(y0__107_carry__1_i_1_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__1_i_2 - (.I0(y0__1_carry__1_n_7), - .I1(y[18]), - .I2(sample_rate), - .I3(y[19]), - .O(y0__107_carry__1_i_2_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__1_i_3 - (.I0(y0__1_carry__0_n_4), - .I1(y[17]), - .I2(sample_rate), - .I3(y[18]), - .O(y0__107_carry__1_i_3_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry__1_i_4 - (.I0(y0__1_carry__0_n_5), - .I1(y[16]), - .I2(sample_rate), - .I3(y[17]), - .O(y0__107_carry__1_i_4_n_0)); - LUT6 #( - .INIT(64'hF0BB3C440F44C3BB)) - y0__107_carry__1_i_5 - (.I0(y[19]), - .I1(y0__1_carry__1_n_6), - .I2(y[21]), - .I3(sample_rate), - .I4(y[20]), - .I5(y0__1_carry__1_n_5), - .O(y0__107_carry__1_i_5_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__1_i_6 - (.I0(y[18]), - .I1(y0__1_carry__1_n_7), - .I2(y[19]), - .I3(sample_rate), - .I4(y[20]), - .I5(y0__1_carry__1_n_6), - .O(y0__107_carry__1_i_6_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__1_i_7 - (.I0(y[17]), - .I1(y0__1_carry__0_n_4), - .I2(y[18]), - .I3(sample_rate), - .I4(y[19]), - .I5(y0__1_carry__1_n_7), - .O(y0__107_carry__1_i_7_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry__1_i_8 - (.I0(y[16]), - .I1(y0__1_carry__0_n_5), - .I2(y[17]), - .I3(sample_rate), - .I4(y[18]), - .I5(y0__1_carry__0_n_4), - .O(y0__107_carry__1_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__2 - (.CI(y0__107_carry__1_n_0), - .CO({y0__107_carry__2_n_0,y0__107_carry__2_n_1,y0__107_carry__2_n_2,y0__107_carry__2_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__2_i_1_n_0,y0__107_carry__2_i_2_n_0,y0__107_carry__2_i_3_n_0,y0__107_carry__2_i_4_n_0}), - .O(y0__0[15:12]), - .S({y0__107_carry__2_i_5_n_0,y0__107_carry__2_i_6_n_0,y0__107_carry__2_i_7_n_0,y0__107_carry__2_i_8_n_0})); - LUT6 #( - .INIT(64'h00000000444DDD4D)) - y0__107_carry__2_i_1 - (.I0(y0__107_carry__2_i_9_n_0), - .I1(y0__1_carry__2_n_7), - .I2(y[22]), - .I3(sample_rate), - .I4(y[23]), - .I5(y0__107_carry__2_i_10_n_0), - .O(y0__107_carry__2_i_1_n_0)); - LUT6 #( - .INIT(64'h5A5A3CC3A5A53CC3)) - y0__107_carry__2_i_10 - (.I0(din[2]), - .I1(din[1]), - .I2(y0__1_carry__2_n_6), - .I3(y[23]), - .I4(sample_rate), - .I5(y[24]), - .O(y0__107_carry__2_i_10_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__2_i_11 - (.I0(din[1]), - .I1(din[0]), - .I2(y0__1_carry__2_n_7), - .I3(y[22]), - .I4(sample_rate), - .I5(y[23]), - .O(y0__107_carry__2_i_11_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__2_i_12 - (.I0(y0__1_carry__2_n_6), - .I1(din[1]), - .I2(sample_rate), - .I3(din[2]), - .I4(y[24]), - .I5(y[23]), - .O(y0__107_carry__2_i_12_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__2_i_13 - (.I0(din[3]), - .I1(din[2]), - .I2(y0__1_carry__2_n_5), - .I3(y[24]), - .I4(sample_rate), - .I5(y[25]), - .O(y0__107_carry__2_i_13_n_0)); - LUT6 #( - .INIT(64'hB8FF88FC30BB00B8)) - y0__107_carry__2_i_14 - (.I0(y[23]), - .I1(sample_rate), - .I2(y[22]), - .I3(y0__1_carry__2_n_7), - .I4(din[0]), - .I5(din[1]), - .O(y0__107_carry__2_i_14_n_0)); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT5 #( - .INIT(32'h00020A02)) - y0__107_carry__2_i_15 - (.I0(y0__1_carry__1_n_5), - .I1(y[20]), - .I2(y[21]), - .I3(sample_rate), - .I4(y[22]), - .O(y0__107_carry__2_i_15_n_0)); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT4 #( - .INIT(16'hE2FF)) - y0__107_carry__2_i_16 - (.I0(y[20]), - .I1(sample_rate), - .I2(y[21]), - .I3(y0__1_carry__1_n_5), - .O(y0__107_carry__2_i_16_n_0)); - LUT6 #( - .INIT(64'h0407000000000000)) - y0__107_carry__2_i_2 - (.I0(y[22]), - .I1(sample_rate), - .I2(y[21]), - .I3(y[20]), - .I4(y0__1_carry__1_n_5), - .I5(y0__107_carry__2_i_11_n_0), - .O(y0__107_carry__2_i_2_n_0)); - LUT6 #( - .INIT(64'hFBF8FFFF04070000)) - y0__107_carry__2_i_3 - (.I0(y[22]), - .I1(sample_rate), - .I2(y[21]), - .I3(y[20]), - .I4(y0__1_carry__1_n_5), - .I5(y0__107_carry__2_i_11_n_0), - .O(y0__107_carry__2_i_3_n_0)); - LUT3 #( - .INIT(8'h6A)) - y0__107_carry__2_i_4 - (.I0(y0__1_carry__1_n_4), - .I1(din[0]), - .I2(sample_rate), - .O(y0__107_carry__2_i_4_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__2_i_5 - (.I0(y0__107_carry__2_i_1_n_0), - .I1(y0__107_carry__2_i_12_n_0), - .I2(y0__107_carry__2_i_13_n_0), - .O(y0__107_carry__2_i_5_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__2_i_6 - (.I0(y0__107_carry__2_i_2_n_0), - .I1(y0__107_carry__2_i_10_n_0), - .I2(y0__107_carry__2_i_14_n_0), - .O(y0__107_carry__2_i_6_n_0)); - LUT5 #( - .INIT(32'h99996999)) - y0__107_carry__2_i_7 - (.I0(y0__107_carry__2_i_11_n_0), - .I1(y0__107_carry__2_i_15_n_0), - .I2(sample_rate), - .I3(din[0]), - .I4(y0__1_carry__1_n_4), - .O(y0__107_carry__2_i_7_n_0)); - LUT6 #( - .INIT(64'h69CC6933963396CC)) - y0__107_carry__2_i_8 - (.I0(din[0]), - .I1(y0__1_carry__1_n_4), - .I2(y[22]), - .I3(sample_rate), - .I4(y[21]), - .I5(y0__107_carry__2_i_16_n_0), - .O(y0__107_carry__2_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__2_i_9 - (.I0(din[1]), - .I1(sample_rate), - .I2(din[0]), - .O(y0__107_carry__2_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__3 - (.CI(y0__107_carry__2_n_0), - .CO({y0__107_carry__3_n_0,y0__107_carry__3_n_1,y0__107_carry__3_n_2,y0__107_carry__3_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__3_i_1_n_0,y0__107_carry__3_i_2_n_0,y0__107_carry__3_i_3_n_0,y0__107_carry__3_i_4_n_0}), - .O(y0__0[19:16]), - .S({y0__107_carry__3_i_5_n_0,y0__107_carry__3_i_6_n_0,y0__107_carry__3_i_7_n_0,y0__107_carry__3_i_8_n_0})); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__3_i_1 - (.I0(y[28]), - .I1(sample_rate), - .I2(y[27]), - .I3(y0__1_carry__3_n_6), - .I4(y0__107_carry__3_i_9_n_0), - .I5(y0__107_carry__3_i_10_n_0), - .O(y0__107_carry__3_i_1_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__3_i_10 - (.I0(y0__1_carry__3_n_7), - .I1(din[4]), - .I2(sample_rate), - .I3(din[5]), - .I4(y[27]), - .I5(y[26]), - .O(y0__107_carry__3_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair25" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__3_i_11 - (.I0(din[5]), - .I1(sample_rate), - .I2(din[4]), - .O(y0__107_carry__3_i_11_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__3_i_12 - (.I0(y0__1_carry__2_n_4), - .I1(din[3]), - .I2(sample_rate), - .I3(din[4]), - .I4(y[26]), - .I5(y[25]), - .O(y0__107_carry__3_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair25" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__3_i_13 - (.I0(din[3]), - .I1(sample_rate), - .I2(din[2]), - .O(y0__107_carry__3_i_13_n_0)); - LUT6 #( - .INIT(64'h5A5A3CC3A5A53CC3)) - y0__107_carry__3_i_14 - (.I0(din[4]), - .I1(din[3]), - .I2(y0__1_carry__2_n_4), - .I3(y[25]), - .I4(sample_rate), - .I5(y[26]), - .O(y0__107_carry__3_i_14_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__3_i_15 - (.I0(y0__1_carry__3_n_6), - .I1(din[5]), - .I2(sample_rate), - .I3(din[6]), - .I4(y[28]), - .I5(y[27]), - .O(y0__107_carry__3_i_15_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__3_i_16 - (.I0(din[7]), - .I1(din[6]), - .I2(y0__1_carry__3_n_5), - .I3(y[28]), - .I4(sample_rate), - .I5(y[29]), - .O(y0__107_carry__3_i_16_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__3_i_17 - (.I0(din[6]), - .I1(din[5]), - .I2(y0__1_carry__3_n_6), - .I3(y[27]), - .I4(sample_rate), - .I5(y[28]), - .O(y0__107_carry__3_i_17_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__3_i_18 - (.I0(din[5]), - .I1(din[4]), - .I2(y0__1_carry__3_n_7), - .I3(y[26]), - .I4(sample_rate), - .I5(y[27]), - .O(y0__107_carry__3_i_18_n_0)); - LUT6 #( - .INIT(64'hB8FF88FC30BB00B8)) - y0__107_carry__3_i_19 - (.I0(y[25]), - .I1(sample_rate), - .I2(y[24]), - .I3(y0__1_carry__2_n_5), - .I4(din[2]), - .I5(din[3]), - .O(y0__107_carry__3_i_19_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__3_i_2 - (.I0(y[27]), - .I1(sample_rate), - .I2(y[26]), - .I3(y0__1_carry__3_n_7), - .I4(y0__107_carry__3_i_11_n_0), - .I5(y0__107_carry__3_i_12_n_0), - .O(y0__107_carry__3_i_2_n_0)); - LUT6 #( - .INIT(64'h00000000444DDD4D)) - y0__107_carry__3_i_3 - (.I0(y0__107_carry__3_i_13_n_0), - .I1(y0__1_carry__2_n_5), - .I2(y[24]), - .I3(sample_rate), - .I4(y[25]), - .I5(y0__107_carry__3_i_14_n_0), - .O(y0__107_carry__3_i_3_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__3_i_4 - (.I0(y[25]), - .I1(sample_rate), - .I2(y[24]), - .I3(y0__1_carry__2_n_5), - .I4(y0__107_carry__3_i_13_n_0), - .I5(y0__107_carry__2_i_12_n_0), - .O(y0__107_carry__3_i_4_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__3_i_5 - (.I0(y0__107_carry__3_i_1_n_0), - .I1(y0__107_carry__3_i_15_n_0), - .I2(y0__107_carry__3_i_16_n_0), - .O(y0__107_carry__3_i_5_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__3_i_6 - (.I0(y0__107_carry__3_i_2_n_0), - .I1(y0__107_carry__3_i_10_n_0), - .I2(y0__107_carry__3_i_17_n_0), - .O(y0__107_carry__3_i_6_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__3_i_7 - (.I0(y0__107_carry__3_i_3_n_0), - .I1(y0__107_carry__3_i_12_n_0), - .I2(y0__107_carry__3_i_18_n_0), - .O(y0__107_carry__3_i_7_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__3_i_8 - (.I0(y0__107_carry__3_i_4_n_0), - .I1(y0__107_carry__3_i_14_n_0), - .I2(y0__107_carry__3_i_19_n_0), - .O(y0__107_carry__3_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair24" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__3_i_9 - (.I0(din[6]), - .I1(sample_rate), - .I2(din[5]), - .O(y0__107_carry__3_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__4 - (.CI(y0__107_carry__3_n_0), - .CO({y0__107_carry__4_n_0,y0__107_carry__4_n_1,y0__107_carry__4_n_2,y0__107_carry__4_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__4_i_1_n_0,y0__107_carry__4_i_2_n_0,y0__107_carry__4_i_3_n_0,y0__107_carry__4_i_4_n_0}), - .O(y0__0[23:20]), - .S({y0__107_carry__4_i_5_n_0,y0__107_carry__4_i_6_n_0,y0__107_carry__4_i_7_n_0,y0__107_carry__4_i_8_n_0})); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__4_i_1 - (.I0(y[32]), - .I1(sample_rate), - .I2(y[31]), - .I3(y0__1_carry__4_n_6), - .I4(y0__107_carry__4_i_9_n_0), - .I5(y0__107_carry__4_i_10_n_0), - .O(y0__107_carry__4_i_1_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__4_i_10 - (.I0(y0__1_carry__4_n_7), - .I1(din[8]), - .I2(sample_rate), - .I3(din[9]), - .I4(y[31]), - .I5(y[30]), - .O(y0__107_carry__4_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair23" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__4_i_11 - (.I0(din[9]), - .I1(sample_rate), - .I2(din[8]), - .O(y0__107_carry__4_i_11_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__4_i_12 - (.I0(y0__1_carry__3_n_4), - .I1(din[7]), - .I2(sample_rate), - .I3(din[8]), - .I4(y[30]), - .I5(y[29]), - .O(y0__107_carry__4_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair23" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__4_i_13 - (.I0(din[8]), - .I1(sample_rate), - .I2(din[7]), - .O(y0__107_carry__4_i_13_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__4_i_14 - (.I0(y0__1_carry__3_n_5), - .I1(din[6]), - .I2(sample_rate), - .I3(din[7]), - .I4(y[29]), - .I5(y[28]), - .O(y0__107_carry__4_i_14_n_0)); - (* SOFT_HLUTNM = "soft_lutpair24" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__4_i_15 - (.I0(din[7]), - .I1(sample_rate), - .I2(din[6]), - .O(y0__107_carry__4_i_15_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__4_i_16 - (.I0(y0__1_carry__4_n_6), - .I1(din[9]), - .I2(sample_rate), - .I3(din[10]), - .I4(y[32]), - .I5(y[31]), - .O(y0__107_carry__4_i_16_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__4_i_17 - (.I0(din[11]), - .I1(din[10]), - .I2(y0__1_carry__4_n_5), - .I3(y[32]), - .I4(sample_rate), - .I5(y[33]), - .O(y0__107_carry__4_i_17_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__4_i_18 - (.I0(din[10]), - .I1(din[9]), - .I2(y0__1_carry__4_n_6), - .I3(y[31]), - .I4(sample_rate), - .I5(y[32]), - .O(y0__107_carry__4_i_18_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__4_i_19 - (.I0(din[9]), - .I1(din[8]), - .I2(y0__1_carry__4_n_7), - .I3(y[30]), - .I4(sample_rate), - .I5(y[31]), - .O(y0__107_carry__4_i_19_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__4_i_2 - (.I0(y[31]), - .I1(sample_rate), - .I2(y[30]), - .I3(y0__1_carry__4_n_7), - .I4(y0__107_carry__4_i_11_n_0), - .I5(y0__107_carry__4_i_12_n_0), - .O(y0__107_carry__4_i_2_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__4_i_20 - (.I0(din[8]), - .I1(din[7]), - .I2(y0__1_carry__3_n_4), - .I3(y[29]), - .I4(sample_rate), - .I5(y[30]), - .O(y0__107_carry__4_i_20_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__4_i_3 - (.I0(y[30]), - .I1(sample_rate), - .I2(y[29]), - .I3(y0__1_carry__3_n_4), - .I4(y0__107_carry__4_i_13_n_0), - .I5(y0__107_carry__4_i_14_n_0), - .O(y0__107_carry__4_i_3_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__4_i_4 - (.I0(y[29]), - .I1(sample_rate), - .I2(y[28]), - .I3(y0__1_carry__3_n_5), - .I4(y0__107_carry__4_i_15_n_0), - .I5(y0__107_carry__3_i_15_n_0), - .O(y0__107_carry__4_i_4_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__4_i_5 - (.I0(y0__107_carry__4_i_1_n_0), - .I1(y0__107_carry__4_i_16_n_0), - .I2(y0__107_carry__4_i_17_n_0), - .O(y0__107_carry__4_i_5_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__4_i_6 - (.I0(y0__107_carry__4_i_2_n_0), - .I1(y0__107_carry__4_i_10_n_0), - .I2(y0__107_carry__4_i_18_n_0), - .O(y0__107_carry__4_i_6_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__4_i_7 - (.I0(y0__107_carry__4_i_3_n_0), - .I1(y0__107_carry__4_i_12_n_0), - .I2(y0__107_carry__4_i_19_n_0), - .O(y0__107_carry__4_i_7_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__4_i_8 - (.I0(y0__107_carry__4_i_4_n_0), - .I1(y0__107_carry__4_i_14_n_0), - .I2(y0__107_carry__4_i_20_n_0), - .O(y0__107_carry__4_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__4_i_9 - (.I0(din[10]), - .I1(sample_rate), - .I2(din[9]), - .O(y0__107_carry__4_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__5 - (.CI(y0__107_carry__4_n_0), - .CO({y0__107_carry__5_n_0,y0__107_carry__5_n_1,y0__107_carry__5_n_2,y0__107_carry__5_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__5_i_1_n_0,y0__107_carry__5_i_2_n_0,y0__107_carry__5_i_3_n_0,y0__107_carry__5_i_4_n_0}), - .O(y0__0[27:24]), - .S({y0__107_carry__5_i_5_n_0,y0__107_carry__5_i_6_n_0,y0__107_carry__5_i_7_n_0,y0__107_carry__5_i_8_n_0})); - LUT6 #( - .INIT(64'h00000000444DDD4D)) - y0__107_carry__5_i_1 - (.I0(y0__107_carry__5_i_9_n_0), - .I1(y0__1_carry__5_n_7), - .I2(y[34]), - .I3(sample_rate), - .I4(y[35]), - .I5(y0__107_carry__5_i_10_n_0), - .O(y0__107_carry__5_i_1_n_0)); - LUT6 #( - .INIT(64'h5A5A3CC3A5A53CC3)) - y0__107_carry__5_i_10 - (.I0(din[14]), - .I1(din[13]), - .I2(y0__1_carry__5_n_6), - .I3(y[35]), - .I4(sample_rate), - .I5(y[36]), - .O(y0__107_carry__5_i_10_n_0)); - LUT6 #( - .INIT(64'hB8FF88FC30BB00B8)) - y0__107_carry__5_i_11 - (.I0(y[34]), - .I1(sample_rate), - .I2(y[33]), - .I3(y0__1_carry__4_n_4), - .I4(din[11]), - .I5(din[12]), - .O(y0__107_carry__5_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair21" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__5_i_12 - (.I0(din[12]), - .I1(sample_rate), - .I2(din[11]), - .O(y0__107_carry__5_i_12_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__5_i_13 - (.I0(y0__1_carry__4_n_5), - .I1(din[10]), - .I2(sample_rate), - .I3(din[11]), - .I4(y[33]), - .I5(y[32]), - .O(y0__107_carry__5_i_13_n_0)); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__5_i_14 - (.I0(din[11]), - .I1(sample_rate), - .I2(din[10]), - .O(y0__107_carry__5_i_14_n_0)); - LUT6 #( - .INIT(64'hC3AAC3553C553CAA)) - y0__107_carry__5_i_15 - (.I0(din[14]), - .I1(din[15]), - .I2(y[37]), - .I3(sample_rate), - .I4(y[36]), - .I5(y0__1_carry__5_n_5), - .O(y0__107_carry__5_i_15_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__5_i_16 - (.I0(y0__1_carry__5_n_6), - .I1(din[13]), - .I2(sample_rate), - .I3(din[14]), - .I4(y[36]), - .I5(y[35]), - .O(y0__107_carry__5_i_16_n_0)); - LUT6 #( - .INIT(64'hB8FF88FC30BB00B8)) - y0__107_carry__5_i_17 - (.I0(y[35]), - .I1(sample_rate), - .I2(y[34]), - .I3(y0__1_carry__5_n_7), - .I4(din[12]), - .I5(din[13]), - .O(y0__107_carry__5_i_17_n_0)); - LUT6 #( - .INIT(64'h5A5A3CC3A5A53CC3)) - y0__107_carry__5_i_18 - (.I0(din[13]), - .I1(din[12]), - .I2(y0__1_carry__5_n_7), - .I3(y[34]), - .I4(sample_rate), - .I5(y[35]), - .O(y0__107_carry__5_i_18_n_0)); - LUT6 #( - .INIT(64'hA5A5C33C5A5AC33C)) - y0__107_carry__5_i_19 - (.I0(din[12]), - .I1(din[11]), - .I2(y0__1_carry__4_n_4), - .I3(y[33]), - .I4(sample_rate), - .I5(y[34]), - .O(y0__107_carry__5_i_19_n_0)); - LUT6 #( - .INIT(64'h00000000B84747B8)) - y0__107_carry__5_i_2 - (.I0(y[35]), - .I1(sample_rate), - .I2(y[34]), - .I3(y0__1_carry__5_n_7), - .I4(y0__107_carry__5_i_9_n_0), - .I5(y0__107_carry__5_i_11_n_0), - .O(y0__107_carry__5_i_2_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__5_i_3 - (.I0(y[34]), - .I1(sample_rate), - .I2(y[33]), - .I3(y0__1_carry__4_n_4), - .I4(y0__107_carry__5_i_12_n_0), - .I5(y0__107_carry__5_i_13_n_0), - .O(y0__107_carry__5_i_3_n_0)); - LUT6 #( - .INIT(64'hB84747B800000000)) - y0__107_carry__5_i_4 - (.I0(y[33]), - .I1(sample_rate), - .I2(y[32]), - .I3(y0__1_carry__4_n_5), - .I4(y0__107_carry__5_i_14_n_0), - .I5(y0__107_carry__4_i_16_n_0), - .O(y0__107_carry__5_i_4_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__5_i_5 - (.I0(y0__107_carry__5_i_1_n_0), - .I1(y0__107_carry__5_i_15_n_0), - .I2(y0__107_carry__5_i_16_n_0), - .O(y0__107_carry__5_i_5_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__5_i_6 - (.I0(y0__107_carry__5_i_2_n_0), - .I1(y0__107_carry__5_i_10_n_0), - .I2(y0__107_carry__5_i_17_n_0), - .O(y0__107_carry__5_i_6_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__5_i_7 - (.I0(y0__107_carry__5_i_3_n_0), - .I1(y0__107_carry__5_i_11_n_0), - .I2(y0__107_carry__5_i_18_n_0), - .O(y0__107_carry__5_i_7_n_0)); - LUT3 #( - .INIT(8'h96)) - y0__107_carry__5_i_8 - (.I0(y0__107_carry__5_i_4_n_0), - .I1(y0__107_carry__5_i_13_n_0), - .I2(y0__107_carry__5_i_19_n_0), - .O(y0__107_carry__5_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair21" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__5_i_9 - (.I0(din[13]), - .I1(sample_rate), - .I2(din[12]), - .O(y0__107_carry__5_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__6 - (.CI(y0__107_carry__5_n_0), - .CO({y0__107_carry__6_n_0,y0__107_carry__6_n_1,y0__107_carry__6_n_2,y0__107_carry__6_n_3}), - .CYINIT(1'b0), - .DI({y0__107_carry__6_i_1_n_0,y0__107_carry__6_i_2_n_0,y0__107_carry__6_i_3_n_0,y0__107_carry__6_i_4_n_0}), - .O(y0__0[31:28]), - .S({y0__107_carry__6_i_5_n_0,y0__107_carry__6_i_6_n_0,y0__107_carry__6_i_7_n_0,y0__107_carry__6_i_8_n_0})); - LUT3 #( - .INIT(8'hEC)) - y0__107_carry__6_i_1 - (.I0(y[38]), - .I1(y0__1_carry__6_n_6), - .I2(y0__1_carry__6_n_7), - .O(y0__107_carry__6_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT2 #( - .INIT(4'h6)) - y0__107_carry__6_i_10 - (.I0(din[15]), - .I1(y0__1_carry__5_n_4), - .O(y0__107_carry__6_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__6_i_11 - (.I0(y[38]), - .I1(sample_rate), - .I2(y[37]), - .O(y0__107_carry__6_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__6_i_12 - (.I0(din[15]), - .I1(sample_rate), - .I2(din[14]), - .O(y0__107_carry__6_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT3 #( - .INIT(8'hB8)) - y0__107_carry__6_i_13 - (.I0(y[37]), - .I1(sample_rate), - .I2(y[36]), - .O(y0__107_carry__6_i_13_n_0)); - LUT4 #( - .INIT(16'h0EE0)) - y0__107_carry__6_i_2 - (.I0(y0__1_carry__5_n_4), - .I1(din[15]), - .I2(y[38]), - .I3(y0__1_carry__6_n_7), - .O(y0__107_carry__6_i_2_n_0)); - LUT6 #( - .INIT(64'h828282EBEBEB82EB)) - y0__107_carry__6_i_3 - (.I0(y0__107_carry__6_i_9_n_0), - .I1(y0__1_carry__5_n_4), - .I2(din[15]), - .I3(y[37]), - .I4(sample_rate), - .I5(y[38]), - .O(y0__107_carry__6_i_3_n_0)); - LUT6 #( - .INIT(64'h656A9A959A95656A)) - y0__107_carry__6_i_4 - (.I0(y0__107_carry__6_i_9_n_0), - .I1(y[38]), - .I2(sample_rate), - .I3(y[37]), - .I4(din[15]), - .I5(y0__1_carry__5_n_4), - .O(y0__107_carry__6_i_4_n_0)); - LUT4 #( - .INIT(16'hEC13)) - y0__107_carry__6_i_5 - (.I0(y0__1_carry__6_n_7), - .I1(y0__1_carry__6_n_6), - .I2(y[38]), - .I3(y0__1_carry__6_n_5), - .O(y0__107_carry__6_i_5_n_0)); - LUT5 #( - .INIT(32'hF0E1E10F)) - y0__107_carry__6_i_6 - (.I0(din[15]), - .I1(y0__1_carry__5_n_4), - .I2(y0__1_carry__6_n_6), - .I3(y[38]), - .I4(y0__1_carry__6_n_7), - .O(y0__107_carry__6_i_6_n_0)); - LUT5 #( - .INIT(32'h69696996)) - y0__107_carry__6_i_7 - (.I0(y0__107_carry__6_i_3_n_0), - .I1(y0__1_carry__6_n_7), - .I2(y[38]), - .I3(din[15]), - .I4(y0__1_carry__5_n_4), - .O(y0__107_carry__6_i_7_n_0)); - LUT6 #( - .INIT(64'h9996699969996669)) - y0__107_carry__6_i_8 - (.I0(y0__107_carry__6_i_10_n_0), - .I1(y0__107_carry__6_i_11_n_0), - .I2(y0__107_carry__6_i_12_n_0), - .I3(y0__107_carry__6_i_13_n_0), - .I4(y0__1_carry__5_n_5), - .I5(y0__107_carry__5_i_16_n_0), - .O(y0__107_carry__6_i_8_n_0)); - LUT6 #( - .INIT(64'h02A2A2F20BABABFB)) - y0__107_carry__6_i_9 - (.I0(y0__1_carry__5_n_5), - .I1(y[36]), - .I2(sample_rate), - .I3(y[37]), - .I4(din[15]), - .I5(din[14]), - .O(y0__107_carry__6_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__7 - (.CI(y0__107_carry__6_n_0), - .CO({y0__107_carry__7_n_0,y0__107_carry__7_n_1,y0__107_carry__7_n_2,y0__107_carry__7_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__7_n_6,y0__1_carry__7_n_7,y0__1_carry__6_n_4,y0__1_carry__6_n_5}), - .O(y0__0[35:32]), - .S({y0__107_carry__7_i_1_n_0,y0__107_carry__7_i_2_n_0,y0__107_carry__7_i_3_n_0,y0__107_carry__7_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__7_i_1 - (.I0(y0__1_carry__7_n_6), - .I1(y0__1_carry__7_n_5), - .O(y0__107_carry__7_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__7_i_2 - (.I0(y0__1_carry__7_n_7), - .I1(y0__1_carry__7_n_6), - .O(y0__107_carry__7_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__7_i_3 - (.I0(y0__1_carry__6_n_4), - .I1(y0__1_carry__7_n_7), - .O(y0__107_carry__7_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__7_i_4 - (.I0(y0__1_carry__6_n_5), - .I1(y0__1_carry__6_n_4), - .O(y0__107_carry__7_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__107_carry__8 - (.CI(y0__107_carry__7_n_0), - .CO({NLW_y0__107_carry__8_CO_UNCONNECTED[3],y0__107_carry__8_n_1,y0__107_carry__8_n_2,y0__107_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,y0__1_carry__8_n_7,y0__1_carry__7_n_4,y0__1_carry__7_n_5}), - .O({y0,y0__0[38:36]}), - .S({y0__107_carry__8_i_1_n_0,y0__107_carry__8_i_2_n_0,y0__107_carry__8_i_3_n_0,y0__107_carry__8_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__8_i_1 - (.I0(y0__1_carry__8_n_6), - .I1(y0__1_carry__8_n_5), - .O(y0__107_carry__8_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__8_i_2 - (.I0(y0__1_carry__8_n_7), - .I1(y0__1_carry__8_n_6), - .O(y0__107_carry__8_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__8_i_3 - (.I0(y0__1_carry__7_n_4), - .I1(y0__1_carry__8_n_7), - .O(y0__107_carry__8_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__107_carry__8_i_4 - (.I0(y0__1_carry__7_n_5), - .I1(y0__1_carry__7_n_4), - .O(y0__107_carry__8_i_4_n_0)); - LUT4 #( - .INIT(16'h02A2)) - y0__107_carry_i_1 - (.I0(y0__1_carry_n_6), - .I1(y[11]), - .I2(sample_rate), - .I3(y[12]), - .O(y0__107_carry_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__107_carry_i_2 - (.I0(y0__1_carry_n_7), - .O(y0__107_carry_i_2_n_0)); - LUT3 #( - .INIT(8'h47)) - y0__107_carry_i_3 - (.I0(y[10]), - .I1(sample_rate), - .I2(y[9]), - .O(y0__107_carry_i_3_n_0)); - LUT6 #( - .INIT(64'hF3B40CB40C4BF34B)) - y0__107_carry_i_4 - (.I0(y[11]), - .I1(y0__1_carry_n_6), - .I2(y[12]), - .I3(sample_rate), - .I4(y[13]), - .I5(y0__1_carry_n_5), - .O(y0__107_carry_i_4_n_0)); - LUT5 #( - .INIT(32'h56A6A959)) - y0__107_carry_i_5 - (.I0(y0__1_carry_n_7), - .I1(y[11]), - .I2(sample_rate), - .I3(y[12]), - .I4(y0__1_carry_n_6), - .O(y0__107_carry_i_5_n_0)); - LUT4 #( - .INIT(16'h56A6)) - y0__107_carry_i_6 - (.I0(y0__1_carry_n_7), - .I1(y[10]), - .I2(sample_rate), - .I3(y[11]), - .O(y0__107_carry_i_6_n_0)); - LUT4 #( - .INIT(16'h1DE2)) - y0__107_carry_i_7 - (.I0(y[9]), - .I1(sample_rate), - .I2(y[10]), - .I3(y[0]), - .O(y0__107_carry_i_7_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry - (.CI(1'b0), - .CO({y0__1_carry_n_0,y0__1_carry_n_1,y0__1_carry_n_2,y0__1_carry_n_3}), - .CYINIT(y[0]), - .DI(y[4:1]), - .O({y0__1_carry_n_4,y0__1_carry_n_5,y0__1_carry_n_6,y0__1_carry_n_7}), - .S({y0__1_carry_i_1_n_0,y0__1_carry_i_2_n_0,y0__1_carry_i_3_n_0,y0__1_carry_i_4_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__0 - (.CI(y0__1_carry_n_0), - .CO({y0__1_carry__0_n_0,y0__1_carry__0_n_1,y0__1_carry__0_n_2,y0__1_carry__0_n_3}), - .CYINIT(1'b0), - .DI(y[8:5]), - .O({y0__1_carry__0_n_4,y0__1_carry__0_n_5,y0__1_carry__0_n_6,y0__1_carry__0_n_7}), - .S({y0__1_carry__0_i_1_n_0,y0__1_carry__0_i_2_n_0,y0__1_carry__0_i_3_n_0,y0__1_carry__0_i_4_n_0})); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__0_i_1 - (.I0(y[8]), - .O(y0__1_carry__0_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__0_i_2 - (.I0(y[7]), - .O(y0__1_carry__0_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__0_i_3 - (.I0(y[6]), - .O(y0__1_carry__0_i_3_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__0_i_4 - (.I0(y[5]), - .O(y0__1_carry__0_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__1 - (.CI(y0__1_carry__0_n_0), - .CO({y0__1_carry__1_n_0,y0__1_carry__1_n_1,y0__1_carry__1_n_2,y0__1_carry__1_n_3}), - .CYINIT(1'b0), - .DI(y[12:9]), - .O({y0__1_carry__1_n_4,y0__1_carry__1_n_5,y0__1_carry__1_n_6,y0__1_carry__1_n_7}), - .S({y0__1_carry__1_i_1_n_0,y0__1_carry__1_i_2_n_0,y0__1_carry__1_i_3_n_0,y0__1_carry__1_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__1_i_1 - (.I0(y[12]), - .I1(x1[12]), - .O(y0__1_carry__1_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__1_i_2 - (.I0(y[11]), - .O(y0__1_carry__1_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__1_i_3 - (.I0(y[10]), - .O(y0__1_carry__1_i_3_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry__1_i_4 - (.I0(y[9]), - .O(y0__1_carry__1_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__2 - (.CI(y0__1_carry__1_n_0), - .CO({y0__1_carry__2_n_0,y0__1_carry__2_n_1,y0__1_carry__2_n_2,y0__1_carry__2_n_3}), - .CYINIT(1'b0), - .DI(y[16:13]), - .O({y0__1_carry__2_n_4,y0__1_carry__2_n_5,y0__1_carry__2_n_6,y0__1_carry__2_n_7}), - .S({y0__1_carry__2_i_1_n_0,y0__1_carry__2_i_2_n_0,y0__1_carry__2_i_3_n_0,y0__1_carry__2_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__2_i_1 - (.I0(y[16]), - .I1(x1[16]), - .O(y0__1_carry__2_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__2_i_2 - (.I0(y[15]), - .I1(x1[15]), - .O(y0__1_carry__2_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__2_i_3 - (.I0(y[14]), - .I1(x1[14]), - .O(y0__1_carry__2_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__2_i_4 - (.I0(y[13]), - .I1(x1[13]), - .O(y0__1_carry__2_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__3 - (.CI(y0__1_carry__2_n_0), - .CO({y0__1_carry__3_n_0,y0__1_carry__3_n_1,y0__1_carry__3_n_2,y0__1_carry__3_n_3}), - .CYINIT(1'b0), - .DI(y[20:17]), - .O({y0__1_carry__3_n_4,y0__1_carry__3_n_5,y0__1_carry__3_n_6,y0__1_carry__3_n_7}), - .S({y0__1_carry__3_i_1_n_0,y0__1_carry__3_i_2_n_0,y0__1_carry__3_i_3_n_0,y0__1_carry__3_i_4_n_0})); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__3_i_1 - (.I0(y[20]), - .I1(x1[20]), - .O(y0__1_carry__3_i_1_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__3_i_2 - (.I0(y[19]), - .I1(x1[19]), - .O(y0__1_carry__3_i_2_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__3_i_3 - (.I0(y[18]), - .I1(x1[18]), - .O(y0__1_carry__3_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__3_i_4 - (.I0(y[17]), - .I1(x1[17]), - .O(y0__1_carry__3_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__4 - (.CI(y0__1_carry__3_n_0), - .CO({y0__1_carry__4_n_0,y0__1_carry__4_n_1,y0__1_carry__4_n_2,y0__1_carry__4_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__4_i_1_n_0,din[0],y[22:21]}), - .O({y0__1_carry__4_n_4,y0__1_carry__4_n_5,y0__1_carry__4_n_6,y0__1_carry__4_n_7}), - .S({y0__1_carry__4_i_2_n_0,y0__1_carry__4_i_3_n_0,y0__1_carry__4_i_4_n_0,y0__1_carry__4_i_5_n_0})); - LUT3 #( - .INIT(8'h69)) - y0__1_carry__4_i_1 - (.I0(din[1]), - .I1(y[24]), - .I2(x1[24]), - .O(y0__1_carry__4_i_1_n_0)); - (* HLUTNM = "lutpair0" *) - LUT5 #( - .INIT(32'h69966969)) - y0__1_carry__4_i_2 - (.I0(y[24]), - .I1(x1[24]), - .I2(din[1]), - .I3(x1[23]), - .I4(y[23]), - .O(y0__1_carry__4_i_2_n_0)); - LUT3 #( - .INIT(8'h69)) - y0__1_carry__4_i_3 - (.I0(x1[23]), - .I1(y[23]), - .I2(din[0]), - .O(y0__1_carry__4_i_3_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__4_i_4 - (.I0(y[22]), - .I1(x1[22]), - .O(y0__1_carry__4_i_4_n_0)); - LUT2 #( - .INIT(4'h9)) - y0__1_carry__4_i_5 - (.I0(y[21]), - .I1(x1[21]), - .O(y0__1_carry__4_i_5_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__5 - (.CI(y0__1_carry__4_n_0), - .CO({y0__1_carry__5_n_0,y0__1_carry__5_n_1,y0__1_carry__5_n_2,y0__1_carry__5_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__5_i_1_n_0,y0__1_carry__5_i_2_n_0,y0__1_carry__5_i_3_n_0,y0__1_carry__5_i_4_n_0}), - .O({y0__1_carry__5_n_4,y0__1_carry__5_n_5,y0__1_carry__5_n_6,y0__1_carry__5_n_7}), - .S({y0__1_carry__5_i_5_n_0,y0__1_carry__5_i_6_n_0,y0__1_carry__5_i_7_n_0,y0__1_carry__5_i_8_n_0})); - (* HLUTNM = "lutpair1" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__5_i_1 - (.I0(x1[27]), - .I1(din[4]), - .I2(y[27]), - .O(y0__1_carry__5_i_1_n_0)); - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__5_i_2 - (.I0(x1[26]), - .I1(din[3]), - .I2(y[26]), - .O(y0__1_carry__5_i_2_n_0)); - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__5_i_3 - (.I0(x1[25]), - .I1(din[2]), - .I2(y[25]), - .O(y0__1_carry__5_i_3_n_0)); - (* HLUTNM = "lutpair0" *) - LUT3 #( - .INIT(8'hB2)) - y0__1_carry__5_i_4 - (.I0(y[24]), - .I1(x1[24]), - .I2(din[1]), - .O(y0__1_carry__5_i_4_n_0)); - (* HLUTNM = "lutpair2" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__5_i_5 - (.I0(x1[28]), - .I1(din[5]), - .I2(y[28]), - .I3(y0__1_carry__5_i_1_n_0), - .O(y0__1_carry__5_i_5_n_0)); - (* HLUTNM = "lutpair1" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__5_i_6 - (.I0(x1[27]), - .I1(din[4]), - .I2(y[27]), - .I3(y0__1_carry__5_i_2_n_0), - .O(y0__1_carry__5_i_6_n_0)); - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__5_i_7 - (.I0(x1[26]), - .I1(din[3]), - .I2(y[26]), - .I3(y0__1_carry__5_i_3_n_0), - .O(y0__1_carry__5_i_7_n_0)); - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__5_i_8 - (.I0(x1[25]), - .I1(din[2]), - .I2(y[25]), - .I3(y0__1_carry__5_i_4_n_0), - .O(y0__1_carry__5_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__6 - (.CI(y0__1_carry__5_n_0), - .CO({y0__1_carry__6_n_0,y0__1_carry__6_n_1,y0__1_carry__6_n_2,y0__1_carry__6_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__6_i_1_n_0,y0__1_carry__6_i_2_n_0,y0__1_carry__6_i_3_n_0,y0__1_carry__6_i_4_n_0}), - .O({y0__1_carry__6_n_4,y0__1_carry__6_n_5,y0__1_carry__6_n_6,y0__1_carry__6_n_7}), - .S({y0__1_carry__6_i_5_n_0,y0__1_carry__6_i_6_n_0,y0__1_carry__6_i_7_n_0,y0__1_carry__6_i_8_n_0})); - (* HLUTNM = "lutpair5" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__6_i_1 - (.I0(x1[31]), - .I1(y[31]), - .I2(din[8]), - .O(y0__1_carry__6_i_1_n_0)); - (* HLUTNM = "lutpair4" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__6_i_2 - (.I0(x1[30]), - .I1(y[30]), - .I2(din[7]), - .O(y0__1_carry__6_i_2_n_0)); - (* HLUTNM = "lutpair3" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__6_i_3 - (.I0(x1[29]), - .I1(y[29]), - .I2(din[6]), - .O(y0__1_carry__6_i_3_n_0)); - (* HLUTNM = "lutpair2" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__6_i_4 - (.I0(x1[28]), - .I1(din[5]), - .I2(y[28]), - .O(y0__1_carry__6_i_4_n_0)); - (* HLUTNM = "lutpair6" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__6_i_5 - (.I0(x1[32]), - .I1(y[32]), - .I2(din[9]), - .I3(y0__1_carry__6_i_1_n_0), - .O(y0__1_carry__6_i_5_n_0)); - (* HLUTNM = "lutpair5" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__6_i_6 - (.I0(x1[31]), - .I1(y[31]), - .I2(din[8]), - .I3(y0__1_carry__6_i_2_n_0), - .O(y0__1_carry__6_i_6_n_0)); - (* HLUTNM = "lutpair4" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__6_i_7 - (.I0(x1[30]), - .I1(y[30]), - .I2(din[7]), - .I3(y0__1_carry__6_i_3_n_0), - .O(y0__1_carry__6_i_7_n_0)); - (* HLUTNM = "lutpair3" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__6_i_8 - (.I0(x1[29]), - .I1(y[29]), - .I2(din[6]), - .I3(y0__1_carry__6_i_4_n_0), - .O(y0__1_carry__6_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__7 - (.CI(y0__1_carry__6_n_0), - .CO({y0__1_carry__7_n_0,y0__1_carry__7_n_1,y0__1_carry__7_n_2,y0__1_carry__7_n_3}), - .CYINIT(1'b0), - .DI({y0__1_carry__7_i_1_n_0,y0__1_carry__7_i_2_n_0,y0__1_carry__7_i_3_n_0,y0__1_carry__7_i_4_n_0}), - .O({y0__1_carry__7_n_4,y0__1_carry__7_n_5,y0__1_carry__7_n_6,y0__1_carry__7_n_7}), - .S({y0__1_carry__7_i_5_n_0,y0__1_carry__7_i_6_n_0,y0__1_carry__7_i_7_n_0,y0__1_carry__7_i_8_n_0})); - (* HLUTNM = "lutpair9" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__7_i_1 - (.I0(x1[35]), - .I1(y[35]), - .I2(din[12]), - .O(y0__1_carry__7_i_1_n_0)); - (* HLUTNM = "lutpair8" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__7_i_2 - (.I0(x1[34]), - .I1(y[34]), - .I2(din[11]), - .O(y0__1_carry__7_i_2_n_0)); - (* HLUTNM = "lutpair7" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__7_i_3 - (.I0(x1[33]), - .I1(y[33]), - .I2(din[10]), - .O(y0__1_carry__7_i_3_n_0)); - (* HLUTNM = "lutpair6" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__7_i_4 - (.I0(x1[32]), - .I1(y[32]), - .I2(din[9]), - .O(y0__1_carry__7_i_4_n_0)); - (* HLUTNM = "lutpair10" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__7_i_5 - (.I0(x1[36]), - .I1(y[36]), - .I2(din[13]), - .I3(y0__1_carry__7_i_1_n_0), - .O(y0__1_carry__7_i_5_n_0)); - (* HLUTNM = "lutpair9" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__7_i_6 - (.I0(x1[35]), - .I1(y[35]), - .I2(din[12]), - .I3(y0__1_carry__7_i_2_n_0), - .O(y0__1_carry__7_i_6_n_0)); - (* HLUTNM = "lutpair8" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__7_i_7 - (.I0(x1[34]), - .I1(y[34]), - .I2(din[11]), - .I3(y0__1_carry__7_i_3_n_0), - .O(y0__1_carry__7_i_7_n_0)); - (* HLUTNM = "lutpair7" *) - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__7_i_8 - (.I0(x1[33]), - .I1(y[33]), - .I2(din[10]), - .I3(y0__1_carry__7_i_4_n_0), - .O(y0__1_carry__7_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y0__1_carry__8 - (.CI(y0__1_carry__7_n_0), - .CO({NLW_y0__1_carry__8_CO_UNCONNECTED[3:2],y0__1_carry__8_n_2,y0__1_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,y0__1_carry__8_i_1_n_0,y0__1_carry__8_i_2_n_0}), - .O({NLW_y0__1_carry__8_O_UNCONNECTED[3],y0__1_carry__8_n_5,y0__1_carry__8_n_6,y0__1_carry__8_n_7}), - .S({1'b0,y0__1_carry__8_i_3_n_0,y0__1_carry__8_i_4_n_0,y0__1_carry__8_i_5_n_0})); - LUT3 #( - .INIT(8'h69)) - y0__1_carry__8_i_1 - (.I0(din[15]), - .I1(x1[38]), - .I2(y[38]), - .O(y0__1_carry__8_i_1_n_0)); - (* HLUTNM = "lutpair10" *) - LUT3 #( - .INIT(8'hD4)) - y0__1_carry__8_i_2 - (.I0(x1[36]), - .I1(y[36]), - .I2(din[13]), - .O(y0__1_carry__8_i_2_n_0)); - LUT4 #( - .INIT(16'hE187)) - y0__1_carry__8_i_3 - (.I0(din[15]), - .I1(y[38]), - .I2(x1[39]), - .I3(x1[38]), - .O(y0__1_carry__8_i_3_n_0)); - LUT6 #( - .INIT(64'h9669696996969669)) - y0__1_carry__8_i_4 - (.I0(y[38]), - .I1(x1[38]), - .I2(din[15]), - .I3(din[14]), - .I4(y[37]), - .I5(x1[37]), - .O(y0__1_carry__8_i_4_n_0)); - LUT4 #( - .INIT(16'h9669)) - y0__1_carry__8_i_5 - (.I0(y0__1_carry__8_i_2_n_0), - .I1(y[37]), - .I2(x1[37]), - .I3(din[14]), - .O(y0__1_carry__8_i_5_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry_i_1 - (.I0(y[4]), - .O(y0__1_carry_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry_i_2 - (.I0(y[3]), - .O(y0__1_carry_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry_i_3 - (.I0(y[2]), - .O(y0__1_carry_i_3_n_0)); - LUT1 #( - .INIT(2'h1)) - y0__1_carry_i_4 - (.I0(y[1]), - .O(y0__1_carry_i_4_n_0)); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT3 #( - .INIT(8'hD4)) - \y[0]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[0]), - .O(\y[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT3 #( - .INIT(8'hD4)) - \y[10]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[10]), - .O(\y[10]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT3 #( - .INIT(8'hD4)) - \y[11]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[11]), - .O(\y[11]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT3 #( - .INIT(8'hD4)) - \y[12]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[12]), - .O(\y[12]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT3 #( - .INIT(8'hD4)) - \y[13]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[13]), - .O(\y[13]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT3 #( - .INIT(8'hD4)) - \y[14]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[14]), - .O(\y[14]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT3 #( - .INIT(8'hD4)) - \y[15]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[15]), - .O(\y[15]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT3 #( - .INIT(8'hD4)) - \y[16]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[16]), - .O(\y[16]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT3 #( - .INIT(8'hD4)) - \y[17]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[17]), - .O(\y[17]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT3 #( - .INIT(8'hD4)) - \y[18]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[18]), - .O(\y[18]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT3 #( - .INIT(8'hD4)) - \y[19]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[19]), - .O(\y[19]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT3 #( - .INIT(8'hD4)) - \y[1]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[1]), - .O(\y[1]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT3 #( - .INIT(8'hD4)) - \y[20]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[20]), - .O(\y[20]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT3 #( - .INIT(8'hD4)) - \y[21]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[21]), - .O(\y[21]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair8" *) - LUT3 #( - .INIT(8'hD4)) - \y[22]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[22]), - .O(\y[22]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair8" *) - LUT3 #( - .INIT(8'hD4)) - \y[23]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[23]), - .O(\y[23]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'hD4)) - \y[24]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[24]), - .O(\y[24]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'hD4)) - \y[25]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[25]), - .O(\y[25]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT3 #( - .INIT(8'hD4)) - \y[26]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[26]), - .O(\y[26]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT3 #( - .INIT(8'hD4)) - \y[27]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[27]), - .O(\y[27]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT3 #( - .INIT(8'hD4)) - \y[28]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[28]), - .O(\y[28]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT3 #( - .INIT(8'hD4)) - \y[29]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[29]), - .O(\y[29]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT3 #( - .INIT(8'hD4)) - \y[2]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[2]), - .O(\y[2]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT3 #( - .INIT(8'hD4)) - \y[30]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[30]), - .O(\y[30]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT3 #( - .INIT(8'hD4)) - \y[31]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[31]), - .O(\y[31]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT3 #( - .INIT(8'hD4)) - \y[32]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[32]), - .O(\y[32]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT3 #( - .INIT(8'hD4)) - \y[33]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[33]), - .O(\y[33]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT3 #( - .INIT(8'hD4)) - \y[34]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[34]), - .O(\y[34]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT3 #( - .INIT(8'hD4)) - \y[35]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[35]), - .O(\y[35]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT3 #( - .INIT(8'hD4)) - \y[36]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[36]), - .O(\y[36]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT3 #( - .INIT(8'hD4)) - \y[37]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[37]), - .O(\y[37]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT3 #( - .INIT(8'hD4)) - \y[3]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[3]), - .O(\y[3]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT3 #( - .INIT(8'hD4)) - \y[4]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[4]), - .O(\y[4]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT3 #( - .INIT(8'hD4)) - \y[5]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[5]), - .O(\y[5]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT3 #( - .INIT(8'hD4)) - \y[6]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[6]), - .O(\y[6]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT3 #( - .INIT(8'hD4)) - \y[7]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[7]), - .O(\y[7]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT3 #( - .INIT(8'hD4)) - \y[8]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[8]), - .O(\y[8]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT3 #( - .INIT(8'hD4)) - \y[9]_i_1 - (.I0(y0), - .I1(y0__0[38]), - .I2(y0__0[9]), - .O(\y[9]_i_1_n_0 )); - FDRE \y_reg[0] - (.C(clk), - .CE(ce), - .D(\y[0]_i_1_n_0 ), - .Q(y[0]), - .R(1'b0)); - FDRE \y_reg[10] - (.C(clk), - .CE(ce), - .D(\y[10]_i_1_n_0 ), - .Q(y[10]), - .R(1'b0)); - FDRE \y_reg[11] - (.C(clk), - .CE(ce), - .D(\y[11]_i_1_n_0 ), - .Q(y[11]), - .R(1'b0)); - FDRE \y_reg[12] - (.C(clk), - .CE(ce), - .D(\y[12]_i_1_n_0 ), - .Q(y[12]), - .R(1'b0)); - FDRE \y_reg[13] - (.C(clk), - .CE(ce), - .D(\y[13]_i_1_n_0 ), - .Q(y[13]), - .R(1'b0)); - FDRE \y_reg[14] - (.C(clk), - .CE(ce), - .D(\y[14]_i_1_n_0 ), - .Q(y[14]), - .R(1'b0)); - FDRE \y_reg[15] - (.C(clk), - .CE(ce), - .D(\y[15]_i_1_n_0 ), - .Q(y[15]), - .R(1'b0)); - FDRE \y_reg[16] - (.C(clk), - .CE(ce), - .D(\y[16]_i_1_n_0 ), - .Q(y[16]), - .R(1'b0)); - FDRE \y_reg[17] - (.C(clk), - .CE(ce), - .D(\y[17]_i_1_n_0 ), - .Q(y[17]), - .R(1'b0)); - FDRE \y_reg[18] - (.C(clk), - .CE(ce), - .D(\y[18]_i_1_n_0 ), - .Q(y[18]), - .R(1'b0)); - FDRE \y_reg[19] - (.C(clk), - .CE(ce), - .D(\y[19]_i_1_n_0 ), - .Q(y[19]), - .R(1'b0)); - FDRE \y_reg[1] - (.C(clk), - .CE(ce), - .D(\y[1]_i_1_n_0 ), - .Q(y[1]), - .R(1'b0)); - FDRE \y_reg[20] - (.C(clk), - .CE(ce), - .D(\y[20]_i_1_n_0 ), - .Q(y[20]), - .R(1'b0)); - FDRE \y_reg[21] - (.C(clk), - .CE(ce), - .D(\y[21]_i_1_n_0 ), - .Q(y[21]), - .R(1'b0)); - FDRE \y_reg[22] - (.C(clk), - .CE(ce), - .D(\y[22]_i_1_n_0 ), - .Q(y[22]), - .R(1'b0)); - FDRE \y_reg[23] - (.C(clk), - .CE(ce), - .D(\y[23]_i_1_n_0 ), - .Q(y[23]), - .R(1'b0)); - FDRE \y_reg[24] - (.C(clk), - .CE(ce), - .D(\y[24]_i_1_n_0 ), - .Q(y[24]), - .R(1'b0)); - FDRE \y_reg[25] - (.C(clk), - .CE(ce), - .D(\y[25]_i_1_n_0 ), - .Q(y[25]), - .R(1'b0)); - FDRE \y_reg[26] - (.C(clk), - .CE(ce), - .D(\y[26]_i_1_n_0 ), - .Q(y[26]), - .R(1'b0)); - FDRE \y_reg[27] - (.C(clk), - .CE(ce), - .D(\y[27]_i_1_n_0 ), - .Q(y[27]), - .R(1'b0)); - FDRE \y_reg[28] - (.C(clk), - .CE(ce), - .D(\y[28]_i_1_n_0 ), - .Q(y[28]), - .R(1'b0)); - FDRE \y_reg[29] - (.C(clk), - .CE(ce), - .D(\y[29]_i_1_n_0 ), - .Q(y[29]), - .R(1'b0)); - FDRE \y_reg[2] - (.C(clk), - .CE(ce), - .D(\y[2]_i_1_n_0 ), - .Q(y[2]), - .R(1'b0)); - FDRE \y_reg[30] - (.C(clk), - .CE(ce), - .D(\y[30]_i_1_n_0 ), - .Q(y[30]), - .R(1'b0)); - FDRE \y_reg[31] - (.C(clk), - .CE(ce), - .D(\y[31]_i_1_n_0 ), - .Q(y[31]), - .R(1'b0)); - FDRE \y_reg[32] - (.C(clk), - .CE(ce), - .D(\y[32]_i_1_n_0 ), - .Q(y[32]), - .R(1'b0)); - FDRE \y_reg[33] - (.C(clk), - .CE(ce), - .D(\y[33]_i_1_n_0 ), - .Q(y[33]), - .R(1'b0)); - FDRE \y_reg[34] - (.C(clk), - .CE(ce), - .D(\y[34]_i_1_n_0 ), - .Q(y[34]), - .R(1'b0)); - FDRE \y_reg[35] - (.C(clk), - .CE(ce), - .D(\y[35]_i_1_n_0 ), - .Q(y[35]), - .R(1'b0)); - FDRE \y_reg[36] - (.C(clk), - .CE(ce), - .D(\y[36]_i_1_n_0 ), - .Q(y[36]), - .R(1'b0)); - FDRE \y_reg[37] - (.C(clk), - .CE(ce), - .D(\y[37]_i_1_n_0 ), - .Q(y[37]), - .R(1'b0)); - FDRE \y_reg[38] - (.C(clk), - .CE(ce), - .D(y0), - .Q(y[38]), - .R(1'b0)); - FDRE \y_reg[3] - (.C(clk), - .CE(ce), - .D(\y[3]_i_1_n_0 ), - .Q(y[3]), - .R(1'b0)); - FDRE \y_reg[4] - (.C(clk), - .CE(ce), - .D(\y[4]_i_1_n_0 ), - .Q(y[4]), - .R(1'b0)); - FDRE \y_reg[5] - (.C(clk), - .CE(ce), - .D(\y[5]_i_1_n_0 ), - .Q(y[5]), - .R(1'b0)); - FDRE \y_reg[6] - (.C(clk), - .CE(ce), - .D(\y[6]_i_1_n_0 ), - .Q(y[6]), - .R(1'b0)); - FDRE \y_reg[7] - (.C(clk), - .CE(ce), - .D(\y[7]_i_1_n_0 ), - .Q(y[7]), - .R(1'b0)); - FDRE \y_reg[8] - (.C(clk), - .CE(ce), - .D(\y[8]_i_1_n_0 ), - .Q(y[8]), - .R(1'b0)); - FDRE \y_reg[9] - (.C(clk), - .CE(ce), - .D(\y[9]_i_1_n_0 ), - .Q(y[9]), - .R(1'b0)); -endmodule - -(* CHECK_LICENSE_TYPE = "audio_DC_blocker_0_0,DC_blocker,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) -(* X_CORE_INFO = "DC_blocker,Vivado 2021.2" *) -(* NotValidForBitStream *) -module audio_DC_blocker_1_0 - (clk, - ce, - mute, - sample_rate, - din, - dout); - (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0" *) input clk; - input ce; - input mute; - input sample_rate; - input [15:0]din; - output [15:0]dout; - - wire ce; - wire clk; - wire [15:0]din; - wire [15:0]dout; - wire mute; - wire sample_rate; - - audio_DC_blocker_1_0_DC_blocker inst - (.ce(ce), - .clk(clk), - .din(din), - .dout(dout), - .mute(mute), - .sample_rate(sample_rate)); -endmodule -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - parameter GRES_WIDTH = 10000; - parameter GRES_START = 10000; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - wire GRESTORE; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - reg GRESTORE_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (strong1, weak0) GSR = GSR_int; - assign (strong1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - assign (strong1, weak0) GRESTORE = GRESTORE_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - - initial begin - GRESTORE_int = 1'b0; - #(GRES_START); - GRESTORE_int = 1'b1; - #(GRES_WIDTH); - GRESTORE_int = 1'b0; - end - -endmodule -`endif diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_sim_netlist.vhdl deleted file mode 100644 index e06ab86d..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_sim_netlist.vhdl +++ /dev/null @@ -1,4307 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:49 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode funcsim -rename_top audio_DC_blocker_1_0 -prefix --- audio_DC_blocker_1_0_ audio_DC_blocker_0_0_sim_netlist.vhdl --- Design : audio_DC_blocker_0_0 --- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or --- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_DC_blocker_1_0_DC_blocker is - port ( - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ); - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - sample_rate : in STD_LOGIC; - ce : in STD_LOGIC; - clk : in STD_LOGIC; - mute : in STD_LOGIC - ); -end audio_DC_blocker_1_0_DC_blocker; - -architecture STRUCTURE of audio_DC_blocker_1_0_DC_blocker is - signal p_0_in0 : STD_LOGIC; - signal x0 : STD_LOGIC_VECTOR ( 39 downto 12 ); - signal x00 : STD_LOGIC_VECTOR ( 12 to 12 ); - signal \x0_carry__0_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__0_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__0_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__0_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__0_n_0\ : STD_LOGIC; - signal \x0_carry__0_n_1\ : STD_LOGIC; - signal \x0_carry__0_n_2\ : STD_LOGIC; - signal \x0_carry__0_n_3\ : STD_LOGIC; - signal \x0_carry__1_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__1_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__1_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__1_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__1_n_0\ : STD_LOGIC; - signal \x0_carry__1_n_1\ : STD_LOGIC; - signal \x0_carry__1_n_2\ : STD_LOGIC; - signal \x0_carry__1_n_3\ : STD_LOGIC; - signal \x0_carry__2_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__2_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__2_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__2_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__2_n_0\ : STD_LOGIC; - signal \x0_carry__2_n_1\ : STD_LOGIC; - signal \x0_carry__2_n_2\ : STD_LOGIC; - signal \x0_carry__2_n_3\ : STD_LOGIC; - signal \x0_carry__3_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__3_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__3_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__3_i_5_n_0\ : STD_LOGIC; - signal \x0_carry__3_n_0\ : STD_LOGIC; - signal \x0_carry__3_n_1\ : STD_LOGIC; - signal \x0_carry__3_n_2\ : STD_LOGIC; - signal \x0_carry__3_n_3\ : STD_LOGIC; - signal \x0_carry__4_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__4_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__4_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__4_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__4_n_0\ : STD_LOGIC; - signal \x0_carry__4_n_1\ : STD_LOGIC; - signal \x0_carry__4_n_2\ : STD_LOGIC; - signal \x0_carry__4_n_3\ : STD_LOGIC; - signal \x0_carry__5_i_1_n_0\ : STD_LOGIC; - signal \x0_carry__5_i_2_n_0\ : STD_LOGIC; - signal \x0_carry__5_i_3_n_0\ : STD_LOGIC; - signal \x0_carry__5_i_4_n_0\ : STD_LOGIC; - signal \x0_carry__5_n_0\ : STD_LOGIC; - signal \x0_carry__5_n_1\ : STD_LOGIC; - signal \x0_carry__5_n_2\ : STD_LOGIC; - signal \x0_carry__5_n_3\ : STD_LOGIC; - signal x0_carry_i_1_n_0 : STD_LOGIC; - signal x0_carry_i_2_n_0 : STD_LOGIC; - signal x0_carry_i_3_n_0 : STD_LOGIC; - signal x0_carry_n_0 : STD_LOGIC; - signal x0_carry_n_1 : STD_LOGIC; - signal x0_carry_n_2 : STD_LOGIC; - signal x0_carry_n_3 : STD_LOGIC; - signal x1 : STD_LOGIC_VECTOR ( 39 downto 12 ); - signal y : STD_LOGIC_VECTOR ( 38 downto 0 ); - signal y0 : STD_LOGIC_VECTOR ( 39 to 39 ); - signal \y0__0\ : STD_LOGIC_VECTOR ( 38 downto 0 ); - signal \y0__107_carry__0_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_n_0\ : STD_LOGIC; - signal \y0__107_carry__0_n_1\ : STD_LOGIC; - signal \y0__107_carry__0_n_2\ : STD_LOGIC; - signal \y0__107_carry__0_n_3\ : STD_LOGIC; - signal \y0__107_carry__1_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_n_0\ : STD_LOGIC; - signal \y0__107_carry__1_n_1\ : STD_LOGIC; - signal \y0__107_carry__1_n_2\ : STD_LOGIC; - signal \y0__107_carry__1_n_3\ : STD_LOGIC; - signal \y0__107_carry__2_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_14_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_15_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_16_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_n_0\ : STD_LOGIC; - signal \y0__107_carry__2_n_1\ : STD_LOGIC; - signal \y0__107_carry__2_n_2\ : STD_LOGIC; - signal \y0__107_carry__2_n_3\ : STD_LOGIC; - signal \y0__107_carry__3_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_14_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_15_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_16_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_17_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_18_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_19_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_n_0\ : STD_LOGIC; - signal \y0__107_carry__3_n_1\ : STD_LOGIC; - signal \y0__107_carry__3_n_2\ : STD_LOGIC; - signal \y0__107_carry__3_n_3\ : STD_LOGIC; - signal \y0__107_carry__4_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_14_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_15_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_16_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_17_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_18_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_19_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_20_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_n_0\ : STD_LOGIC; - signal \y0__107_carry__4_n_1\ : STD_LOGIC; - signal \y0__107_carry__4_n_2\ : STD_LOGIC; - signal \y0__107_carry__4_n_3\ : STD_LOGIC; - signal \y0__107_carry__5_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_14_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_15_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_16_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_17_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_18_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_19_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_n_0\ : STD_LOGIC; - signal \y0__107_carry__5_n_1\ : STD_LOGIC; - signal \y0__107_carry__5_n_2\ : STD_LOGIC; - signal \y0__107_carry__5_n_3\ : STD_LOGIC; - signal \y0__107_carry__6_i_10_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_11_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_12_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_13_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_8_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_i_9_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_n_0\ : STD_LOGIC; - signal \y0__107_carry__6_n_1\ : STD_LOGIC; - signal \y0__107_carry__6_n_2\ : STD_LOGIC; - signal \y0__107_carry__6_n_3\ : STD_LOGIC; - signal \y0__107_carry__7_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_n_0\ : STD_LOGIC; - signal \y0__107_carry__7_n_1\ : STD_LOGIC; - signal \y0__107_carry__7_n_2\ : STD_LOGIC; - signal \y0__107_carry__7_n_3\ : STD_LOGIC; - signal \y0__107_carry__8_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry__8_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry__8_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry__8_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry__8_n_1\ : STD_LOGIC; - signal \y0__107_carry__8_n_2\ : STD_LOGIC; - signal \y0__107_carry__8_n_3\ : STD_LOGIC; - signal \y0__107_carry_i_1_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_2_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_3_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_4_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_5_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_6_n_0\ : STD_LOGIC; - signal \y0__107_carry_i_7_n_0\ : STD_LOGIC; - signal \y0__107_carry_n_0\ : STD_LOGIC; - signal \y0__107_carry_n_1\ : STD_LOGIC; - signal \y0__107_carry_n_2\ : STD_LOGIC; - signal \y0__107_carry_n_3\ : STD_LOGIC; - signal \y0__1_carry__0_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_n_0\ : STD_LOGIC; - signal \y0__1_carry__0_n_1\ : STD_LOGIC; - signal \y0__1_carry__0_n_2\ : STD_LOGIC; - signal \y0__1_carry__0_n_3\ : STD_LOGIC; - signal \y0__1_carry__0_n_4\ : STD_LOGIC; - signal \y0__1_carry__0_n_5\ : STD_LOGIC; - signal \y0__1_carry__0_n_6\ : STD_LOGIC; - signal \y0__1_carry__0_n_7\ : STD_LOGIC; - signal \y0__1_carry__1_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_n_0\ : STD_LOGIC; - signal \y0__1_carry__1_n_1\ : STD_LOGIC; - signal \y0__1_carry__1_n_2\ : STD_LOGIC; - signal \y0__1_carry__1_n_3\ : STD_LOGIC; - signal \y0__1_carry__1_n_4\ : STD_LOGIC; - signal \y0__1_carry__1_n_5\ : STD_LOGIC; - signal \y0__1_carry__1_n_6\ : STD_LOGIC; - signal \y0__1_carry__1_n_7\ : STD_LOGIC; - signal \y0__1_carry__2_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_n_0\ : STD_LOGIC; - signal \y0__1_carry__2_n_1\ : STD_LOGIC; - signal \y0__1_carry__2_n_2\ : STD_LOGIC; - signal \y0__1_carry__2_n_3\ : STD_LOGIC; - signal \y0__1_carry__2_n_4\ : STD_LOGIC; - signal \y0__1_carry__2_n_5\ : STD_LOGIC; - signal \y0__1_carry__2_n_6\ : STD_LOGIC; - signal \y0__1_carry__2_n_7\ : STD_LOGIC; - signal \y0__1_carry__3_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_n_0\ : STD_LOGIC; - signal \y0__1_carry__3_n_1\ : STD_LOGIC; - signal \y0__1_carry__3_n_2\ : STD_LOGIC; - signal \y0__1_carry__3_n_3\ : STD_LOGIC; - signal \y0__1_carry__3_n_4\ : STD_LOGIC; - signal \y0__1_carry__3_n_5\ : STD_LOGIC; - signal \y0__1_carry__3_n_6\ : STD_LOGIC; - signal \y0__1_carry__3_n_7\ : STD_LOGIC; - signal \y0__1_carry__4_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_n_0\ : STD_LOGIC; - signal \y0__1_carry__4_n_1\ : STD_LOGIC; - signal \y0__1_carry__4_n_2\ : STD_LOGIC; - signal \y0__1_carry__4_n_3\ : STD_LOGIC; - signal \y0__1_carry__4_n_4\ : STD_LOGIC; - signal \y0__1_carry__4_n_5\ : STD_LOGIC; - signal \y0__1_carry__4_n_6\ : STD_LOGIC; - signal \y0__1_carry__4_n_7\ : STD_LOGIC; - signal \y0__1_carry__5_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_6_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_7_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_i_8_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_n_0\ : STD_LOGIC; - signal \y0__1_carry__5_n_1\ : STD_LOGIC; - signal \y0__1_carry__5_n_2\ : STD_LOGIC; - signal \y0__1_carry__5_n_3\ : STD_LOGIC; - signal \y0__1_carry__5_n_4\ : STD_LOGIC; - signal \y0__1_carry__5_n_5\ : STD_LOGIC; - signal \y0__1_carry__5_n_6\ : STD_LOGIC; - signal \y0__1_carry__5_n_7\ : STD_LOGIC; - signal \y0__1_carry__6_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_6_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_7_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_i_8_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_n_0\ : STD_LOGIC; - signal \y0__1_carry__6_n_1\ : STD_LOGIC; - signal \y0__1_carry__6_n_2\ : STD_LOGIC; - signal \y0__1_carry__6_n_3\ : STD_LOGIC; - signal \y0__1_carry__6_n_4\ : STD_LOGIC; - signal \y0__1_carry__6_n_5\ : STD_LOGIC; - signal \y0__1_carry__6_n_6\ : STD_LOGIC; - signal \y0__1_carry__6_n_7\ : STD_LOGIC; - signal \y0__1_carry__7_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_6_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_7_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_i_8_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_n_0\ : STD_LOGIC; - signal \y0__1_carry__7_n_1\ : STD_LOGIC; - signal \y0__1_carry__7_n_2\ : STD_LOGIC; - signal \y0__1_carry__7_n_3\ : STD_LOGIC; - signal \y0__1_carry__7_n_4\ : STD_LOGIC; - signal \y0__1_carry__7_n_5\ : STD_LOGIC; - signal \y0__1_carry__7_n_6\ : STD_LOGIC; - signal \y0__1_carry__7_n_7\ : STD_LOGIC; - signal \y0__1_carry__8_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_i_5_n_0\ : STD_LOGIC; - signal \y0__1_carry__8_n_2\ : STD_LOGIC; - signal \y0__1_carry__8_n_3\ : STD_LOGIC; - signal \y0__1_carry__8_n_5\ : STD_LOGIC; - signal \y0__1_carry__8_n_6\ : STD_LOGIC; - signal \y0__1_carry__8_n_7\ : STD_LOGIC; - signal \y0__1_carry_i_1_n_0\ : STD_LOGIC; - signal \y0__1_carry_i_2_n_0\ : STD_LOGIC; - signal \y0__1_carry_i_3_n_0\ : STD_LOGIC; - signal \y0__1_carry_i_4_n_0\ : STD_LOGIC; - signal \y0__1_carry_n_0\ : STD_LOGIC; - signal \y0__1_carry_n_1\ : STD_LOGIC; - signal \y0__1_carry_n_2\ : STD_LOGIC; - signal \y0__1_carry_n_3\ : STD_LOGIC; - signal \y0__1_carry_n_4\ : STD_LOGIC; - signal \y0__1_carry_n_5\ : STD_LOGIC; - signal \y0__1_carry_n_6\ : STD_LOGIC; - signal \y0__1_carry_n_7\ : STD_LOGIC; - signal \y[0]_i_1_n_0\ : STD_LOGIC; - signal \y[10]_i_1_n_0\ : STD_LOGIC; - signal \y[11]_i_1_n_0\ : STD_LOGIC; - signal \y[12]_i_1_n_0\ : STD_LOGIC; - signal \y[13]_i_1_n_0\ : STD_LOGIC; - signal \y[14]_i_1_n_0\ : STD_LOGIC; - signal \y[15]_i_1_n_0\ : STD_LOGIC; - signal \y[16]_i_1_n_0\ : STD_LOGIC; - signal \y[17]_i_1_n_0\ : STD_LOGIC; - signal \y[18]_i_1_n_0\ : STD_LOGIC; - signal \y[19]_i_1_n_0\ : STD_LOGIC; - signal \y[1]_i_1_n_0\ : STD_LOGIC; - signal \y[20]_i_1_n_0\ : STD_LOGIC; - signal \y[21]_i_1_n_0\ : STD_LOGIC; - signal \y[22]_i_1_n_0\ : STD_LOGIC; - signal \y[23]_i_1_n_0\ : STD_LOGIC; - signal \y[24]_i_1_n_0\ : STD_LOGIC; - signal \y[25]_i_1_n_0\ : STD_LOGIC; - signal \y[26]_i_1_n_0\ : STD_LOGIC; - signal \y[27]_i_1_n_0\ : STD_LOGIC; - signal \y[28]_i_1_n_0\ : STD_LOGIC; - signal \y[29]_i_1_n_0\ : STD_LOGIC; - signal \y[2]_i_1_n_0\ : STD_LOGIC; - signal \y[30]_i_1_n_0\ : STD_LOGIC; - signal \y[31]_i_1_n_0\ : STD_LOGIC; - signal \y[32]_i_1_n_0\ : STD_LOGIC; - signal \y[33]_i_1_n_0\ : STD_LOGIC; - signal \y[34]_i_1_n_0\ : STD_LOGIC; - signal \y[35]_i_1_n_0\ : STD_LOGIC; - signal \y[36]_i_1_n_0\ : STD_LOGIC; - signal \y[37]_i_1_n_0\ : STD_LOGIC; - signal \y[3]_i_1_n_0\ : STD_LOGIC; - signal \y[4]_i_1_n_0\ : STD_LOGIC; - signal \y[5]_i_1_n_0\ : STD_LOGIC; - signal \y[6]_i_1_n_0\ : STD_LOGIC; - signal \y[7]_i_1_n_0\ : STD_LOGIC; - signal \y[8]_i_1_n_0\ : STD_LOGIC; - signal \y[9]_i_1_n_0\ : STD_LOGIC; - signal NLW_x0_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \NLW_x0_carry__6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_x0_carry__6_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_y0__107_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_y0__1_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_y0__1_carry__8_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \dout[10]_INST_0\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \dout[11]_INST_0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \dout[12]_INST_0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \dout[13]_INST_0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \dout[14]_INST_0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \dout[15]_INST_0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \dout[1]_INST_0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \dout[2]_INST_0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \dout[3]_INST_0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \dout[4]_INST_0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \dout[5]_INST_0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \dout[6]_INST_0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \dout[7]_INST_0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \dout[8]_INST_0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \dout[9]_INST_0\ : label is "soft_lutpair30"; - attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of \y0__107_carry\ : label is 35; - attribute ADDER_THRESHOLD of \y0__107_carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \y0__107_carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \y0__107_carry__2\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__2_i_15\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \y0__107_carry__2_i_16\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \y0__107_carry__2_i_9\ : label is "soft_lutpair26"; - attribute ADDER_THRESHOLD of \y0__107_carry__3\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__3_i_11\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \y0__107_carry__3_i_13\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \y0__107_carry__3_i_9\ : label is "soft_lutpair24"; - attribute ADDER_THRESHOLD of \y0__107_carry__4\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__4_i_11\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \y0__107_carry__4_i_13\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \y0__107_carry__4_i_15\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \y0__107_carry__4_i_9\ : label is "soft_lutpair22"; - attribute ADDER_THRESHOLD of \y0__107_carry__5\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__5_i_12\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \y0__107_carry__5_i_14\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \y0__107_carry__5_i_9\ : label is "soft_lutpair21"; - attribute ADDER_THRESHOLD of \y0__107_carry__6\ : label is 35; - attribute SOFT_HLUTNM of \y0__107_carry__6_i_10\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \y0__107_carry__6_i_11\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \y0__107_carry__6_i_12\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \y0__107_carry__6_i_13\ : label is "soft_lutpair26"; - attribute ADDER_THRESHOLD of \y0__107_carry__7\ : label is 35; - attribute ADDER_THRESHOLD of \y0__107_carry__8\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \y0__1_carry__4\ : label is 35; - attribute HLUTNM : string; - attribute HLUTNM of \y0__1_carry__4_i_2\ : label is "lutpair0"; - attribute ADDER_THRESHOLD of \y0__1_carry__5\ : label is 35; - attribute HLUTNM of \y0__1_carry__5_i_1\ : label is "lutpair1"; - attribute HLUTNM of \y0__1_carry__5_i_4\ : label is "lutpair0"; - attribute HLUTNM of \y0__1_carry__5_i_5\ : label is "lutpair2"; - attribute HLUTNM of \y0__1_carry__5_i_6\ : label is "lutpair1"; - attribute ADDER_THRESHOLD of \y0__1_carry__6\ : label is 35; - attribute HLUTNM of \y0__1_carry__6_i_1\ : label is "lutpair5"; - attribute HLUTNM of \y0__1_carry__6_i_2\ : label is "lutpair4"; - attribute HLUTNM of \y0__1_carry__6_i_3\ : label is "lutpair3"; - attribute HLUTNM of \y0__1_carry__6_i_4\ : label is "lutpair2"; - attribute HLUTNM of \y0__1_carry__6_i_5\ : label is "lutpair6"; - attribute HLUTNM of \y0__1_carry__6_i_6\ : label is "lutpair5"; - attribute HLUTNM of \y0__1_carry__6_i_7\ : label is "lutpair4"; - attribute HLUTNM of \y0__1_carry__6_i_8\ : label is "lutpair3"; - attribute ADDER_THRESHOLD of \y0__1_carry__7\ : label is 35; - attribute HLUTNM of \y0__1_carry__7_i_1\ : label is "lutpair9"; - attribute HLUTNM of \y0__1_carry__7_i_2\ : label is "lutpair8"; - attribute HLUTNM of \y0__1_carry__7_i_3\ : label is "lutpair7"; - attribute HLUTNM of \y0__1_carry__7_i_4\ : label is "lutpair6"; - attribute HLUTNM of \y0__1_carry__7_i_5\ : label is "lutpair10"; - attribute HLUTNM of \y0__1_carry__7_i_6\ : label is "lutpair9"; - attribute HLUTNM of \y0__1_carry__7_i_7\ : label is "lutpair8"; - attribute HLUTNM of \y0__1_carry__7_i_8\ : label is "lutpair7"; - attribute ADDER_THRESHOLD of \y0__1_carry__8\ : label is 35; - attribute HLUTNM of \y0__1_carry__8_i_2\ : label is "lutpair10"; - attribute SOFT_HLUTNM of \y[0]_i_1\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \y[10]_i_1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \y[11]_i_1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \y[12]_i_1\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \y[13]_i_1\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \y[14]_i_1\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \y[15]_i_1\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \y[16]_i_1\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \y[17]_i_1\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \y[18]_i_1\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \y[19]_i_1\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \y[1]_i_1\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \y[20]_i_1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \y[21]_i_1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \y[22]_i_1\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \y[23]_i_1\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \y[24]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \y[25]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \y[26]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \y[27]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \y[28]_i_1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \y[29]_i_1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \y[2]_i_1\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \y[30]_i_1\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \y[31]_i_1\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \y[32]_i_1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \y[33]_i_1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \y[34]_i_1\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \y[35]_i_1\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \y[36]_i_1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \y[37]_i_1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \y[3]_i_1\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \y[4]_i_1\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \y[5]_i_1\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \y[6]_i_1\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \y[7]_i_1\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \y[8]_i_1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \y[9]_i_1\ : label is "soft_lutpair15"; -begin -\dout[0]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(23), - I1 => mute, - O => dout(0) - ); -\dout[10]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(33), - I1 => mute, - O => dout(10) - ); -\dout[11]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(34), - I1 => mute, - O => dout(11) - ); -\dout[12]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(35), - I1 => mute, - O => dout(12) - ); -\dout[13]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(36), - I1 => mute, - O => dout(13) - ); -\dout[14]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(37), - I1 => mute, - O => dout(14) - ); -\dout[15]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(38), - I1 => mute, - O => dout(15) - ); -\dout[1]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(24), - I1 => mute, - O => dout(1) - ); -\dout[2]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(25), - I1 => mute, - O => dout(2) - ); -\dout[3]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(26), - I1 => mute, - O => dout(3) - ); -\dout[4]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(27), - I1 => mute, - O => dout(4) - ); -\dout[5]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(28), - I1 => mute, - O => dout(5) - ); -\dout[6]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(29), - I1 => mute, - O => dout(6) - ); -\dout[7]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(30), - I1 => mute, - O => dout(7) - ); -\dout[8]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(31), - I1 => mute, - O => dout(8) - ); -\dout[9]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => y(32), - I1 => mute, - O => dout(9) - ); -x0_carry: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => x0_carry_n_0, - CO(2) => x0_carry_n_1, - CO(1) => x0_carry_n_2, - CO(0) => x0_carry_n_3, - CYINIT => '0', - DI(3 downto 2) => B"00", - DI(1) => x0_carry_i_1_n_0, - DI(0) => '0', - O(3 downto 1) => x0(14 downto 12), - O(0) => NLW_x0_carry_O_UNCONNECTED(0), - S(3) => x0_carry_i_2_n_0, - S(2) => x0_carry_i_3_n_0, - S(1) => x00(12), - S(0) => '0' - ); -\x0_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => x0_carry_n_0, - CO(3) => \x0_carry__0_n_0\, - CO(2) => \x0_carry__0_n_1\, - CO(1) => \x0_carry__0_n_2\, - CO(0) => \x0_carry__0_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 0) => x0(18 downto 15), - S(3) => \x0_carry__0_i_1_n_0\, - S(2) => \x0_carry__0_i_2_n_0\, - S(1) => \x0_carry__0_i_3_n_0\, - S(0) => \x0_carry__0_i_4_n_0\ - ); -\x0_carry__0_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(5), - I1 => sample_rate, - I2 => din(6), - O => \x0_carry__0_i_1_n_0\ - ); -\x0_carry__0_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(4), - I1 => sample_rate, - I2 => din(5), - O => \x0_carry__0_i_2_n_0\ - ); -\x0_carry__0_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(3), - I1 => sample_rate, - I2 => din(4), - O => \x0_carry__0_i_3_n_0\ - ); -\x0_carry__0_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(2), - I1 => sample_rate, - I2 => din(3), - O => \x0_carry__0_i_4_n_0\ - ); -\x0_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__0_n_0\, - CO(3) => \x0_carry__1_n_0\, - CO(2) => \x0_carry__1_n_1\, - CO(1) => \x0_carry__1_n_2\, - CO(0) => \x0_carry__1_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 0) => x0(22 downto 19), - S(3) => \x0_carry__1_i_1_n_0\, - S(2) => \x0_carry__1_i_2_n_0\, - S(1) => \x0_carry__1_i_3_n_0\, - S(0) => \x0_carry__1_i_4_n_0\ - ); -\x0_carry__1_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(9), - I1 => sample_rate, - I2 => din(10), - O => \x0_carry__1_i_1_n_0\ - ); -\x0_carry__1_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(8), - I1 => sample_rate, - I2 => din(9), - O => \x0_carry__1_i_2_n_0\ - ); -\x0_carry__1_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(7), - I1 => sample_rate, - I2 => din(8), - O => \x0_carry__1_i_3_n_0\ - ); -\x0_carry__1_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(6), - I1 => sample_rate, - I2 => din(7), - O => \x0_carry__1_i_4_n_0\ - ); -\x0_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__1_n_0\, - CO(3) => \x0_carry__2_n_0\, - CO(2) => \x0_carry__2_n_1\, - CO(1) => \x0_carry__2_n_2\, - CO(0) => \x0_carry__2_n_3\, - CYINIT => '0', - DI(3 downto 0) => din(3 downto 0), - O(3 downto 0) => x0(26 downto 23), - S(3) => \x0_carry__2_i_1_n_0\, - S(2) => \x0_carry__2_i_2_n_0\, - S(1) => \x0_carry__2_i_3_n_0\, - S(0) => \x0_carry__2_i_4_n_0\ - ); -\x0_carry__2_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(3), - I1 => din(13), - I2 => sample_rate, - I3 => din(14), - O => \x0_carry__2_i_1_n_0\ - ); -\x0_carry__2_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(2), - I1 => din(12), - I2 => sample_rate, - I3 => din(13), - O => \x0_carry__2_i_2_n_0\ - ); -\x0_carry__2_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(1), - I1 => din(11), - I2 => sample_rate, - I3 => din(12), - O => \x0_carry__2_i_3_n_0\ - ); -\x0_carry__2_i_4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(0), - I1 => din(10), - I2 => sample_rate, - I3 => din(11), - O => \x0_carry__2_i_4_n_0\ - ); -\x0_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__2_n_0\, - CO(3) => \x0_carry__3_n_0\, - CO(2) => \x0_carry__3_n_1\, - CO(1) => \x0_carry__3_n_2\, - CO(0) => \x0_carry__3_n_3\, - CYINIT => '0', - DI(3) => din(6), - DI(2) => din(15), - DI(1) => p_0_in0, - DI(0) => din(4), - O(3 downto 0) => x0(30 downto 27), - S(3) => \x0_carry__3_i_2_n_0\, - S(2) => \x0_carry__3_i_3_n_0\, - S(1) => \x0_carry__3_i_4_n_0\, - S(0) => \x0_carry__3_i_5_n_0\ - ); -\x0_carry__3_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => din(15), - O => p_0_in0 - ); -\x0_carry__3_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(6), - I1 => din(7), - O => \x0_carry__3_i_2_n_0\ - ); -\x0_carry__3_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(15), - I1 => din(6), - O => \x0_carry__3_i_3_n_0\ - ); -\x0_carry__3_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(15), - I1 => din(5), - O => \x0_carry__3_i_4_n_0\ - ); -\x0_carry__3_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A959" - ) - port map ( - I0 => din(4), - I1 => din(14), - I2 => sample_rate, - I3 => din(15), - O => \x0_carry__3_i_5_n_0\ - ); -\x0_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__3_n_0\, - CO(3) => \x0_carry__4_n_0\, - CO(2) => \x0_carry__4_n_1\, - CO(1) => \x0_carry__4_n_2\, - CO(0) => \x0_carry__4_n_3\, - CYINIT => '0', - DI(3 downto 0) => din(10 downto 7), - O(3 downto 0) => x0(34 downto 31), - S(3) => \x0_carry__4_i_1_n_0\, - S(2) => \x0_carry__4_i_2_n_0\, - S(1) => \x0_carry__4_i_3_n_0\, - S(0) => \x0_carry__4_i_4_n_0\ - ); -\x0_carry__4_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(10), - I1 => din(11), - O => \x0_carry__4_i_1_n_0\ - ); -\x0_carry__4_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(9), - I1 => din(10), - O => \x0_carry__4_i_2_n_0\ - ); -\x0_carry__4_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(8), - I1 => din(9), - O => \x0_carry__4_i_3_n_0\ - ); -\x0_carry__4_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(7), - I1 => din(8), - O => \x0_carry__4_i_4_n_0\ - ); -\x0_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__4_n_0\, - CO(3) => \x0_carry__5_n_0\, - CO(2) => \x0_carry__5_n_1\, - CO(1) => \x0_carry__5_n_2\, - CO(0) => \x0_carry__5_n_3\, - CYINIT => '0', - DI(3 downto 0) => din(14 downto 11), - O(3 downto 0) => x0(38 downto 35), - S(3) => \x0_carry__5_i_1_n_0\, - S(2) => \x0_carry__5_i_2_n_0\, - S(1) => \x0_carry__5_i_3_n_0\, - S(0) => \x0_carry__5_i_4_n_0\ - ); -\x0_carry__5_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(14), - I1 => din(15), - O => \x0_carry__5_i_1_n_0\ - ); -\x0_carry__5_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(13), - I1 => din(14), - O => \x0_carry__5_i_2_n_0\ - ); -\x0_carry__5_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(12), - I1 => din(13), - O => \x0_carry__5_i_3_n_0\ - ); -\x0_carry__5_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => din(11), - I1 => din(12), - O => \x0_carry__5_i_4_n_0\ - ); -\x0_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \x0_carry__5_n_0\, - CO(3 downto 0) => \NLW_x0_carry__6_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_x0_carry__6_O_UNCONNECTED\(3 downto 1), - O(0) => x0(39), - S(3 downto 0) => B"0001" - ); -x0_carry_i_1: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => din(0), - I1 => sample_rate, - O => x0_carry_i_1_n_0 - ); -x0_carry_i_2: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(1), - I1 => sample_rate, - I2 => din(2), - O => x0_carry_i_2_n_0 - ); -x0_carry_i_3: unisim.vcomponents.LUT3 - generic map( - INIT => X"1D" - ) - port map ( - I0 => din(0), - I1 => sample_rate, - I2 => din(1), - O => x0_carry_i_3_n_0 - ); -x0_carry_i_4: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => sample_rate, - I1 => din(0), - O => x00(12) - ); -\x1_reg[12]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(12), - Q => x1(12), - R => '0' - ); -\x1_reg[13]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(13), - Q => x1(13), - R => '0' - ); -\x1_reg[14]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(14), - Q => x1(14), - R => '0' - ); -\x1_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(15), - Q => x1(15), - R => '0' - ); -\x1_reg[16]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(16), - Q => x1(16), - R => '0' - ); -\x1_reg[17]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(17), - Q => x1(17), - R => '0' - ); -\x1_reg[18]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(18), - Q => x1(18), - R => '0' - ); -\x1_reg[19]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(19), - Q => x1(19), - R => '0' - ); -\x1_reg[20]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(20), - Q => x1(20), - R => '0' - ); -\x1_reg[21]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(21), - Q => x1(21), - R => '0' - ); -\x1_reg[22]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(22), - Q => x1(22), - R => '0' - ); -\x1_reg[23]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(23), - Q => x1(23), - R => '0' - ); -\x1_reg[24]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(24), - Q => x1(24), - R => '0' - ); -\x1_reg[25]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(25), - Q => x1(25), - R => '0' - ); -\x1_reg[26]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(26), - Q => x1(26), - R => '0' - ); -\x1_reg[27]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(27), - Q => x1(27), - R => '0' - ); -\x1_reg[28]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(28), - Q => x1(28), - R => '0' - ); -\x1_reg[29]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(29), - Q => x1(29), - R => '0' - ); -\x1_reg[30]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(30), - Q => x1(30), - R => '0' - ); -\x1_reg[31]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(31), - Q => x1(31), - R => '0' - ); -\x1_reg[32]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(32), - Q => x1(32), - R => '0' - ); -\x1_reg[33]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(33), - Q => x1(33), - R => '0' - ); -\x1_reg[34]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(34), - Q => x1(34), - R => '0' - ); -\x1_reg[35]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(35), - Q => x1(35), - R => '0' - ); -\x1_reg[36]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(36), - Q => x1(36), - R => '0' - ); -\x1_reg[37]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(37), - Q => x1(37), - R => '0' - ); -\x1_reg[38]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(38), - Q => x1(38), - R => '0' - ); -\x1_reg[39]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => x0(39), - Q => x1(39), - R => '0' - ); -\y0__107_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y0__107_carry_n_0\, - CO(2) => \y0__107_carry_n_1\, - CO(1) => \y0__107_carry_n_2\, - CO(0) => \y0__107_carry_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry_i_1_n_0\, - DI(2) => \y0__1_carry_n_7\, - DI(1) => \y0__107_carry_i_2_n_0\, - DI(0) => \y0__107_carry_i_3_n_0\, - O(3 downto 0) => \y0__0\(3 downto 0), - S(3) => \y0__107_carry_i_4_n_0\, - S(2) => \y0__107_carry_i_5_n_0\, - S(1) => \y0__107_carry_i_6_n_0\, - S(0) => \y0__107_carry_i_7_n_0\ - ); -\y0__107_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry_n_0\, - CO(3) => \y0__107_carry__0_n_0\, - CO(2) => \y0__107_carry__0_n_1\, - CO(1) => \y0__107_carry__0_n_2\, - CO(0) => \y0__107_carry__0_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__0_i_1_n_0\, - DI(2) => \y0__107_carry__0_i_2_n_0\, - DI(1) => \y0__107_carry__0_i_3_n_0\, - DI(0) => \y0__107_carry__0_i_4_n_0\, - O(3 downto 0) => \y0__0\(7 downto 4), - S(3) => \y0__107_carry__0_i_5_n_0\, - S(2) => \y0__107_carry__0_i_6_n_0\, - S(1) => \y0__107_carry__0_i_7_n_0\, - S(0) => \y0__107_carry__0_i_8_n_0\ - ); -\y0__107_carry__0_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__0_n_6\, - I1 => y(15), - I2 => sample_rate, - I3 => y(16), - O => \y0__107_carry__0_i_1_n_0\ - ); -\y0__107_carry__0_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__0_n_7\, - I1 => y(14), - I2 => sample_rate, - I3 => y(15), - O => \y0__107_carry__0_i_2_n_0\ - ); -\y0__107_carry__0_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry_n_4\, - I1 => y(13), - I2 => sample_rate, - I3 => y(14), - O => \y0__107_carry__0_i_3_n_0\ - ); -\y0__107_carry__0_i_4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry_n_5\, - I1 => y(12), - I2 => sample_rate, - I3 => y(13), - O => \y0__107_carry__0_i_4_n_0\ - ); -\y0__107_carry__0_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(15), - I1 => \y0__1_carry__0_n_6\, - I2 => y(16), - I3 => sample_rate, - I4 => y(17), - I5 => \y0__1_carry__0_n_5\, - O => \y0__107_carry__0_i_5_n_0\ - ); -\y0__107_carry__0_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(14), - I1 => \y0__1_carry__0_n_7\, - I2 => y(15), - I3 => sample_rate, - I4 => y(16), - I5 => \y0__1_carry__0_n_6\, - O => \y0__107_carry__0_i_6_n_0\ - ); -\y0__107_carry__0_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(13), - I1 => \y0__1_carry_n_4\, - I2 => y(14), - I3 => sample_rate, - I4 => y(15), - I5 => \y0__1_carry__0_n_7\, - O => \y0__107_carry__0_i_7_n_0\ - ); -\y0__107_carry__0_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(12), - I1 => \y0__1_carry_n_5\, - I2 => y(13), - I3 => sample_rate, - I4 => y(14), - I5 => \y0__1_carry_n_4\, - O => \y0__107_carry__0_i_8_n_0\ - ); -\y0__107_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__0_n_0\, - CO(3) => \y0__107_carry__1_n_0\, - CO(2) => \y0__107_carry__1_n_1\, - CO(1) => \y0__107_carry__1_n_2\, - CO(0) => \y0__107_carry__1_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__1_i_1_n_0\, - DI(2) => \y0__107_carry__1_i_2_n_0\, - DI(1) => \y0__107_carry__1_i_3_n_0\, - DI(0) => \y0__107_carry__1_i_4_n_0\, - O(3 downto 0) => \y0__0\(11 downto 8), - S(3) => \y0__107_carry__1_i_5_n_0\, - S(2) => \y0__107_carry__1_i_6_n_0\, - S(1) => \y0__107_carry__1_i_7_n_0\, - S(0) => \y0__107_carry__1_i_8_n_0\ - ); -\y0__107_carry__1_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__1_n_6\, - I1 => y(19), - I2 => sample_rate, - I3 => y(20), - O => \y0__107_carry__1_i_1_n_0\ - ); -\y0__107_carry__1_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__1_n_7\, - I1 => y(18), - I2 => sample_rate, - I3 => y(19), - O => \y0__107_carry__1_i_2_n_0\ - ); -\y0__107_carry__1_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__0_n_4\, - I1 => y(17), - I2 => sample_rate, - I3 => y(18), - O => \y0__107_carry__1_i_3_n_0\ - ); -\y0__107_carry__1_i_4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry__0_n_5\, - I1 => y(16), - I2 => sample_rate, - I3 => y(17), - O => \y0__107_carry__1_i_4_n_0\ - ); -\y0__107_carry__1_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F0BB3C440F44C3BB" - ) - port map ( - I0 => y(19), - I1 => \y0__1_carry__1_n_6\, - I2 => y(21), - I3 => sample_rate, - I4 => y(20), - I5 => \y0__1_carry__1_n_5\, - O => \y0__107_carry__1_i_5_n_0\ - ); -\y0__107_carry__1_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(18), - I1 => \y0__1_carry__1_n_7\, - I2 => y(19), - I3 => sample_rate, - I4 => y(20), - I5 => \y0__1_carry__1_n_6\, - O => \y0__107_carry__1_i_6_n_0\ - ); -\y0__107_carry__1_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(17), - I1 => \y0__1_carry__0_n_4\, - I2 => y(18), - I3 => sample_rate, - I4 => y(19), - I5 => \y0__1_carry__1_n_7\, - O => \y0__107_carry__1_i_7_n_0\ - ); -\y0__107_carry__1_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(16), - I1 => \y0__1_carry__0_n_5\, - I2 => y(17), - I3 => sample_rate, - I4 => y(18), - I5 => \y0__1_carry__0_n_4\, - O => \y0__107_carry__1_i_8_n_0\ - ); -\y0__107_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__1_n_0\, - CO(3) => \y0__107_carry__2_n_0\, - CO(2) => \y0__107_carry__2_n_1\, - CO(1) => \y0__107_carry__2_n_2\, - CO(0) => \y0__107_carry__2_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__2_i_1_n_0\, - DI(2) => \y0__107_carry__2_i_2_n_0\, - DI(1) => \y0__107_carry__2_i_3_n_0\, - DI(0) => \y0__107_carry__2_i_4_n_0\, - O(3 downto 0) => \y0__0\(15 downto 12), - S(3) => \y0__107_carry__2_i_5_n_0\, - S(2) => \y0__107_carry__2_i_6_n_0\, - S(1) => \y0__107_carry__2_i_7_n_0\, - S(0) => \y0__107_carry__2_i_8_n_0\ - ); -\y0__107_carry__2_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000444DDD4D" - ) - port map ( - I0 => \y0__107_carry__2_i_9_n_0\, - I1 => \y0__1_carry__2_n_7\, - I2 => y(22), - I3 => sample_rate, - I4 => y(23), - I5 => \y0__107_carry__2_i_10_n_0\, - O => \y0__107_carry__2_i_1_n_0\ - ); -\y0__107_carry__2_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5A5A3CC3A5A53CC3" - ) - port map ( - I0 => din(2), - I1 => din(1), - I2 => \y0__1_carry__2_n_6\, - I3 => y(23), - I4 => sample_rate, - I5 => y(24), - O => \y0__107_carry__2_i_10_n_0\ - ); -\y0__107_carry__2_i_11\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(1), - I1 => din(0), - I2 => \y0__1_carry__2_n_7\, - I3 => y(22), - I4 => sample_rate, - I5 => y(23), - O => \y0__107_carry__2_i_11_n_0\ - ); -\y0__107_carry__2_i_12\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__2_n_6\, - I1 => din(1), - I2 => sample_rate, - I3 => din(2), - I4 => y(24), - I5 => y(23), - O => \y0__107_carry__2_i_12_n_0\ - ); -\y0__107_carry__2_i_13\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(3), - I1 => din(2), - I2 => \y0__1_carry__2_n_5\, - I3 => y(24), - I4 => sample_rate, - I5 => y(25), - O => \y0__107_carry__2_i_13_n_0\ - ); -\y0__107_carry__2_i_14\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B8FF88FC30BB00B8" - ) - port map ( - I0 => y(23), - I1 => sample_rate, - I2 => y(22), - I3 => \y0__1_carry__2_n_7\, - I4 => din(0), - I5 => din(1), - O => \y0__107_carry__2_i_14_n_0\ - ); -\y0__107_carry__2_i_15\: unisim.vcomponents.LUT5 - generic map( - INIT => X"00020A02" - ) - port map ( - I0 => \y0__1_carry__1_n_5\, - I1 => y(20), - I2 => y(21), - I3 => sample_rate, - I4 => y(22), - O => \y0__107_carry__2_i_15_n_0\ - ); -\y0__107_carry__2_i_16\: unisim.vcomponents.LUT4 - generic map( - INIT => X"E2FF" - ) - port map ( - I0 => y(20), - I1 => sample_rate, - I2 => y(21), - I3 => \y0__1_carry__1_n_5\, - O => \y0__107_carry__2_i_16_n_0\ - ); -\y0__107_carry__2_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0407000000000000" - ) - port map ( - I0 => y(22), - I1 => sample_rate, - I2 => y(21), - I3 => y(20), - I4 => \y0__1_carry__1_n_5\, - I5 => \y0__107_carry__2_i_11_n_0\, - O => \y0__107_carry__2_i_2_n_0\ - ); -\y0__107_carry__2_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"FBF8FFFF04070000" - ) - port map ( - I0 => y(22), - I1 => sample_rate, - I2 => y(21), - I3 => y(20), - I4 => \y0__1_carry__1_n_5\, - I5 => \y0__107_carry__2_i_11_n_0\, - O => \y0__107_carry__2_i_3_n_0\ - ); -\y0__107_carry__2_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"6A" - ) - port map ( - I0 => \y0__1_carry__1_n_4\, - I1 => din(0), - I2 => sample_rate, - O => \y0__107_carry__2_i_4_n_0\ - ); -\y0__107_carry__2_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__2_i_1_n_0\, - I1 => \y0__107_carry__2_i_12_n_0\, - I2 => \y0__107_carry__2_i_13_n_0\, - O => \y0__107_carry__2_i_5_n_0\ - ); -\y0__107_carry__2_i_6\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__2_i_2_n_0\, - I1 => \y0__107_carry__2_i_10_n_0\, - I2 => \y0__107_carry__2_i_14_n_0\, - O => \y0__107_carry__2_i_6_n_0\ - ); -\y0__107_carry__2_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"99996999" - ) - port map ( - I0 => \y0__107_carry__2_i_11_n_0\, - I1 => \y0__107_carry__2_i_15_n_0\, - I2 => sample_rate, - I3 => din(0), - I4 => \y0__1_carry__1_n_4\, - O => \y0__107_carry__2_i_7_n_0\ - ); -\y0__107_carry__2_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"69CC6933963396CC" - ) - port map ( - I0 => din(0), - I1 => \y0__1_carry__1_n_4\, - I2 => y(22), - I3 => sample_rate, - I4 => y(21), - I5 => \y0__107_carry__2_i_16_n_0\, - O => \y0__107_carry__2_i_8_n_0\ - ); -\y0__107_carry__2_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(1), - I1 => sample_rate, - I2 => din(0), - O => \y0__107_carry__2_i_9_n_0\ - ); -\y0__107_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__2_n_0\, - CO(3) => \y0__107_carry__3_n_0\, - CO(2) => \y0__107_carry__3_n_1\, - CO(1) => \y0__107_carry__3_n_2\, - CO(0) => \y0__107_carry__3_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__3_i_1_n_0\, - DI(2) => \y0__107_carry__3_i_2_n_0\, - DI(1) => \y0__107_carry__3_i_3_n_0\, - DI(0) => \y0__107_carry__3_i_4_n_0\, - O(3 downto 0) => \y0__0\(19 downto 16), - S(3) => \y0__107_carry__3_i_5_n_0\, - S(2) => \y0__107_carry__3_i_6_n_0\, - S(1) => \y0__107_carry__3_i_7_n_0\, - S(0) => \y0__107_carry__3_i_8_n_0\ - ); -\y0__107_carry__3_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(28), - I1 => sample_rate, - I2 => y(27), - I3 => \y0__1_carry__3_n_6\, - I4 => \y0__107_carry__3_i_9_n_0\, - I5 => \y0__107_carry__3_i_10_n_0\, - O => \y0__107_carry__3_i_1_n_0\ - ); -\y0__107_carry__3_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__3_n_7\, - I1 => din(4), - I2 => sample_rate, - I3 => din(5), - I4 => y(27), - I5 => y(26), - O => \y0__107_carry__3_i_10_n_0\ - ); -\y0__107_carry__3_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(5), - I1 => sample_rate, - I2 => din(4), - O => \y0__107_carry__3_i_11_n_0\ - ); -\y0__107_carry__3_i_12\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__2_n_4\, - I1 => din(3), - I2 => sample_rate, - I3 => din(4), - I4 => y(26), - I5 => y(25), - O => \y0__107_carry__3_i_12_n_0\ - ); -\y0__107_carry__3_i_13\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(3), - I1 => sample_rate, - I2 => din(2), - O => \y0__107_carry__3_i_13_n_0\ - ); -\y0__107_carry__3_i_14\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5A5A3CC3A5A53CC3" - ) - port map ( - I0 => din(4), - I1 => din(3), - I2 => \y0__1_carry__2_n_4\, - I3 => y(25), - I4 => sample_rate, - I5 => y(26), - O => \y0__107_carry__3_i_14_n_0\ - ); -\y0__107_carry__3_i_15\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__3_n_6\, - I1 => din(5), - I2 => sample_rate, - I3 => din(6), - I4 => y(28), - I5 => y(27), - O => \y0__107_carry__3_i_15_n_0\ - ); -\y0__107_carry__3_i_16\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(7), - I1 => din(6), - I2 => \y0__1_carry__3_n_5\, - I3 => y(28), - I4 => sample_rate, - I5 => y(29), - O => \y0__107_carry__3_i_16_n_0\ - ); -\y0__107_carry__3_i_17\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(6), - I1 => din(5), - I2 => \y0__1_carry__3_n_6\, - I3 => y(27), - I4 => sample_rate, - I5 => y(28), - O => \y0__107_carry__3_i_17_n_0\ - ); -\y0__107_carry__3_i_18\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(5), - I1 => din(4), - I2 => \y0__1_carry__3_n_7\, - I3 => y(26), - I4 => sample_rate, - I5 => y(27), - O => \y0__107_carry__3_i_18_n_0\ - ); -\y0__107_carry__3_i_19\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B8FF88FC30BB00B8" - ) - port map ( - I0 => y(25), - I1 => sample_rate, - I2 => y(24), - I3 => \y0__1_carry__2_n_5\, - I4 => din(2), - I5 => din(3), - O => \y0__107_carry__3_i_19_n_0\ - ); -\y0__107_carry__3_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(27), - I1 => sample_rate, - I2 => y(26), - I3 => \y0__1_carry__3_n_7\, - I4 => \y0__107_carry__3_i_11_n_0\, - I5 => \y0__107_carry__3_i_12_n_0\, - O => \y0__107_carry__3_i_2_n_0\ - ); -\y0__107_carry__3_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000444DDD4D" - ) - port map ( - I0 => \y0__107_carry__3_i_13_n_0\, - I1 => \y0__1_carry__2_n_5\, - I2 => y(24), - I3 => sample_rate, - I4 => y(25), - I5 => \y0__107_carry__3_i_14_n_0\, - O => \y0__107_carry__3_i_3_n_0\ - ); -\y0__107_carry__3_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(25), - I1 => sample_rate, - I2 => y(24), - I3 => \y0__1_carry__2_n_5\, - I4 => \y0__107_carry__3_i_13_n_0\, - I5 => \y0__107_carry__2_i_12_n_0\, - O => \y0__107_carry__3_i_4_n_0\ - ); -\y0__107_carry__3_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__3_i_1_n_0\, - I1 => \y0__107_carry__3_i_15_n_0\, - I2 => \y0__107_carry__3_i_16_n_0\, - O => \y0__107_carry__3_i_5_n_0\ - ); -\y0__107_carry__3_i_6\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__3_i_2_n_0\, - I1 => \y0__107_carry__3_i_10_n_0\, - I2 => \y0__107_carry__3_i_17_n_0\, - O => \y0__107_carry__3_i_6_n_0\ - ); -\y0__107_carry__3_i_7\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__3_i_3_n_0\, - I1 => \y0__107_carry__3_i_12_n_0\, - I2 => \y0__107_carry__3_i_18_n_0\, - O => \y0__107_carry__3_i_7_n_0\ - ); -\y0__107_carry__3_i_8\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__3_i_4_n_0\, - I1 => \y0__107_carry__3_i_14_n_0\, - I2 => \y0__107_carry__3_i_19_n_0\, - O => \y0__107_carry__3_i_8_n_0\ - ); -\y0__107_carry__3_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(6), - I1 => sample_rate, - I2 => din(5), - O => \y0__107_carry__3_i_9_n_0\ - ); -\y0__107_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__3_n_0\, - CO(3) => \y0__107_carry__4_n_0\, - CO(2) => \y0__107_carry__4_n_1\, - CO(1) => \y0__107_carry__4_n_2\, - CO(0) => \y0__107_carry__4_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__4_i_1_n_0\, - DI(2) => \y0__107_carry__4_i_2_n_0\, - DI(1) => \y0__107_carry__4_i_3_n_0\, - DI(0) => \y0__107_carry__4_i_4_n_0\, - O(3 downto 0) => \y0__0\(23 downto 20), - S(3) => \y0__107_carry__4_i_5_n_0\, - S(2) => \y0__107_carry__4_i_6_n_0\, - S(1) => \y0__107_carry__4_i_7_n_0\, - S(0) => \y0__107_carry__4_i_8_n_0\ - ); -\y0__107_carry__4_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(32), - I1 => sample_rate, - I2 => y(31), - I3 => \y0__1_carry__4_n_6\, - I4 => \y0__107_carry__4_i_9_n_0\, - I5 => \y0__107_carry__4_i_10_n_0\, - O => \y0__107_carry__4_i_1_n_0\ - ); -\y0__107_carry__4_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__4_n_7\, - I1 => din(8), - I2 => sample_rate, - I3 => din(9), - I4 => y(31), - I5 => y(30), - O => \y0__107_carry__4_i_10_n_0\ - ); -\y0__107_carry__4_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(9), - I1 => sample_rate, - I2 => din(8), - O => \y0__107_carry__4_i_11_n_0\ - ); -\y0__107_carry__4_i_12\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__3_n_4\, - I1 => din(7), - I2 => sample_rate, - I3 => din(8), - I4 => y(30), - I5 => y(29), - O => \y0__107_carry__4_i_12_n_0\ - ); -\y0__107_carry__4_i_13\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(8), - I1 => sample_rate, - I2 => din(7), - O => \y0__107_carry__4_i_13_n_0\ - ); -\y0__107_carry__4_i_14\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__3_n_5\, - I1 => din(6), - I2 => sample_rate, - I3 => din(7), - I4 => y(29), - I5 => y(28), - O => \y0__107_carry__4_i_14_n_0\ - ); -\y0__107_carry__4_i_15\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(7), - I1 => sample_rate, - I2 => din(6), - O => \y0__107_carry__4_i_15_n_0\ - ); -\y0__107_carry__4_i_16\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__4_n_6\, - I1 => din(9), - I2 => sample_rate, - I3 => din(10), - I4 => y(32), - I5 => y(31), - O => \y0__107_carry__4_i_16_n_0\ - ); -\y0__107_carry__4_i_17\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(11), - I1 => din(10), - I2 => \y0__1_carry__4_n_5\, - I3 => y(32), - I4 => sample_rate, - I5 => y(33), - O => \y0__107_carry__4_i_17_n_0\ - ); -\y0__107_carry__4_i_18\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(10), - I1 => din(9), - I2 => \y0__1_carry__4_n_6\, - I3 => y(31), - I4 => sample_rate, - I5 => y(32), - O => \y0__107_carry__4_i_18_n_0\ - ); -\y0__107_carry__4_i_19\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(9), - I1 => din(8), - I2 => \y0__1_carry__4_n_7\, - I3 => y(30), - I4 => sample_rate, - I5 => y(31), - O => \y0__107_carry__4_i_19_n_0\ - ); -\y0__107_carry__4_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(31), - I1 => sample_rate, - I2 => y(30), - I3 => \y0__1_carry__4_n_7\, - I4 => \y0__107_carry__4_i_11_n_0\, - I5 => \y0__107_carry__4_i_12_n_0\, - O => \y0__107_carry__4_i_2_n_0\ - ); -\y0__107_carry__4_i_20\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(8), - I1 => din(7), - I2 => \y0__1_carry__3_n_4\, - I3 => y(29), - I4 => sample_rate, - I5 => y(30), - O => \y0__107_carry__4_i_20_n_0\ - ); -\y0__107_carry__4_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(30), - I1 => sample_rate, - I2 => y(29), - I3 => \y0__1_carry__3_n_4\, - I4 => \y0__107_carry__4_i_13_n_0\, - I5 => \y0__107_carry__4_i_14_n_0\, - O => \y0__107_carry__4_i_3_n_0\ - ); -\y0__107_carry__4_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(29), - I1 => sample_rate, - I2 => y(28), - I3 => \y0__1_carry__3_n_5\, - I4 => \y0__107_carry__4_i_15_n_0\, - I5 => \y0__107_carry__3_i_15_n_0\, - O => \y0__107_carry__4_i_4_n_0\ - ); -\y0__107_carry__4_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__4_i_1_n_0\, - I1 => \y0__107_carry__4_i_16_n_0\, - I2 => \y0__107_carry__4_i_17_n_0\, - O => \y0__107_carry__4_i_5_n_0\ - ); -\y0__107_carry__4_i_6\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__4_i_2_n_0\, - I1 => \y0__107_carry__4_i_10_n_0\, - I2 => \y0__107_carry__4_i_18_n_0\, - O => \y0__107_carry__4_i_6_n_0\ - ); -\y0__107_carry__4_i_7\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__4_i_3_n_0\, - I1 => \y0__107_carry__4_i_12_n_0\, - I2 => \y0__107_carry__4_i_19_n_0\, - O => \y0__107_carry__4_i_7_n_0\ - ); -\y0__107_carry__4_i_8\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__4_i_4_n_0\, - I1 => \y0__107_carry__4_i_14_n_0\, - I2 => \y0__107_carry__4_i_20_n_0\, - O => \y0__107_carry__4_i_8_n_0\ - ); -\y0__107_carry__4_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(10), - I1 => sample_rate, - I2 => din(9), - O => \y0__107_carry__4_i_9_n_0\ - ); -\y0__107_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__4_n_0\, - CO(3) => \y0__107_carry__5_n_0\, - CO(2) => \y0__107_carry__5_n_1\, - CO(1) => \y0__107_carry__5_n_2\, - CO(0) => \y0__107_carry__5_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__5_i_1_n_0\, - DI(2) => \y0__107_carry__5_i_2_n_0\, - DI(1) => \y0__107_carry__5_i_3_n_0\, - DI(0) => \y0__107_carry__5_i_4_n_0\, - O(3 downto 0) => \y0__0\(27 downto 24), - S(3) => \y0__107_carry__5_i_5_n_0\, - S(2) => \y0__107_carry__5_i_6_n_0\, - S(1) => \y0__107_carry__5_i_7_n_0\, - S(0) => \y0__107_carry__5_i_8_n_0\ - ); -\y0__107_carry__5_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000444DDD4D" - ) - port map ( - I0 => \y0__107_carry__5_i_9_n_0\, - I1 => \y0__1_carry__5_n_7\, - I2 => y(34), - I3 => sample_rate, - I4 => y(35), - I5 => \y0__107_carry__5_i_10_n_0\, - O => \y0__107_carry__5_i_1_n_0\ - ); -\y0__107_carry__5_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5A5A3CC3A5A53CC3" - ) - port map ( - I0 => din(14), - I1 => din(13), - I2 => \y0__1_carry__5_n_6\, - I3 => y(35), - I4 => sample_rate, - I5 => y(36), - O => \y0__107_carry__5_i_10_n_0\ - ); -\y0__107_carry__5_i_11\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B8FF88FC30BB00B8" - ) - port map ( - I0 => y(34), - I1 => sample_rate, - I2 => y(33), - I3 => \y0__1_carry__4_n_4\, - I4 => din(11), - I5 => din(12), - O => \y0__107_carry__5_i_11_n_0\ - ); -\y0__107_carry__5_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(12), - I1 => sample_rate, - I2 => din(11), - O => \y0__107_carry__5_i_12_n_0\ - ); -\y0__107_carry__5_i_13\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__4_n_5\, - I1 => din(10), - I2 => sample_rate, - I3 => din(11), - I4 => y(33), - I5 => y(32), - O => \y0__107_carry__5_i_13_n_0\ - ); -\y0__107_carry__5_i_14\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(11), - I1 => sample_rate, - I2 => din(10), - O => \y0__107_carry__5_i_14_n_0\ - ); -\y0__107_carry__5_i_15\: unisim.vcomponents.LUT6 - generic map( - INIT => X"C3AAC3553C553CAA" - ) - port map ( - I0 => din(14), - I1 => din(15), - I2 => y(37), - I3 => sample_rate, - I4 => y(36), - I5 => \y0__1_carry__5_n_5\, - O => \y0__107_carry__5_i_15_n_0\ - ); -\y0__107_carry__5_i_16\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__5_n_6\, - I1 => din(13), - I2 => sample_rate, - I3 => din(14), - I4 => y(36), - I5 => y(35), - O => \y0__107_carry__5_i_16_n_0\ - ); -\y0__107_carry__5_i_17\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B8FF88FC30BB00B8" - ) - port map ( - I0 => y(35), - I1 => sample_rate, - I2 => y(34), - I3 => \y0__1_carry__5_n_7\, - I4 => din(12), - I5 => din(13), - O => \y0__107_carry__5_i_17_n_0\ - ); -\y0__107_carry__5_i_18\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5A5A3CC3A5A53CC3" - ) - port map ( - I0 => din(13), - I1 => din(12), - I2 => \y0__1_carry__5_n_7\, - I3 => y(34), - I4 => sample_rate, - I5 => y(35), - O => \y0__107_carry__5_i_18_n_0\ - ); -\y0__107_carry__5_i_19\: unisim.vcomponents.LUT6 - generic map( - INIT => X"A5A5C33C5A5AC33C" - ) - port map ( - I0 => din(12), - I1 => din(11), - I2 => \y0__1_carry__4_n_4\, - I3 => y(33), - I4 => sample_rate, - I5 => y(34), - O => \y0__107_carry__5_i_19_n_0\ - ); -\y0__107_carry__5_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000B84747B8" - ) - port map ( - I0 => y(35), - I1 => sample_rate, - I2 => y(34), - I3 => \y0__1_carry__5_n_7\, - I4 => \y0__107_carry__5_i_9_n_0\, - I5 => \y0__107_carry__5_i_11_n_0\, - O => \y0__107_carry__5_i_2_n_0\ - ); -\y0__107_carry__5_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(34), - I1 => sample_rate, - I2 => y(33), - I3 => \y0__1_carry__4_n_4\, - I4 => \y0__107_carry__5_i_12_n_0\, - I5 => \y0__107_carry__5_i_13_n_0\, - O => \y0__107_carry__5_i_3_n_0\ - ); -\y0__107_carry__5_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"B84747B800000000" - ) - port map ( - I0 => y(33), - I1 => sample_rate, - I2 => y(32), - I3 => \y0__1_carry__4_n_5\, - I4 => \y0__107_carry__5_i_14_n_0\, - I5 => \y0__107_carry__4_i_16_n_0\, - O => \y0__107_carry__5_i_4_n_0\ - ); -\y0__107_carry__5_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__5_i_1_n_0\, - I1 => \y0__107_carry__5_i_15_n_0\, - I2 => \y0__107_carry__5_i_16_n_0\, - O => \y0__107_carry__5_i_5_n_0\ - ); -\y0__107_carry__5_i_6\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__5_i_2_n_0\, - I1 => \y0__107_carry__5_i_10_n_0\, - I2 => \y0__107_carry__5_i_17_n_0\, - O => \y0__107_carry__5_i_6_n_0\ - ); -\y0__107_carry__5_i_7\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__5_i_3_n_0\, - I1 => \y0__107_carry__5_i_11_n_0\, - I2 => \y0__107_carry__5_i_18_n_0\, - O => \y0__107_carry__5_i_7_n_0\ - ); -\y0__107_carry__5_i_8\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y0__107_carry__5_i_4_n_0\, - I1 => \y0__107_carry__5_i_13_n_0\, - I2 => \y0__107_carry__5_i_19_n_0\, - O => \y0__107_carry__5_i_8_n_0\ - ); -\y0__107_carry__5_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(13), - I1 => sample_rate, - I2 => din(12), - O => \y0__107_carry__5_i_9_n_0\ - ); -\y0__107_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__5_n_0\, - CO(3) => \y0__107_carry__6_n_0\, - CO(2) => \y0__107_carry__6_n_1\, - CO(1) => \y0__107_carry__6_n_2\, - CO(0) => \y0__107_carry__6_n_3\, - CYINIT => '0', - DI(3) => \y0__107_carry__6_i_1_n_0\, - DI(2) => \y0__107_carry__6_i_2_n_0\, - DI(1) => \y0__107_carry__6_i_3_n_0\, - DI(0) => \y0__107_carry__6_i_4_n_0\, - O(3 downto 0) => \y0__0\(31 downto 28), - S(3) => \y0__107_carry__6_i_5_n_0\, - S(2) => \y0__107_carry__6_i_6_n_0\, - S(1) => \y0__107_carry__6_i_7_n_0\, - S(0) => \y0__107_carry__6_i_8_n_0\ - ); -\y0__107_carry__6_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"EC" - ) - port map ( - I0 => y(38), - I1 => \y0__1_carry__6_n_6\, - I2 => \y0__1_carry__6_n_7\, - O => \y0__107_carry__6_i_1_n_0\ - ); -\y0__107_carry__6_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => din(15), - I1 => \y0__1_carry__5_n_4\, - O => \y0__107_carry__6_i_10_n_0\ - ); -\y0__107_carry__6_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => y(38), - I1 => sample_rate, - I2 => y(37), - O => \y0__107_carry__6_i_11_n_0\ - ); -\y0__107_carry__6_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => din(15), - I1 => sample_rate, - I2 => din(14), - O => \y0__107_carry__6_i_12_n_0\ - ); -\y0__107_carry__6_i_13\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => y(37), - I1 => sample_rate, - I2 => y(36), - O => \y0__107_carry__6_i_13_n_0\ - ); -\y0__107_carry__6_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"0EE0" - ) - port map ( - I0 => \y0__1_carry__5_n_4\, - I1 => din(15), - I2 => y(38), - I3 => \y0__1_carry__6_n_7\, - O => \y0__107_carry__6_i_2_n_0\ - ); -\y0__107_carry__6_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"828282EBEBEB82EB" - ) - port map ( - I0 => \y0__107_carry__6_i_9_n_0\, - I1 => \y0__1_carry__5_n_4\, - I2 => din(15), - I3 => y(37), - I4 => sample_rate, - I5 => y(38), - O => \y0__107_carry__6_i_3_n_0\ - ); -\y0__107_carry__6_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"656A9A959A95656A" - ) - port map ( - I0 => \y0__107_carry__6_i_9_n_0\, - I1 => y(38), - I2 => sample_rate, - I3 => y(37), - I4 => din(15), - I5 => \y0__1_carry__5_n_4\, - O => \y0__107_carry__6_i_4_n_0\ - ); -\y0__107_carry__6_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"EC13" - ) - port map ( - I0 => \y0__1_carry__6_n_7\, - I1 => \y0__1_carry__6_n_6\, - I2 => y(38), - I3 => \y0__1_carry__6_n_5\, - O => \y0__107_carry__6_i_5_n_0\ - ); -\y0__107_carry__6_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"F0E1E10F" - ) - port map ( - I0 => din(15), - I1 => \y0__1_carry__5_n_4\, - I2 => \y0__1_carry__6_n_6\, - I3 => y(38), - I4 => \y0__1_carry__6_n_7\, - O => \y0__107_carry__6_i_6_n_0\ - ); -\y0__107_carry__6_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69696996" - ) - port map ( - I0 => \y0__107_carry__6_i_3_n_0\, - I1 => \y0__1_carry__6_n_7\, - I2 => y(38), - I3 => din(15), - I4 => \y0__1_carry__5_n_4\, - O => \y0__107_carry__6_i_7_n_0\ - ); -\y0__107_carry__6_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9996699969996669" - ) - port map ( - I0 => \y0__107_carry__6_i_10_n_0\, - I1 => \y0__107_carry__6_i_11_n_0\, - I2 => \y0__107_carry__6_i_12_n_0\, - I3 => \y0__107_carry__6_i_13_n_0\, - I4 => \y0__1_carry__5_n_5\, - I5 => \y0__107_carry__5_i_16_n_0\, - O => \y0__107_carry__6_i_8_n_0\ - ); -\y0__107_carry__6_i_9\: unisim.vcomponents.LUT6 - generic map( - INIT => X"02A2A2F20BABABFB" - ) - port map ( - I0 => \y0__1_carry__5_n_5\, - I1 => y(36), - I2 => sample_rate, - I3 => y(37), - I4 => din(15), - I5 => din(14), - O => \y0__107_carry__6_i_9_n_0\ - ); -\y0__107_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__6_n_0\, - CO(3) => \y0__107_carry__7_n_0\, - CO(2) => \y0__107_carry__7_n_1\, - CO(1) => \y0__107_carry__7_n_2\, - CO(0) => \y0__107_carry__7_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__7_n_6\, - DI(2) => \y0__1_carry__7_n_7\, - DI(1) => \y0__1_carry__6_n_4\, - DI(0) => \y0__1_carry__6_n_5\, - O(3 downto 0) => \y0__0\(35 downto 32), - S(3) => \y0__107_carry__7_i_1_n_0\, - S(2) => \y0__107_carry__7_i_2_n_0\, - S(1) => \y0__107_carry__7_i_3_n_0\, - S(0) => \y0__107_carry__7_i_4_n_0\ - ); -\y0__107_carry__7_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__7_n_6\, - I1 => \y0__1_carry__7_n_5\, - O => \y0__107_carry__7_i_1_n_0\ - ); -\y0__107_carry__7_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__7_n_7\, - I1 => \y0__1_carry__7_n_6\, - O => \y0__107_carry__7_i_2_n_0\ - ); -\y0__107_carry__7_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__6_n_4\, - I1 => \y0__1_carry__7_n_7\, - O => \y0__107_carry__7_i_3_n_0\ - ); -\y0__107_carry__7_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__6_n_5\, - I1 => \y0__1_carry__6_n_4\, - O => \y0__107_carry__7_i_4_n_0\ - ); -\y0__107_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__107_carry__7_n_0\, - CO(3) => \NLW_y0__107_carry__8_CO_UNCONNECTED\(3), - CO(2) => \y0__107_carry__8_n_1\, - CO(1) => \y0__107_carry__8_n_2\, - CO(0) => \y0__107_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \y0__1_carry__8_n_7\, - DI(1) => \y0__1_carry__7_n_4\, - DI(0) => \y0__1_carry__7_n_5\, - O(3) => y0(39), - O(2 downto 0) => \y0__0\(38 downto 36), - S(3) => \y0__107_carry__8_i_1_n_0\, - S(2) => \y0__107_carry__8_i_2_n_0\, - S(1) => \y0__107_carry__8_i_3_n_0\, - S(0) => \y0__107_carry__8_i_4_n_0\ - ); -\y0__107_carry__8_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__8_n_6\, - I1 => \y0__1_carry__8_n_5\, - O => \y0__107_carry__8_i_1_n_0\ - ); -\y0__107_carry__8_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__8_n_7\, - I1 => \y0__1_carry__8_n_6\, - O => \y0__107_carry__8_i_2_n_0\ - ); -\y0__107_carry__8_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__7_n_4\, - I1 => \y0__1_carry__8_n_7\, - O => \y0__107_carry__8_i_3_n_0\ - ); -\y0__107_carry__8_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y0__1_carry__7_n_5\, - I1 => \y0__1_carry__7_n_4\, - O => \y0__107_carry__8_i_4_n_0\ - ); -\y0__107_carry_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"02A2" - ) - port map ( - I0 => \y0__1_carry_n_6\, - I1 => y(11), - I2 => sample_rate, - I3 => y(12), - O => \y0__107_carry_i_1_n_0\ - ); -\y0__107_carry_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \y0__1_carry_n_7\, - O => \y0__107_carry_i_2_n_0\ - ); -\y0__107_carry_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"47" - ) - port map ( - I0 => y(10), - I1 => sample_rate, - I2 => y(9), - O => \y0__107_carry_i_3_n_0\ - ); -\y0__107_carry_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F3B40CB40C4BF34B" - ) - port map ( - I0 => y(11), - I1 => \y0__1_carry_n_6\, - I2 => y(12), - I3 => sample_rate, - I4 => y(13), - I5 => \y0__1_carry_n_5\, - O => \y0__107_carry_i_4_n_0\ - ); -\y0__107_carry_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"56A6A959" - ) - port map ( - I0 => \y0__1_carry_n_7\, - I1 => y(11), - I2 => sample_rate, - I3 => y(12), - I4 => \y0__1_carry_n_6\, - O => \y0__107_carry_i_5_n_0\ - ); -\y0__107_carry_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"56A6" - ) - port map ( - I0 => \y0__1_carry_n_7\, - I1 => y(10), - I2 => sample_rate, - I3 => y(11), - O => \y0__107_carry_i_6_n_0\ - ); -\y0__107_carry_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"1DE2" - ) - port map ( - I0 => y(9), - I1 => sample_rate, - I2 => y(10), - I3 => y(0), - O => \y0__107_carry_i_7_n_0\ - ); -\y0__1_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y0__1_carry_n_0\, - CO(2) => \y0__1_carry_n_1\, - CO(1) => \y0__1_carry_n_2\, - CO(0) => \y0__1_carry_n_3\, - CYINIT => y(0), - DI(3 downto 0) => y(4 downto 1), - O(3) => \y0__1_carry_n_4\, - O(2) => \y0__1_carry_n_5\, - O(1) => \y0__1_carry_n_6\, - O(0) => \y0__1_carry_n_7\, - S(3) => \y0__1_carry_i_1_n_0\, - S(2) => \y0__1_carry_i_2_n_0\, - S(1) => \y0__1_carry_i_3_n_0\, - S(0) => \y0__1_carry_i_4_n_0\ - ); -\y0__1_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry_n_0\, - CO(3) => \y0__1_carry__0_n_0\, - CO(2) => \y0__1_carry__0_n_1\, - CO(1) => \y0__1_carry__0_n_2\, - CO(0) => \y0__1_carry__0_n_3\, - CYINIT => '0', - DI(3 downto 0) => y(8 downto 5), - O(3) => \y0__1_carry__0_n_4\, - O(2) => \y0__1_carry__0_n_5\, - O(1) => \y0__1_carry__0_n_6\, - O(0) => \y0__1_carry__0_n_7\, - S(3) => \y0__1_carry__0_i_1_n_0\, - S(2) => \y0__1_carry__0_i_2_n_0\, - S(1) => \y0__1_carry__0_i_3_n_0\, - S(0) => \y0__1_carry__0_i_4_n_0\ - ); -\y0__1_carry__0_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(8), - O => \y0__1_carry__0_i_1_n_0\ - ); -\y0__1_carry__0_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(7), - O => \y0__1_carry__0_i_2_n_0\ - ); -\y0__1_carry__0_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(6), - O => \y0__1_carry__0_i_3_n_0\ - ); -\y0__1_carry__0_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(5), - O => \y0__1_carry__0_i_4_n_0\ - ); -\y0__1_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__0_n_0\, - CO(3) => \y0__1_carry__1_n_0\, - CO(2) => \y0__1_carry__1_n_1\, - CO(1) => \y0__1_carry__1_n_2\, - CO(0) => \y0__1_carry__1_n_3\, - CYINIT => '0', - DI(3 downto 0) => y(12 downto 9), - O(3) => \y0__1_carry__1_n_4\, - O(2) => \y0__1_carry__1_n_5\, - O(1) => \y0__1_carry__1_n_6\, - O(0) => \y0__1_carry__1_n_7\, - S(3) => \y0__1_carry__1_i_1_n_0\, - S(2) => \y0__1_carry__1_i_2_n_0\, - S(1) => \y0__1_carry__1_i_3_n_0\, - S(0) => \y0__1_carry__1_i_4_n_0\ - ); -\y0__1_carry__1_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(12), - I1 => x1(12), - O => \y0__1_carry__1_i_1_n_0\ - ); -\y0__1_carry__1_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(11), - O => \y0__1_carry__1_i_2_n_0\ - ); -\y0__1_carry__1_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(10), - O => \y0__1_carry__1_i_3_n_0\ - ); -\y0__1_carry__1_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(9), - O => \y0__1_carry__1_i_4_n_0\ - ); -\y0__1_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__1_n_0\, - CO(3) => \y0__1_carry__2_n_0\, - CO(2) => \y0__1_carry__2_n_1\, - CO(1) => \y0__1_carry__2_n_2\, - CO(0) => \y0__1_carry__2_n_3\, - CYINIT => '0', - DI(3 downto 0) => y(16 downto 13), - O(3) => \y0__1_carry__2_n_4\, - O(2) => \y0__1_carry__2_n_5\, - O(1) => \y0__1_carry__2_n_6\, - O(0) => \y0__1_carry__2_n_7\, - S(3) => \y0__1_carry__2_i_1_n_0\, - S(2) => \y0__1_carry__2_i_2_n_0\, - S(1) => \y0__1_carry__2_i_3_n_0\, - S(0) => \y0__1_carry__2_i_4_n_0\ - ); -\y0__1_carry__2_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(16), - I1 => x1(16), - O => \y0__1_carry__2_i_1_n_0\ - ); -\y0__1_carry__2_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(15), - I1 => x1(15), - O => \y0__1_carry__2_i_2_n_0\ - ); -\y0__1_carry__2_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(14), - I1 => x1(14), - O => \y0__1_carry__2_i_3_n_0\ - ); -\y0__1_carry__2_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(13), - I1 => x1(13), - O => \y0__1_carry__2_i_4_n_0\ - ); -\y0__1_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__2_n_0\, - CO(3) => \y0__1_carry__3_n_0\, - CO(2) => \y0__1_carry__3_n_1\, - CO(1) => \y0__1_carry__3_n_2\, - CO(0) => \y0__1_carry__3_n_3\, - CYINIT => '0', - DI(3 downto 0) => y(20 downto 17), - O(3) => \y0__1_carry__3_n_4\, - O(2) => \y0__1_carry__3_n_5\, - O(1) => \y0__1_carry__3_n_6\, - O(0) => \y0__1_carry__3_n_7\, - S(3) => \y0__1_carry__3_i_1_n_0\, - S(2) => \y0__1_carry__3_i_2_n_0\, - S(1) => \y0__1_carry__3_i_3_n_0\, - S(0) => \y0__1_carry__3_i_4_n_0\ - ); -\y0__1_carry__3_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(20), - I1 => x1(20), - O => \y0__1_carry__3_i_1_n_0\ - ); -\y0__1_carry__3_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(19), - I1 => x1(19), - O => \y0__1_carry__3_i_2_n_0\ - ); -\y0__1_carry__3_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(18), - I1 => x1(18), - O => \y0__1_carry__3_i_3_n_0\ - ); -\y0__1_carry__3_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(17), - I1 => x1(17), - O => \y0__1_carry__3_i_4_n_0\ - ); -\y0__1_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__3_n_0\, - CO(3) => \y0__1_carry__4_n_0\, - CO(2) => \y0__1_carry__4_n_1\, - CO(1) => \y0__1_carry__4_n_2\, - CO(0) => \y0__1_carry__4_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__4_i_1_n_0\, - DI(2) => din(0), - DI(1 downto 0) => y(22 downto 21), - O(3) => \y0__1_carry__4_n_4\, - O(2) => \y0__1_carry__4_n_5\, - O(1) => \y0__1_carry__4_n_6\, - O(0) => \y0__1_carry__4_n_7\, - S(3) => \y0__1_carry__4_i_2_n_0\, - S(2) => \y0__1_carry__4_i_3_n_0\, - S(1) => \y0__1_carry__4_i_4_n_0\, - S(0) => \y0__1_carry__4_i_5_n_0\ - ); -\y0__1_carry__4_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"69" - ) - port map ( - I0 => din(1), - I1 => y(24), - I2 => x1(24), - O => \y0__1_carry__4_i_1_n_0\ - ); -\y0__1_carry__4_i_2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69966969" - ) - port map ( - I0 => y(24), - I1 => x1(24), - I2 => din(1), - I3 => x1(23), - I4 => y(23), - O => \y0__1_carry__4_i_2_n_0\ - ); -\y0__1_carry__4_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"69" - ) - port map ( - I0 => x1(23), - I1 => y(23), - I2 => din(0), - O => \y0__1_carry__4_i_3_n_0\ - ); -\y0__1_carry__4_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(22), - I1 => x1(22), - O => \y0__1_carry__4_i_4_n_0\ - ); -\y0__1_carry__4_i_5\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => y(21), - I1 => x1(21), - O => \y0__1_carry__4_i_5_n_0\ - ); -\y0__1_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__4_n_0\, - CO(3) => \y0__1_carry__5_n_0\, - CO(2) => \y0__1_carry__5_n_1\, - CO(1) => \y0__1_carry__5_n_2\, - CO(0) => \y0__1_carry__5_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__5_i_1_n_0\, - DI(2) => \y0__1_carry__5_i_2_n_0\, - DI(1) => \y0__1_carry__5_i_3_n_0\, - DI(0) => \y0__1_carry__5_i_4_n_0\, - O(3) => \y0__1_carry__5_n_4\, - O(2) => \y0__1_carry__5_n_5\, - O(1) => \y0__1_carry__5_n_6\, - O(0) => \y0__1_carry__5_n_7\, - S(3) => \y0__1_carry__5_i_5_n_0\, - S(2) => \y0__1_carry__5_i_6_n_0\, - S(1) => \y0__1_carry__5_i_7_n_0\, - S(0) => \y0__1_carry__5_i_8_n_0\ - ); -\y0__1_carry__5_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(27), - I1 => din(4), - I2 => y(27), - O => \y0__1_carry__5_i_1_n_0\ - ); -\y0__1_carry__5_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(26), - I1 => din(3), - I2 => y(26), - O => \y0__1_carry__5_i_2_n_0\ - ); -\y0__1_carry__5_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(25), - I1 => din(2), - I2 => y(25), - O => \y0__1_carry__5_i_3_n_0\ - ); -\y0__1_carry__5_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B2" - ) - port map ( - I0 => y(24), - I1 => x1(24), - I2 => din(1), - O => \y0__1_carry__5_i_4_n_0\ - ); -\y0__1_carry__5_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(28), - I1 => din(5), - I2 => y(28), - I3 => \y0__1_carry__5_i_1_n_0\, - O => \y0__1_carry__5_i_5_n_0\ - ); -\y0__1_carry__5_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(27), - I1 => din(4), - I2 => y(27), - I3 => \y0__1_carry__5_i_2_n_0\, - O => \y0__1_carry__5_i_6_n_0\ - ); -\y0__1_carry__5_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(26), - I1 => din(3), - I2 => y(26), - I3 => \y0__1_carry__5_i_3_n_0\, - O => \y0__1_carry__5_i_7_n_0\ - ); -\y0__1_carry__5_i_8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(25), - I1 => din(2), - I2 => y(25), - I3 => \y0__1_carry__5_i_4_n_0\, - O => \y0__1_carry__5_i_8_n_0\ - ); -\y0__1_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__5_n_0\, - CO(3) => \y0__1_carry__6_n_0\, - CO(2) => \y0__1_carry__6_n_1\, - CO(1) => \y0__1_carry__6_n_2\, - CO(0) => \y0__1_carry__6_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__6_i_1_n_0\, - DI(2) => \y0__1_carry__6_i_2_n_0\, - DI(1) => \y0__1_carry__6_i_3_n_0\, - DI(0) => \y0__1_carry__6_i_4_n_0\, - O(3) => \y0__1_carry__6_n_4\, - O(2) => \y0__1_carry__6_n_5\, - O(1) => \y0__1_carry__6_n_6\, - O(0) => \y0__1_carry__6_n_7\, - S(3) => \y0__1_carry__6_i_5_n_0\, - S(2) => \y0__1_carry__6_i_6_n_0\, - S(1) => \y0__1_carry__6_i_7_n_0\, - S(0) => \y0__1_carry__6_i_8_n_0\ - ); -\y0__1_carry__6_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(31), - I1 => y(31), - I2 => din(8), - O => \y0__1_carry__6_i_1_n_0\ - ); -\y0__1_carry__6_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(30), - I1 => y(30), - I2 => din(7), - O => \y0__1_carry__6_i_2_n_0\ - ); -\y0__1_carry__6_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(29), - I1 => y(29), - I2 => din(6), - O => \y0__1_carry__6_i_3_n_0\ - ); -\y0__1_carry__6_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(28), - I1 => din(5), - I2 => y(28), - O => \y0__1_carry__6_i_4_n_0\ - ); -\y0__1_carry__6_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(32), - I1 => y(32), - I2 => din(9), - I3 => \y0__1_carry__6_i_1_n_0\, - O => \y0__1_carry__6_i_5_n_0\ - ); -\y0__1_carry__6_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(31), - I1 => y(31), - I2 => din(8), - I3 => \y0__1_carry__6_i_2_n_0\, - O => \y0__1_carry__6_i_6_n_0\ - ); -\y0__1_carry__6_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(30), - I1 => y(30), - I2 => din(7), - I3 => \y0__1_carry__6_i_3_n_0\, - O => \y0__1_carry__6_i_7_n_0\ - ); -\y0__1_carry__6_i_8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(29), - I1 => y(29), - I2 => din(6), - I3 => \y0__1_carry__6_i_4_n_0\, - O => \y0__1_carry__6_i_8_n_0\ - ); -\y0__1_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__6_n_0\, - CO(3) => \y0__1_carry__7_n_0\, - CO(2) => \y0__1_carry__7_n_1\, - CO(1) => \y0__1_carry__7_n_2\, - CO(0) => \y0__1_carry__7_n_3\, - CYINIT => '0', - DI(3) => \y0__1_carry__7_i_1_n_0\, - DI(2) => \y0__1_carry__7_i_2_n_0\, - DI(1) => \y0__1_carry__7_i_3_n_0\, - DI(0) => \y0__1_carry__7_i_4_n_0\, - O(3) => \y0__1_carry__7_n_4\, - O(2) => \y0__1_carry__7_n_5\, - O(1) => \y0__1_carry__7_n_6\, - O(0) => \y0__1_carry__7_n_7\, - S(3) => \y0__1_carry__7_i_5_n_0\, - S(2) => \y0__1_carry__7_i_6_n_0\, - S(1) => \y0__1_carry__7_i_7_n_0\, - S(0) => \y0__1_carry__7_i_8_n_0\ - ); -\y0__1_carry__7_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(35), - I1 => y(35), - I2 => din(12), - O => \y0__1_carry__7_i_1_n_0\ - ); -\y0__1_carry__7_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(34), - I1 => y(34), - I2 => din(11), - O => \y0__1_carry__7_i_2_n_0\ - ); -\y0__1_carry__7_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(33), - I1 => y(33), - I2 => din(10), - O => \y0__1_carry__7_i_3_n_0\ - ); -\y0__1_carry__7_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(32), - I1 => y(32), - I2 => din(9), - O => \y0__1_carry__7_i_4_n_0\ - ); -\y0__1_carry__7_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(36), - I1 => y(36), - I2 => din(13), - I3 => \y0__1_carry__7_i_1_n_0\, - O => \y0__1_carry__7_i_5_n_0\ - ); -\y0__1_carry__7_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(35), - I1 => y(35), - I2 => din(12), - I3 => \y0__1_carry__7_i_2_n_0\, - O => \y0__1_carry__7_i_6_n_0\ - ); -\y0__1_carry__7_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(34), - I1 => y(34), - I2 => din(11), - I3 => \y0__1_carry__7_i_3_n_0\, - O => \y0__1_carry__7_i_7_n_0\ - ); -\y0__1_carry__7_i_8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x1(33), - I1 => y(33), - I2 => din(10), - I3 => \y0__1_carry__7_i_4_n_0\, - O => \y0__1_carry__7_i_8_n_0\ - ); -\y0__1_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \y0__1_carry__7_n_0\, - CO(3 downto 2) => \NLW_y0__1_carry__8_CO_UNCONNECTED\(3 downto 2), - CO(1) => \y0__1_carry__8_n_2\, - CO(0) => \y0__1_carry__8_n_3\, - CYINIT => '0', - DI(3 downto 2) => B"00", - DI(1) => \y0__1_carry__8_i_1_n_0\, - DI(0) => \y0__1_carry__8_i_2_n_0\, - O(3) => \NLW_y0__1_carry__8_O_UNCONNECTED\(3), - O(2) => \y0__1_carry__8_n_5\, - O(1) => \y0__1_carry__8_n_6\, - O(0) => \y0__1_carry__8_n_7\, - S(3) => '0', - S(2) => \y0__1_carry__8_i_3_n_0\, - S(1) => \y0__1_carry__8_i_4_n_0\, - S(0) => \y0__1_carry__8_i_5_n_0\ - ); -\y0__1_carry__8_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"69" - ) - port map ( - I0 => din(15), - I1 => x1(38), - I2 => y(38), - O => \y0__1_carry__8_i_1_n_0\ - ); -\y0__1_carry__8_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => x1(36), - I1 => y(36), - I2 => din(13), - O => \y0__1_carry__8_i_2_n_0\ - ); -\y0__1_carry__8_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"E187" - ) - port map ( - I0 => din(15), - I1 => y(38), - I2 => x1(39), - I3 => x1(38), - O => \y0__1_carry__8_i_3_n_0\ - ); -\y0__1_carry__8_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9669696996969669" - ) - port map ( - I0 => y(38), - I1 => x1(38), - I2 => din(15), - I3 => din(14), - I4 => y(37), - I5 => x1(37), - O => \y0__1_carry__8_i_4_n_0\ - ); -\y0__1_carry__8_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => \y0__1_carry__8_i_2_n_0\, - I1 => y(37), - I2 => x1(37), - I3 => din(14), - O => \y0__1_carry__8_i_5_n_0\ - ); -\y0__1_carry_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(4), - O => \y0__1_carry_i_1_n_0\ - ); -\y0__1_carry_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(3), - O => \y0__1_carry_i_2_n_0\ - ); -\y0__1_carry_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(2), - O => \y0__1_carry_i_3_n_0\ - ); -\y0__1_carry_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => y(1), - O => \y0__1_carry_i_4_n_0\ - ); -\y[0]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(0), - O => \y[0]_i_1_n_0\ - ); -\y[10]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(10), - O => \y[10]_i_1_n_0\ - ); -\y[11]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(11), - O => \y[11]_i_1_n_0\ - ); -\y[12]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(12), - O => \y[12]_i_1_n_0\ - ); -\y[13]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(13), - O => \y[13]_i_1_n_0\ - ); -\y[14]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(14), - O => \y[14]_i_1_n_0\ - ); -\y[15]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(15), - O => \y[15]_i_1_n_0\ - ); -\y[16]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(16), - O => \y[16]_i_1_n_0\ - ); -\y[17]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(17), - O => \y[17]_i_1_n_0\ - ); -\y[18]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(18), - O => \y[18]_i_1_n_0\ - ); -\y[19]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(19), - O => \y[19]_i_1_n_0\ - ); -\y[1]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(1), - O => \y[1]_i_1_n_0\ - ); -\y[20]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(20), - O => \y[20]_i_1_n_0\ - ); -\y[21]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(21), - O => \y[21]_i_1_n_0\ - ); -\y[22]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(22), - O => \y[22]_i_1_n_0\ - ); -\y[23]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(23), - O => \y[23]_i_1_n_0\ - ); -\y[24]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(24), - O => \y[24]_i_1_n_0\ - ); -\y[25]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(25), - O => \y[25]_i_1_n_0\ - ); -\y[26]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(26), - O => \y[26]_i_1_n_0\ - ); -\y[27]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(27), - O => \y[27]_i_1_n_0\ - ); -\y[28]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(28), - O => \y[28]_i_1_n_0\ - ); -\y[29]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(29), - O => \y[29]_i_1_n_0\ - ); -\y[2]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(2), - O => \y[2]_i_1_n_0\ - ); -\y[30]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(30), - O => \y[30]_i_1_n_0\ - ); -\y[31]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(31), - O => \y[31]_i_1_n_0\ - ); -\y[32]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(32), - O => \y[32]_i_1_n_0\ - ); -\y[33]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(33), - O => \y[33]_i_1_n_0\ - ); -\y[34]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(34), - O => \y[34]_i_1_n_0\ - ); -\y[35]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(35), - O => \y[35]_i_1_n_0\ - ); -\y[36]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(36), - O => \y[36]_i_1_n_0\ - ); -\y[37]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(37), - O => \y[37]_i_1_n_0\ - ); -\y[3]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(3), - O => \y[3]_i_1_n_0\ - ); -\y[4]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(4), - O => \y[4]_i_1_n_0\ - ); -\y[5]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(5), - O => \y[5]_i_1_n_0\ - ); -\y[6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(6), - O => \y[6]_i_1_n_0\ - ); -\y[7]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(7), - O => \y[7]_i_1_n_0\ - ); -\y[8]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(8), - O => \y[8]_i_1_n_0\ - ); -\y[9]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"D4" - ) - port map ( - I0 => y0(39), - I1 => \y0__0\(38), - I2 => \y0__0\(9), - O => \y[9]_i_1_n_0\ - ); -\y_reg[0]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[0]_i_1_n_0\, - Q => y(0), - R => '0' - ); -\y_reg[10]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[10]_i_1_n_0\, - Q => y(10), - R => '0' - ); -\y_reg[11]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[11]_i_1_n_0\, - Q => y(11), - R => '0' - ); -\y_reg[12]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[12]_i_1_n_0\, - Q => y(12), - R => '0' - ); -\y_reg[13]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[13]_i_1_n_0\, - Q => y(13), - R => '0' - ); -\y_reg[14]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[14]_i_1_n_0\, - Q => y(14), - R => '0' - ); -\y_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[15]_i_1_n_0\, - Q => y(15), - R => '0' - ); -\y_reg[16]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[16]_i_1_n_0\, - Q => y(16), - R => '0' - ); -\y_reg[17]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[17]_i_1_n_0\, - Q => y(17), - R => '0' - ); -\y_reg[18]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[18]_i_1_n_0\, - Q => y(18), - R => '0' - ); -\y_reg[19]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[19]_i_1_n_0\, - Q => y(19), - R => '0' - ); -\y_reg[1]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[1]_i_1_n_0\, - Q => y(1), - R => '0' - ); -\y_reg[20]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[20]_i_1_n_0\, - Q => y(20), - R => '0' - ); -\y_reg[21]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[21]_i_1_n_0\, - Q => y(21), - R => '0' - ); -\y_reg[22]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[22]_i_1_n_0\, - Q => y(22), - R => '0' - ); -\y_reg[23]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[23]_i_1_n_0\, - Q => y(23), - R => '0' - ); -\y_reg[24]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[24]_i_1_n_0\, - Q => y(24), - R => '0' - ); -\y_reg[25]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[25]_i_1_n_0\, - Q => y(25), - R => '0' - ); -\y_reg[26]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[26]_i_1_n_0\, - Q => y(26), - R => '0' - ); -\y_reg[27]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[27]_i_1_n_0\, - Q => y(27), - R => '0' - ); -\y_reg[28]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[28]_i_1_n_0\, - Q => y(28), - R => '0' - ); -\y_reg[29]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[29]_i_1_n_0\, - Q => y(29), - R => '0' - ); -\y_reg[2]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[2]_i_1_n_0\, - Q => y(2), - R => '0' - ); -\y_reg[30]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[30]_i_1_n_0\, - Q => y(30), - R => '0' - ); -\y_reg[31]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[31]_i_1_n_0\, - Q => y(31), - R => '0' - ); -\y_reg[32]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[32]_i_1_n_0\, - Q => y(32), - R => '0' - ); -\y_reg[33]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[33]_i_1_n_0\, - Q => y(33), - R => '0' - ); -\y_reg[34]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[34]_i_1_n_0\, - Q => y(34), - R => '0' - ); -\y_reg[35]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[35]_i_1_n_0\, - Q => y(35), - R => '0' - ); -\y_reg[36]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[36]_i_1_n_0\, - Q => y(36), - R => '0' - ); -\y_reg[37]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[37]_i_1_n_0\, - Q => y(37), - R => '0' - ); -\y_reg[38]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => y0(39), - Q => y(38), - R => '0' - ); -\y_reg[3]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[3]_i_1_n_0\, - Q => y(3), - R => '0' - ); -\y_reg[4]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[4]_i_1_n_0\, - Q => y(4), - R => '0' - ); -\y_reg[5]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[5]_i_1_n_0\, - Q => y(5), - R => '0' - ); -\y_reg[6]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[6]_i_1_n_0\, - Q => y(6), - R => '0' - ); -\y_reg[7]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[7]_i_1_n_0\, - Q => y(7), - R => '0' - ); -\y_reg[8]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[8]_i_1_n_0\, - Q => y(8), - R => '0' - ); -\y_reg[9]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => ce, - D => \y[9]_i_1_n_0\, - Q => y(9), - R => '0' - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_DC_blocker_1_0 is - port ( - clk : in STD_LOGIC; - ce : in STD_LOGIC; - mute : in STD_LOGIC; - sample_rate : in STD_LOGIC; - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of audio_DC_blocker_1_0 : entity is true; - attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of audio_DC_blocker_1_0 : entity is "audio_DC_blocker_0_0,DC_blocker,{}"; - attribute DowngradeIPIdentifiedWarnings : string; - attribute DowngradeIPIdentifiedWarnings of audio_DC_blocker_1_0 : entity is "yes"; - attribute IP_DEFINITION_SOURCE : string; - attribute IP_DEFINITION_SOURCE of audio_DC_blocker_1_0 : entity is "module_ref"; - attribute X_CORE_INFO : string; - attribute X_CORE_INFO of audio_DC_blocker_1_0 : entity is "DC_blocker,Vivado 2021.2"; -end audio_DC_blocker_1_0; - -architecture STRUCTURE of audio_DC_blocker_1_0 is - attribute X_INTERFACE_INFO : string; - attribute X_INTERFACE_INFO of clk : signal is "xilinx.com:signal:clock:1.0 clk CLK"; - attribute X_INTERFACE_PARAMETER : string; - attribute X_INTERFACE_PARAMETER of clk : signal is "XIL_INTERFACENAME clk, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0"; -begin -inst: entity work.audio_DC_blocker_1_0_DC_blocker - port map ( - ce => ce, - clk => clk, - din(15 downto 0) => din(15 downto 0), - dout(15 downto 0) => dout(15 downto 0), - mute => mute, - sample_rate => sample_rate - ); -end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_stub.v b/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_stub.v deleted file mode 100644 index 7ea3a1f3..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_stub.v +++ /dev/null @@ -1,25 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:49 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode synth_stub -rename_top audio_DC_blocker_1_0 -prefix -// audio_DC_blocker_1_0_ audio_DC_blocker_0_0_stub.v -// Design : audio_DC_blocker_0_0 -// Purpose : Stub declaration of top-level module interface -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- - -// This empty module with port declaration file causes synthesis tools to infer a black box for IP. -// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. -// Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "DC_blocker,Vivado 2021.2" *) -module audio_DC_blocker_1_0(clk, ce, mute, sample_rate, din, dout) -/* synthesis syn_black_box black_box_pad_pin="clk,ce,mute,sample_rate,din[15:0],dout[15:0]" */; - input clk; - input ce; - input mute; - input sample_rate; - input [15:0]din; - output [15:0]dout; -endmodule diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_stub.vhdl deleted file mode 100644 index 9da71d3b..00000000 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/audio_DC_blocker_1_0_stub.vhdl +++ /dev/null @@ -1,35 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:49 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode synth_stub -rename_top audio_DC_blocker_1_0 -prefix --- audio_DC_blocker_1_0_ audio_DC_blocker_0_0_stub.vhdl --- Design : audio_DC_blocker_0_0 --- Purpose : Stub declaration of top-level module interface --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity audio_DC_blocker_1_0 is - Port ( - clk : in STD_LOGIC; - ce : in STD_LOGIC; - mute : in STD_LOGIC; - sample_rate : in STD_LOGIC; - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - -end audio_DC_blocker_1_0; - -architecture stub of audio_DC_blocker_1_0 is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "clk,ce,mute,sample_rate,din[15:0],dout[15:0]"; -attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "DC_blocker,Vivado 2021.2"; -begin -end; diff --git a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.dcp b/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.dcp deleted file mode 100644 index a56e5601ae17c7e164feaefd0cdb633eeb342302..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 275426 zcmb@u1yq(@w>C;iNk~XbNOyN5(%m54-Q6Wfx1@wL58Z-vNlSN!l%#Z>`$6CD``*3Z zv;X~{amIjq%{B9y*P4rm@em5q&@h+~5D@SX?uJRq9LdnbGmsDvY|kJd-~g?$kv)UE zjdj$da6%drCfu>pJtnT)PhpO1(!C;HsHW=NRpP^WWs3O9f`~Baj`aq{rnx0oo_uYO z$IHRNAOmMTLS_2`%@G(Ps}PjBTS==;0`ogh^hoLBUy3zsm4@2JM#z>4)5&oy=N}nP z8)&_-pooZeHTIo7KUz4~He30$>M)Ga=ar0{H83-$P~64Ow@8zMwP`fpb*5OqRo zd_iN%vG~fo&t%^qmlsLt+AHdRR#%?BYE`kY%z7>R)`3E`Jd)m8h-%|5-^g`gp!(U! zH#_Q1T@9%(#(dL84g`)`x~ClV^E1-L zPXzKOL=YJ(Bgl&D3)3dyg-L?C(X_`;eZnu-l+fBjp7~{Gm})4Unt801E7M`by_#}z z+5FlB`GKKu-_bOu0g3QC#r(Y1a4A)CkFKElk#g*ZrI8&Mk^|Jp)^pA#`()W3Xvy>( z-WlP{n2h!q)8-;IQ-U75{c&k@&JE6pT~D>by=%xUb5`qZ&oixv_`KBGGpkSWoJM>r z2v#|JP9tfGIlN^_EP?65G3o8%k7Ek?yMm5m$7!Rs_w#-nI=9=+MD&)$cb!{nS+hHb zXMS83b-s`ae>?lYT6GWHL`aD5LO2jOz}XwP7+cusNlGf|nOayon>gw*=`k^w7@Hon zSi}&BSCik|KZHXG@<~E}-3|YsjUNPOPhI>xdA&SyftU?}Lp6Snoink>7A@*_g>sk_ zI|iP!X2Vohb4b59N3AM_axwagjqTyu^?8qcvS8NNoBP7SOVHVw87PZ!#rtcc)~9m0 z$BoTRtD8YTUG00<>xU&tA4gBeo8gNFRK^F76VtOFe%jtQGq<3u<<^_Z+w0-&ini;R z3cuT{y^W)``@a5}$EK#ctCRItBm%mvE$$B|Qwyk+=N3AjRL&)DAE!=@Sx`ss?9U&P zLF#t$hj-_vSrxvXem^$%%Lv@yPX+gRSAs`dd>=1<_B6*(x*guPe!Mfjztn5Fx?kTs z*^l{EIdL``DNm_)e{y*qBRIC=cYm?I0TPsJ<@a-U^{mEv z+&f)jq;z|@{vmkpdVbR&cz^M537WxfyWc$$yr(w*DW6O~qI{t^RnH zMdr;WQ%AI2(PF@`;_L2re$pW5ld%m|f(O)5` zQ!b#b)7o<9emxpdH!dGC@piAx{eHjs(r)?jba!*#t*!0;wr46R0${pK*y{dSOS!7^ z$@Xx+TMS{XpwHc}we`*B8gaAHk)sAwP5EzIJ#BK!I^Nz+*9(0Y@@vX5Bf5Or&zr9& zZ=8~krq>_t_Rf3yFVy#UbrN{44>$L-7%CWaLk)-?kJtNA8Rt88vxZUE1mi^gTKpdI z$UO~Xch*oD_pC5?tH{Q;S$`CsgpLrA3o0XI85H^4uFlP|D|sO*G&4%H+FO!feDDLRNwm0q2U(FQli+< zHa~_UliSp`Pl7ytIez7ogF$Bryr?4+<3gzJzK%b*Vay8bemQTQ#= zJ=|!P43>(cUOKENh0o=K)^kd?PCwo0!Rh*RboB%>~>iy^s$uhD_tKC z&yHd4H|~kw?l4_k6xPPD$lpGMhB9Vm`CY=}-=64=X8PP7pFF|}+-x33V4IB+E_vBs ztRD!R9w0+Jc*~>8&m+J;dT`Ilw8NzNKO{`c_j`AuT2(3bAjurxp=qvOla4@4JWTlw zbrH4t-K|>R=Us%>qUpzI33ihYwg`niWSzkrFz=gf^6USQ+&`&8B_)#KUcAozVTQ`d zfbHw6Y4_{>2Mf(zPKT9K`cJU+O&ZVH>plP9FnNq=<^|?2jPO)+$ zL^(9qgx3m%Z#ukp*8KK}_7)cAhZ^l+_*Xlc4!tzx=iw$N?%=F{ITzj^4Gt&7!r9b3 zXZg}1OeAOlXY+XLIE}&s2m3Sh>_ybh<5}(1!F5FQY$2gQFg=$y!LROfvm@awsEkN~ zXJ1Bcg8Yw41>f_G-sJjUmI{)88Ql&&&N}vMcD&|^cJ}ASok;N09qD}G^-RUliuT?8<;uh8mi*&GMQF@})%o$= z*j%`)=7ZP$_llLr{iU9%{#Q5Yu5i>RjeeQ+=#SM8o28m`KN4TytUeSX=h&EP(k9%n z1Pm~r?#K=8>#3__x0OEL+dG;)IyF5ADuwU&)z zYLwJ#y{+`Ty~TeWDz%d2$i&7nO(M21vT?g2SiT%$QCeVy?R~v*h|LJHh~}Ip-@P6w zw0s+13UGY0;gBvLIPdtzhFic=wPsC?os^7oB|beSMq73+`PcF#BPLOierY!&;?!-600|{(dbeHg*8J1Y11lmM z$M0i2W}f9fwR6Ja=|s-8J;LJe2%T&9epPn8;z&~oMW&oG*pPIY^;ddD{22+~e{wjd z6z|@UPG@A$=Xs@8S=x2U3{>g#)=Gw!|3*ndXLx*(?Q~+_^2PxZsrVeztplB_RJSR< zT1^$bWQhynqH96X4*?Fx1o|)i@cs1ln^=8v4ACUAn4)QDZ{sS3Y@z)$UdK=#&)l}_ z#n2qjqyr1%@yr6SF#Tm|9r+Uc5NQU&?JhTOWt&V zt`nVP{wnRo7?HSi?H+oWm~`zSdKn<^qT7hQt@Uv;KN}Z%J36OqIeJ&{_R1T#XXMTPqZSmoU!|>vE&;BOiWfjxBf=BD;wA<_Kc>yfErLdfdLiKdz z9!fV?Kg7N;<*MT=&G_^lzW%A`Il5+oEqb-& zeZA5jO!v2>KOSz>Rd&klgV3%?Zn)1;2a5feRGY~<%<}4eY_N}F)h1RCZuQ1dEZB9t z_z1s97kebnf~fi{)L%?Q)s5(f3B0MxdcW!5T1w6QH6UQXhVz3BHD==Zsz%aO&3;UU zKq-CN!=p(JwMIHKW@&|>>H;)e`Z}=-NLVX!G*z`_NtV}AZ7EyJ#>yXoK0~b;0{`(r zW64H>+=2D2r-obJUeu?_pJOy1NxrDre_EGp6I3gCLlb88WxVTSeGX0j!k)&<+nZrQ zh2r7xuT57!*Ei0is&Ci!XO3owd@rk7k{a%(wwhN2T0JjsKDU@BFK4wiU3vJOK(zSC zx54|}7WiCeJ=|IS__$)%8m7A9LD5s)DUXbOTs?~9XXQ~qRs6F!8B`{iS)N=zezrjC zoew!a{arV%@jR(ty=_E;aTUqTw<+ZlgArzXJ|SANsi-yu>6%j1H@y#o8h7s-BYU2N ze8RZ47s*vu&mC|ho&;&u*M1}nMkmIb#teq)PLmTh{y=^FeF?_4XpjTtv z9A4adb4z`zsK$IYF%hQ9yw=iCZd&qgstZ6+`7s^1E{t<&_eOqLA|3{o;Zz zsZ1=j<%r(#iqWXv}`<2+OLIaJ!O`G zmOCu7nU)_LN)SqLEw|N}^HS_pt<+i1f3oDL4u3b_dk3;mW!0vbY#0nyWA8E%@oAPZ z|No?z94a%EU>>$l{l3V6p*4k+j4=GWMpoLpP)l%1w!dmP{i*T4RlFHqqzS=f9a?M% z(rk)SCL$`nTVX{QoZg{}lkc69`9Xh{~BA)vI*RSL^)N zPUGO~_TCotCfob;?spk-B@=c;LxsN> z?=x&7&aU&)oF@a(Ra$F~t`KNew%qPuD2*t&k`S;gD@HyJ&N*@nJ}PPQR?TUI4fjb| zGm9B2Mf0xz(uv~t!RSydw0q69_e=IEWZ;)e6F073I$%nPE{)EKmwq90Ul8Sfu+;G=o_qjjbEYeE!`5^VyQt_h@PHctD>}2l2;Lb z1FynjP&Jbi-!&=AiHDCef#0YYo^Yb9BDE@lY37zZv6cAHc7K_TndIq?gqgJ5fkQ=D z^w1_5K1eE&%O0`CW+di%`K8PY?iS*vGZ(OjqOI-h^j?zBdXq3%$ngnhC z?hruOF%S^yh*)K+NZmqk-nnM4jW8=LFYHkd5(PNSNZSwh-mJgwOyA&doM^i{Z~o2mE`0=OI&DRW~|NrO9AG zggYt)fH#c{z(Xs=N5MJiZL{TC{Q1Z!r8uBNn!|PK0)4Cl0R{&M_kiFIvmescLQD0m zAXk@@;BXMbmaYmWT)Yy98f+IP1q+p8(fUjF?H@QFfNHI-n@^-EN{wz&Eo*SYdL#7X zP(^z$L3lF*0)S%8{s=)z*J~2U>ti(-MgCFf1b!Mh)C-Nvd=n7-$C6*Zyb z()W+XzuJP75@}+`G3~O~VOrrVp1LLuA2^?w`6R+EH4UZ7GLTz-7r^MvqPRbz%6_{y zbGzT&KBC?>KZnV~=RXlNWg2kwp2qq8*^+EHA5~o(Hdniw$jh`A7b=~{Bma(H{wuI9 z7?X*C+bPA8+_@Q}iU>`^$8hxG`LDN&lwTi{OZ8cPY@eZg#mY`T`0_JHo10y0ip@#9W@mX(QDc%ZXEt+JSTZP)y~=V#V+Vquks{%RVtP76u+Ea#w5T z#LoTM9V(aUs%^}^?1jxO?ufLVd>mn`+I}2yjk{v&3+)TZyBx=O&~{TF&qc24+C+vV z;agD`lN3hKvSMOs&t?3IIW?yZwi0zI7Vf-o-pny2Zm$~@t8-o*^-St5tyax^Mw#Og zgo~QwyGUdE+}IIimA3|bU5*>oc?IW+d+(EjKl3d>N6c@3rceH! zmq`a01}FO{?+XSeji@3DmXJN=Pv>Z{DuydKIKBw=CE`WEW@I`tU@ci6bW?SK7Ml^7 z^(1=?0v41DOv9bF)4q;lg?i5}GE^fFr=$}178`7cUSQcsE6h7pxyq=g*fYiswWO{A zBmFD#*%IH|6?h54&1Nxzmf5}RsavJGeSCEZAM^KA0`?n-7AOTTBr&pvEk0VZUrf*i zto=AFn2PVwjo^tUwtuBf8jio%D3;b`x#^_d2XwXK*5VLFFl+KlcK&ypb7L(IE(GN~ zwp0I$<_In=KDHlAS5A#(nUcgOoUq=ZTf6)!)cmm7C|?5;5?VclPvL>{u0=Aw=D*s2 z7NZ~7p2z(?Mb@pw$Fw$&EuPw(`SU2+JnmW%Orl1I_cB0N#cj898K%YRMuNlWIKGFr zTIzHcTEGzm?62Y}F0S^nvv8M+3Anj{yYZR%-OWng@AmQ{AGwP*?57++(WLgNK~|eJ zZmC_CqU>?ZDp`A_G5&ezx4qy-RQW}s{C|W03*et4%M~0JA?x!=BWL1EEL&#k@0#6{ zjn9aBI?jLF{@(vzDeeyBYbNO~{;?^u3!o_rwSP6m`M+-}@zoN(df!^fhT1FfRTj9J zZ)QgCgP7 z63CEX2tN&A|DHmj=JtO6xuHOo-6Q`j+l#KzCE0!Ih!!jt0^wDjMlnpzMu#XF6wsf$ z=CgACbj8LvE$p;Ofy-wie%U+a(74yA+K1LS)WLo_*E}T5{@Gn7XZ)V*sG$a17X)q$R*uuMTXNUK|P1W^gZVx$uFS2Zztba}zXL%Au zUf-SSU5i0{Xw_6Y8TTRUi>C{hD+nzNoHp!hrl%7H=RwX2pc;}WX%$S@+1r&`%Fop5 zJ}7A^Zo6^ed2|)fV+=tl@HH$53E80FTu$YcxxI02efaU~m-FqBFm6dbH%feXRJ4EI zcq_x^d$S0;HXr?yPVn0a&oOGkhDyIL$|m0i20bJXe`j`svvLIwnEM)XoQiZxSe7pM z#ovxTbl>{0(6n77apypvgM6QkI-pv{$B&CAG%T94mY7%H{HtV$)GC*2$T(gt0Y8tk zOn~vN9S6TvWJdolTNW`bYU-A)^zb+}9;w?Zq*nsepwQ|P+=wj|gY&m7@*W%WMW`jn z= zqiT!~rw0eudW3@Bm6TI5-0+34mAJ*A0By1F-8U6&R3<9bEG3LmxOJtGHxWu=q_nx$ zpA(tml9<6uQW7)2t4XQJ^6uKBW{Ypz^ziQ9=Exw?qx$XHqd3gt<7M&@gKv1q{Y}>` zC`RLc5w|DK0!plZ3&rko|WLL@U_9 zpb;ev))xfMX`N{StJw*d(?~FIHczTrxhF50_w3Le!t%<17k;hWO(X6HJWAMqq02r#}cv1imY0k_* zUAA3gQ(`Xj@!=r=P+o2-fI(876)2c6VhVtxSQe@q2jZ5{7j(Wvg&0_v^$jv1{SR4FdN%XY};e-hT=`*%TVN0q*XA~@!>K6WM0ra zz$`ga4@M+s12`2lGd-1G2J?~ElMXJQ&IvBBW(O|+a~YsRR;v}9`{08HIC=DkmxZXQ zC{z?Lcr|8k8vQQ0!?=SrnNAfXB@Tbgk<@F=3T0*S%M=n4@t9rZsb7ER?xKw92sH(M z7US9=FN5k{Vw2BF4i!|8aFdsAR++cT&`n{=-hWpih zcb}60Eq@yF>uY$66zow#iWjLw*sv0*+9PJ~#j^wrt?BWJkxqTqH@LH265f-YoMeoi z#t0nw-gH@3dp_RwUC2PfUI)QuU$Qz0;TLlh!aFe)*PtOitocz8#-3^F+W1+;P;QsV z1#W7zzR!1Q4A=GvV}nF)iNch!<9t>Y+czm-;uob-mnf81B!wJ=a1(|U6J`j3H)BW zea554H_UT2X}&TSNQFdQLl>^8*Q?&&KZF>5^%q~%d|&vjD8_JlH*HH?euuHHN`{&b zA}XSj^p4IwsapQF{5JTAkYB>jmUqvTIo=v&AYa_mP~c;4@71AX#=iTz8Myy&kuECQa~p-7C!KO9J?tmdKh zf1{kl%Oc|(hSWELrQn1{(;tt0q0i#^x;+n>L@4OKwmlDxSO^4}rnc8z4#k=2erHJ~dx@S6Xk%!A?42X^uhL5tg*pE#+XiHLKB5+;3lKHmbLH?wdlN zxqF66bedkok;q5TplRzb0lg&sd%l!!=QWA2h%%S$M()3|iCRu@gQsrp)erArHW|x} zr=9Y(TSX-pQ~>L#RUs=*(*M)57Qp2o(=EK#qyKql>{Tt#)^^yjIvB* z>ziKS*pG@ncs}~xIC7>MRy5v_nr=M!l&jnT4iL;t3TAVNE0>K*VLR z(B;5n(H_^grZR5KyI)Xfw-1qufP@S(Q87b=#~G^pZXndI-%n)&1sR0%W4P=)lUOu=U z?w}1&$(XGnCw49VWHCe}L|V)lKm3)gJ4%k*Jmn4d4fAB*z7_!}<|v&5fg5ws1hv+h z-Vun|#9UDLX5UX;500Fh0Y`4@;R7jCxxnC!*DJw>u&xlOkQ(b}PJdMXYjg`E1c*2z z)tbX#yW>1KiM=rxS63YJd!%F}aWDfc1ap5gJVQz@_kDuORh9w0yTb{!3G=#+$eyKN@F?}fG0XQBXANH^i(jXTu%h%#v;HV zeN<@!KO==GUNC8}JfwilJ4RrBjbZ?UDfdM;I4`R{81;{KaM_VSG|Y&vRWc(dF*lsqm^+6LknpYeo=m}?g{Q^J?GQ?B+93Gh1{=g?-%scejQP# z+Irffsmv)PA*iM+L?N&<=M+#(4h5&BD?G=rv=Gr0s_W0TT+pBhDv^a%O-Cx>z*Vtf zDVjG{0P7QkQwu=MxGF|0MZFX`{n>a4!t4}5mNKwYDM%$xda8tVSx?U5r978}aao>G zb&tlBP6!qi+~9#r1Cgy;rkqpRFoo-S(IRi7*D9^-Xf!Js@JV*^!7R9m7V*fcusPO7 zsYn9~CNBnz6+&o&6exng!GJYqfH?7)aS;o=2@BPmBw?xq;CmV*k^kyTy`1NkFfXgc zNxhWkB)3(ua!2~$^ULX%k$Jf2Wt-v~v-9ZIl1#gJzC+20w}tQL>Q%qEos7E~xV$T4 z3Yzcn-afF|nf?FuXm)&1-N7XNGSvWrfaBwmE}#C(WS^ zT0#wu{xXajiFDvg?0jhBt8c7-32gw^u?+_cTg#|_8RS2Wvw0;vLuLT$D){2U(E5*u z{I_j5u_sjlpwj=|ct@Pg4$JNP{gXmUz!EBymQn1+lLNeYlyEc=4({yzZwGySCWmWb zAuA-uyKE53|Iydwk1s@PP97NT|9j%t(e^c%xBTtIjdK?fc&)a~}B@A@CU%mo@poMOG~c`cJfJ*37MiPkI60iOU$1G!)ZvMFn-BvjzrXVOI2 zcBem&A7{&Es}oM6c$bEkSimg7+W)ZtDnAt`FV$4q2~mo5IGJL_n&!JLl4*h+`%7~U zSP(M~vN=0!Zhu26A{$=N@KE)8O~dM;QdoCXg>TyH#ZCKwk)f7bn6x`+^r|JK{_f>CB|`b;vck8XEL=mmG@8GV4p`IVUar z?OKi@3!9XQd8WcT139a%433a%3#79Nob5v9A$g;etX?L;C<9--g*)S0?RqE*Ske@n zNPR=5z_1SZziqfWEKw9rFa``8Qw<;&Yb*T`>;~yV)B?WX*ve@@aFR?g5Gz*DO?>NC z;&{*okG}@e#Mz3}{=#hwBmXW+PiqG8=c|TtW1L&1AS9y|%UFYhT~FNJ%!*`#0|YNX zr~(9Puuzk1uqf&E%v9urQNN#Q!<=JbeWfGb0K9bmUb=oS-SGw#n2!t}k!t3!9yxw5 z0>2lr--{f0`6&CyalTM%r`#%g$uZ@WcBJQoF_5fqe0-m)t6CQz!MnUhda@!4yi&Tl zV$Ao!o)oSv47;{v>%e%Rl2q;I#O_7HO3l+!=BQ8Ci)#~Rpvt{GCrZYuZCe-Gv5$R5 zeuvmuC)f`#hF}9WV95Ouvv84RfTf3a|L}#2A;G7?amCNuN9|EgU=1hC-sa=2oIub^ zK$yOi6Yu~8Su9aXx94h-k;2p-LBaa#6$f6zo^WS@s_~z=F`8Vqr)k0}pMl7DohbJ9 zhsspZ4FF%)ZJEyRsqa8}tA=<^KJLBJYc%~Er6V+w_Uw&Pryq_=<6-;)Kx8pjfQrep zQK~wk;zPNLr=5`QSZ+7BWVG*Vi^^2CZlR3Wa-zA-& zN;XOf;vMTDC*_Op;TX7%L^p9jn;_x_GC1GmI7?q=rx>IYDd&o>{k|UJEs!I}{ zd{T{HWwcM)L|Xw=VyNnpt|u?Yl4!!XTY~{}$8%LlLNvrb4>h#x!PsM(FlH)pyVw^p zcS$~WS0xIBPE&qQbr6<#oJKRSOXClQQj02B8`LDf=gYb$8JmreA$|H%CxysRz&sOb z_7$@{o)6;((7JlipVp7D|6(II6hzj16}}^t)SUsmiAff-`o4rL2L7)H2>!dOhkH^t zPGUT#1U$vVKr5&B7*~u(2e?mgeXArGo#9ZRi*1?7nN+XR7X5#&zs?IH>zG=l=_hTi zkwU+F20(gJ?EnYF(E7SbiJV%j;-3hJP&xUF03;>g2-wLzhQLE{2jN8V=MWrd|ML)@ z>z({{2r*gUs=y2sM~q^C`Tie|K(Y$2Md}n@w+I*%g+Gt#@E7F>6Q%GK@i;+Br?3be z-FkDEA;}qA%#0e~gO_;Xd8XEcNxd{Hj!1t*)aSQm2+(AV{KG7`uz#ckQ=pCf7X^BM zu@XsG9)GY-`A(q69BK^ZAXEfbTZT+!Yx9|xZEZF8%} z8$tuqurm$5hW2m0VV!z@{cAvjRY7Dv?%RCm+O*D3L`s0uqs8ogk-|a3ZB*$X zUCZa>6RS?}!8IA{ozY-0_xrLcisS8)=1KF(avJ18#ouY_?0R-}Z0GaT$kedX zhKA!6hnir|s1i9!gRmuWraL0?5S@=f5i8S=j7aW}Yc+>VV=dnbok?})`7m)F-#)S5SSR(b0D``vdxU#OP-6cU^P(cW#VST>I`~ ztQ&2}Y6A z>V0@DQ?iuC6>og*D@vsV!gk9qn$jiBUwnohEl^DQ+;K1~%q9U(gnUDI^qMWDoLSW9 z*kpZ z;#ld|msHYVSw?V#Jgzw*&G&=Y>xq|6l62B0?!AmJUd1AzpojmuUs~(HXfi@AAg&VR za}PE{IpFQ-3jNYuB+OhsRd&$a*A<%DlU)%_U4xF+3MFwC`RRoKo+>q-Oyhg=SU`NM z_PSFYN|;TXHtrZEN@PSTIP9GzvREKauFLA`xX@VA2XUaK+6qy49cS|OG5@ZdpR{Nq z*g9eEY^xuhT_j1^3(7)&fR98qD;4!FKhO<>w@s-+KZ&!i5QUK+&}qFr_92=d>lHcLfQ8uO?1$v!Y^!J#l9V?JR! zmb#S+{{B||H6bz=!F($^iStt{(Hi=CVKA2yZ2lkGuk3rJy3M{FCVf~9!KFnbLb#L? zJW zIlN5t!0@|zc9Lcoyq-y+N3R?_QB(;gGR2|@cz&W@1ATyg662L8Nw^1!k(iNpcGK6v zk_tzT@z~p22qDjK)1t+|66o$er~5wx=8XEk13Z)@52PO@z|;=A>ZYm(m{znVH^dHV zHLVu5XS7wL_0Cq5P@h4+hTSc1jESbfFNn; z-w?l!1Dw{TRXVEuW*A#5qFj^@&%PV#R*I}Ks{m3IrZ*+%NnF|^i-XYbV zj|>pwl0F=>e|v#EBSpq1oEuw%Zg3z~)*EKLPO?YNHF6Hm>~8Q4$Ov-xPeq7HQEs5?KCX9SN5f4HeIDNxWa?GB7&$uIFm|F8gix0K_=rkjPM~B@jrjF?u)MrSqPjWlJKI#=AGLwcV z^aT$;SIN^L+_08RGCXRdA1b|r=fzbmi3!#sA|b<(A1G4tAzRF^Uqu3xp}`_TWlBjy z5h}$1Qq^Jr>7R!yvxvdxxuk6z5tjrsv*zAZX%Tvy7w9snNoZz1WRn>&vHF(vkzD(v zYjO)BV`*q+{A7r*G{EJAN&*fgV1Q062bsAZQPFz*%S+hq*`hS{*CuKHY6CS4`K%2! zYC(?OS|@q6$2?XA&T5+J*mgpgF&ebd=~%jEHg%3?fx|=>n$Yj1;hPVZ-{M)B+HdJ6 zFEw)*MLlD{Ij9EF;;FhGc@8n$ewN@dwAS5{&0R3j!;1S<<)P||w?xzYy0!_*v~`cF z#Gst|8zwFFPbf?p3h;we5mKN`x6R}H0va+uV08b`{K)UI(NkLQ_1C=_fsC*|c&;GZ zJP>FKk6}RlBzJ2NZiYQeVmI%sZYxuy;B3>FWp{Y-f}}v0#7PsChn{-}hQL|P@jErw z&ez3kRtKrum)l#G_(HqwbVe?5+0V9uONpy|&9fY?4}U~}KoMC~Yj4TMVT`AxoOb#p zg?TfCO;a=kG0n=H6EZU}or7^V;ll3A*Gp5Ytw)>kC`W{*?*~K;hhMB}PSAcS(PNB9 zpVb%@p62$oF)X_|XQ2*=I)^g;v@t_5U81LM;Z!rG8(8Z^uppWa`L=fA!t^sg_P$)M z87oHLM1fVC%4qpGtXVE{nKO&dg6KP!pENf19P@^6O@7&6*uY|rF=%8Dk3rFw?FH7l ztT1Tg438nw_ch>pZCOlgtvA_W(~WJLhke{wpqNLZ`m9qamY&P56>Z0gWIP{fCR+O( z%fhKvV(h~7@ZO5>gYS^!Z=a@H7`siB9_OqNA!sh0)vzR*C&p_3AsIu|#Xw}&I!-Z#{S|B|C z6^QtE!RNJ7(!6TI^8nJ)aV+zskXu(R?~knOQ_uEmo@r&R>3+h}BQCvl>KL#NP%~{5 zEbPIC2W2ki4G)Upl=M#<6_}+s&C}bv3qYx0Qrs)imEG_>RHl8`-Qnv4ozwK$b;=carnosqAx{*5du6QOYnhNj3lM3pi!qdsw~ zy2$OAz`YmsmvV3ci zVtUe_nXqV#`(dI>JY-&MeN%))SR>2Xc8CpbiG5AtO(w-u8DW>K6W9Fs(dZE}a-abv z*1f;#5hnCxR?nG$Z;&*&Q5g-vA!=;sp>tzZlaOSS(C=ugvowKw##-EQBJ*X2xBQ8} zRXzWg=ZuIWY^#@ndZ3m88y7|Ug4|Z@yLoHAgRGC{r6E5jTZzrPS0vZ+*G8K0@gkr5 zvkis0o%y2^5Wr}UCj%bz`(Rd&+0+_PsSKsJdx5`5qm25Oc|2$+YU&fFF5cVijzx_n z$+f(Hks8@c!-}Bg71muz{{adT%qI&T2<74;Z!_&SK1vl1V3M6v4MDrQYER$J&D+U{ zohzf4@P)sF*4L`NQyn`aReL@_GF-JOMH~s@RMSEF8l~C+dE8qP(NV2HZDLz$``$iY z;fzZnFw7VS;fGA3zCQK}L-Xr~X${pTRw1LQoJ+?j)#MlXcpwH6DRwm-5gdF_rx&uj z(G+=ORh3k0AIvMD0Q%yr26@NJnHEzob`CX3dpDygI`>BH&!R?2gvPd|hrq}ve%ZFFny_WV|QT)UZ8?^vzla}S)l2vy=>SfiUX4=44l>D6 z8UPLf@gFDYomDA~EJ}9O-m(9GXQlmBB``O=y}(~xVK@T}OWPY6CBc~O3H*znq!gzp z4no^ahB1wNxKw-)FL2EkJ>1?r(ZYRVOQ`U4nQqXdR6S$AR5c}ZO6Pu{e{#%V`v=le z;r>P%`x+}ANTz;zUYab#Mi>*`eHNsgJf`7N*+5A?vzTmM$}_vrRrIAwYV~P!nm5w% zK^<#s7yb~D6YEi`Gj|>U3ma1(u%fYW zS^-2XC#U$-PCmOhZYpb9E=o(XRWz6)0v805`Zp|TxeO$c!(+R|$jD@Ero1d1;{BH? z?9j`A+15Hbe)Vv>2$(0QL>Mg`}yVbEVxCQ`10*dNCR6oBR8{GYrj4@dQ9gF7)=s2jR-mN z?={14iutr}mCJ8+MT2LQkS|Jy;qua z@WwO5W*h#{8mj8~O3NxP#YfV{noDRlUluw#aeK2txSh*y9g}oiHZLuzX>SQOsp{_w zy5&?04lG{_8Jl56`X5}lm%Gk7cSI@Szg6p=npcYS5j~%)>+V!~U*>VeQbu)lY!YsC zcHDb`;d6;-w0ygFns(4L?Y@`nir`Vv-)>DC<13sTdznTzUcb7N?111gm~#C4H(FX| zvl&IOGvS++c~Wm5)f>TH_iENKHLOdm3kVQho6<928AdnpkKwaV?2W zVHt<>rc)=G5^CX?gOW|hcVzHVBgnK`+fdH)>tMgZ;u;EO=#JCmf@t0(xp{{)wqol$Rw)JyV_H#wIvA zWn36wQJ;dlTrDGP-ExhTDS<$pb|B9tEL;X~0rUl>1uQzqw#AK;P=tft$F$2hYL`(ZT;K!%Q9w2$onu{=0nw`Rr!HK8E;Q7ix@eMO8T;%$_QH0l zRD!>(2*voaiExERO6a0w-=X+3jImi0p}Yt}t-Qv&j^akuhNQLrezM~3yR~fo`g429 zf$J_|FU$Qk3X_nai|^B2vV%yRkfG^GxN?g};UVeCz*;_!?DlwvhGAGYd$;+pL8O~k zb=?{6rTWTXVht06BWxJk74mudFHeP8G7i02ayn}kQ~yqis<}=z4K{Lv1T;8Iun$&5 z51BQKZUA7p?lg?c2$mJ&eTvYaLyfKM*FZo_@7{hMVSl^xA6V80cU&#PJgF&vl9#GcVqp9(8f5lQdZ6gkp0VMd zqBUBdWMuvnRRbl2{Z-V`k9~0;HH2tgchUA8!z#&>#;1Kz0aEMLxQ-~5> z2N05AfB+7Gkp@C6P8b1I!J9T1zzg;k9SFSHcrw^M8F*X3hV4_p(7Jql(NpO~N$IAm zRwJ18;BNQ$afXw}+NFu-i__88Zp>`6!DZ|z=leZf-|!b&s>0QX$ue#Ym^8Pt-@QOQ z5^5Xqy9Si+2YjJ#mP-)g*&B^LuVizoD});x(qQ^2fGwU>PW6$!}i@*QXJV@cHG%S;HFla&__ zAdUxhcPOSFzc*JE^H+Ln2<=#p$nije0SO4K{_3tr=n{7Mnf&db7H!YsYvRN-)Jdf? z@A0kUhszjH1DiDM#cLx^XNJ%-1tyHJGdipv^ifVpeQF(H6>o1U%R@d)AH%-K zW>GrO9{kWd(mnHTvp>b=joCYVp24vPO@)WllG|G|9Twm}E3`eBynP(!T&NiLHdZkS zR^`yJ31a7%?S@UC*Js7Iwhf}UuFOX^6`A5#D2d9Qvj)}AsoB(&&6~cf8?LuZw*l*I z>P^z?drjX(4cFu4#&RrJTGvm_T7#q_zp)VnIy!rIMAm%xQc6R+(a#`X`EMgqTfv z;T}gQ1s=xQ>#^$eAo(tVK4q7zH&`~$yptxB=UGNRMtkbWPqm;3@oc?=&m6z-sCS+` z@+>)YgBafxOJ1V##=GFlEb$`rcWzoT;e4JI;uBN2*Bbiz)lz2hf{tsuA0CHbW=T2` z*uK?wSo!Q!WeEy0Px)v0$TFAbylpVw6sHKpwaOrf-or3~$~)EY)db`M2)X~YbvR&p z3z+sn$hf4|QG8PkVi4EPx2&6ymBObgE5wkS6F)~|**iJ1rS03bXRE!-*cp7kMB|4aT2$8XZ+q3F|f(55obgFqUG58KEu z;q~Hevu}j5>HK(R7b&FEW)gtRhi7(5e4?_!x*2UcQSP{nf-Yaj|7Op8esYXU87{1F zK9j_W&1Ty?Bh@HCrkuoq&1T&^BP^&}nQ|tUGmXQJZR9JEH^E8*UpAcs&upIfq)CyJ zMAvoNy>&^+s});r#nzp$9zPSbfc&l_6ZN8(XLG#NJXVII!VeigNgbQ0lVc!l`hk=C z?xIM@`B6OSWP@Q?K7FovvNf40o^L^z)Pg$n?YQNa$RyY5XqU?NIR<n*d zN79%rB78@`os65?{+6hRdNC727eBcAn3hd~iY&q#p<*t@Dv_=4f>_M$<8qi7OT^X< z^Fv*ZO(K<%x5XOskCmt7rAdqiW!?x@ThjNgw0fOd*XYv&$(3rYlPh(9Gm8Gr*jD|Y z#{O?KtOjK)7}GR0-{Jf#W#C{twQPba)%c%V$-`Ssy)=kwa)gM&+n!qB^_hn&5AI@l z@llyEaBF}DX3#>T3sIbnxHPH4z7hz#Mihs7+9X1QH}Af`m>y8);(-7#zNZJ0ZP8k* zzR{r7Gl)Qbvgd;Bvl9UT;!ZV!;JVY7t_H+(>haVXHSxoErWS{3+I|^$c$t0~^`Axr z<)*L-=RpW{9lPPG$iWpESM#BI^J_UO_TN$6;qLw2zEge;@+~4D_yxTzEV%mW7s63C z5hB8q1#s}`U^>Q4q3-2H#tLp59Rq+XnsgrND|%y;&guP;Q2S#Ql`0q`T;3R zNMen02$9N+&uDb&hL#$|&;0evyz{Xj0_#qbv>@PtX234AdjIzZi%{qeJQEJuIXZeq z%QDC>9HFjh!t;>A2Z6?O27Apd%e-o0{^r! zXjy?v<)W|HGfjq7XDs#wT_FL?AZ~m}5)wj(V@R+*_y5D%TZYxOEbXGW1t(~50t5-} z?j!_Bun7bU?(VL^Ed&n|+}$;}I|O%kce`Vfwf5fY+vncroco*~jL}_HUG;WVb=MDi zj@F<_Y5o?DPNpIYLWpG+LFhNPG*#I_zyL!Blj*en|8!_ZDZnGIpVjb~A^Y-MZsIc2frcx{hpmxEa&-sEvcd?2NB+EpIC=haaM$}fd8>uEP7$7nJ|1mxp z0EseonofH_P@Ng%%C5oj>iqN+Sr-1`l_%eMuzAM16n5pefg@jeqCY9j`LYiEo*7ur45?=Z#WTa= z4+GuQJOUNW zI52w#0$2c`E1-k1%{E~~Nqh>kDc?|=@9%A~-d3n}&Orqox%D-;Y>jX3Cd=JU@_xoY z8bi6(i2cRsZIg?ACByJgJia+TDI25yDR-ropmM5!V3|vF2m2>9p&<=Hc%G(Xc6rLh zeZhcnTl<}B>iN}GdLFCW7q)zf;8ccx4XtOw_KZ}{eP~e#sc*)_Qo)$%?ni5{GR2Hjw-r76KrjOm zRr{y~Qn<8sA`7Id0O|%SA?gb}R8D`d6{{>{ZLS|#{a+~(>9l!6qdTgjpDyt9cXPBf9Yzx(GvHr8xSs65+TlmSL5QMR3sSpXtL-~n)SVH$OfnrLn(k;;hI z?tvSy^yI7u`>h?i$ZC>?D2(tiIhfasrA+TlvC(+>tb~0F%M7Q<$ zHGOFJ6Bmp)v;brqtR2WP|49>u84!R(-+wtkOc|w!yq;u%-jd)fH-t{_6 zFj6ce3CEZ%^kLe(sW|a-SC@F3c=7HGK?N;N0AlZp2!sy*k3p#4?M_nNE@n+@8fSP% z#Gqb*QhKt0Q&qGKoDP>-XT?J5wOnnaz64%vK$!M71z1>GW968=xz**k*(zrL7_2_^ z!b;^AAP!R)&`;)dVYOMT5}{Y&b-|P}Rz|F#%k9={Q%c-erB0dDQSL|Br_bM2E}1Ct z%c=HdI$new7d#X3kRIgP7s4!EzU)n#Yq))FJ}1{YDUM)$MDFi1PHklj|Hdu?VE6eq zb~FC$Lz`=tQ(%N=os>hcJ|y?|VJEyI(yn%y3>`jvM%2u-A63v@?FofCd_EZ+UAnuB z0Y~9zUA~U-1$reaIFX_^p+E=#aSCldbvVe-fC`6Br`OT2p#j$4r=6rxHcjTf6d&W* z#+c#sL)pBEJHaz0HpYRRTR9ET;T;p!_~pR$CtlMI|0P^;;D@yss?4?)m5sqf%-{*p z)$Rw;;l%YX?L>ffo#JC++nD`l?WreBYQmubNfMn-EQ@5)!rZ3tp#iL_olY>4L1En! zy2Um8n*>M9)JdJ?Zpb}`JcK|NTVO(tuZ+QK^UUAVWmEjggpO=o6Q-#-INLDJ_~>LE zRROqlRwCZ-1>axhp4X#l+o{ifN*mh~_Zqd%E*4_d4A>Q=TvAMHGnFaJOR8VFIE-Xl zM3Jkm(!aq&x7-!VT+<1MirM_g%+uTYGIyOac=Wwyx)6~j6hU%ckfvqQHv6KOoI^WU z+SJZfz1-CVd|1B09DIJhocHbK+hAzXrm??B-g6^TAk~Q!Aqumm^KL zBKz3uC9)jm`w0{c-uauf75!^)_Ktt+R7HsQd=8n+*Z88i`#D4kv8veHneO8zMI&-+ z`c+?^^wCG9f@;j-iL3LM!3T)biP(y)4hh*hN8~R@EZft0Z`m{3OeSNsVs66;GPUZ< z-oAa4u9cFVzT|b8P^yh@qlmGk97J3G2{@;Tsj6%qqmak=Q`pwE4=H${C{0V-VvCO; z{&OqsM&0B-QoQD3_S#NW@0sKiBYlVVQ9ELkfnsgd zU;C%Z3ke$S;l@?Y2?g?6n_~u?Km68VC$mAHn387+S&@38M1 zD<|O=(_Z+~8M!zMN9|xM7D_Yz^uI=sF42zv1qfzImzaSC&3KiQ9MfqplHVA)9OON# z6Y+w{1~EzNyI_w)Wyf*u4V@DJC$I-iKg8V5VzM-SP?ptmfJK3>pzDwXFk%@06XTFZ zm2+9HycRteW7pc>7)9J*vf~^{^;}^8rPN_6|Dazm=J6Dbt+g??2j+#8GFAMDh#w4< z3X_bs5A2#el?uoH5X_v5L_>B|jp<9O=@buaN@y&4nD+pCT#-tJnL*pfx&2VOG!O8M zfA*NxPhl#jUa8=Lk~Cdy5C1oL1D0Gx{Tbdj*k&){wHAwc&*mPGd0OU%Vs5{MaI~!0 z;W6fOv<83kM#M>f&D7e6MTSL>hYK{mbYq$As+%v0=AB=COuN~j6yF4ml(Dq<;rP5} zYVpyBI-{G8Woaqb=sq*u)iBq@&N~N*r`=RhYm0aPx^347U^x@>djT-*Wqb4yu*s+E|r6bSTU-vU0|JCib6rs+JX3BgQALZY@3-02&Fq;{&lDc7& z5s5t!1Pc-9&5ab0Za;Mxj;ox%U^#!ua3yPta}@PrOpU&EGZ&Z_6PVu?2tD3N##?@| z(fVr&2a;+50o}2w6+wu&W^!6A0s_KN0K$$-u26t4$jvGJj)|1{sLegdT$3xP(JI}Q z4Cwj+T@SXPd!zI_44^9kbW@m)Ttw!zVfeiZwfmimU43wP*CiU#nW22^tSl}voYy67 z|Hjs;&Q;^G!gtzMcj%9xwTJ@Qtj+M_v%3@V&9VB`7eB_M4hStK+@T^2?a?p@>lXx} z5bMRC!`_}FKv-=;3k96D?Y4*X1mM=A0j^@0kDK1~59xV3ON`~}A;M9{q zVxCz{0FFeQ=*o%{l09#g#dU2Q zYck4aO~~xmF{%zRygF1Kse_7a8y)sxlsNJZk4l%E9~~;y_P3UFyX)7_ z7}Ghj>C!#W89~bZ^={FF8c}AV(*l)HZ@egJIJ@{4T@(85@Jn!O5Y^H$A{9X1+asxU;EBk{n#a} zDx_+eVlaK<Izk&!%n{4TCdzw|aNrDEQ7NdAh@kN$`ULKRH$sF}Z8kiX&uC{BMUnho==2l7{H z0HykwA|s$Bi90jte5h&Z)^y3^a%{7iB5=SppIxXw;`%U=9kRb07BFRTg=+u8-hIr+ zgc?;pu`fsz18F-h{33Id=z&1JXb~o6mgtj@2c7aqu@*iV@s>H-Fi~Jfpw}f&@2pco z73-InO!)jkZ>D2pmPn|5evDW|?W3Lr>;shT2iF_q|0;9pdZ3co8t*-M+!YNM@kQak5hdz!`u_>9rl43l0a0SM-+?V~4*uG?hRFKz+qkOwttZ{BIanBa zyq_1HEdHqn#xrjv!{+3 zteQ-;V2eXE^tus(rAyLuv|aom5W&^3@0|8uWwQ}Uy=0d2pD4)$Xe%0=lf6ZOil>6Z z)X=EpXfSn5Zq-!RQ_SEHMMROy(G(o)y@S06ev$Q6~VQ{fAwfY!C0hK0>rM3KvBh~b8)>-zjMvV-n*30vPH~8_|WbXiWd^SsK zur(ysz$*tspN3RnYNxp>T6@4C4NHqpI8f8lGg(@a9Nz%?T71kQ&-&-=4LxMS3I@d; z?CV1I@jg`{Dt||oE=8yGM%+wBNYHMr^+Mc4;Z4z2*fOz=($F|0=arDx^MECyJ8N); z^$~?Wqf?;*>!LZMo3rO@$zGh?ByXsn7k3EF1PTui+$IR&8&Fwd^9D+EKL5Hubs!op z$#bsy+Y!$J030Eb$7Vcc+#T@!*gZZ0mC4ss_RWm{$0hs0CB1FQRCuz*yp|sB@-B_!@@s06F^cBj zA^U1Uj!lNk;-*460wR}`9G!^|;toasS;g+aGF7NR)gU3i!<^&$=}u$4g;>W(`IUN~ zAJU2D6W!kWVKY!C&OYU2ly&V&D)NcDu?vb^pN7L6`v-IHi95VqO2&-^PY-{&e=Ac! zD+!G=3N7vr;l+k9AYp$+-k>@4Dik964b;nq9@G`HhMwXWr>*u*hH_}iYUh>Lr)@g8 zGv1hU_ePjEpUOh^g@B5MuB8Xs0%nv_dgW&Wtkz8IFbuo_|7rknGp`^mI5;f7aQ`MS z(*F+v3B!L9b`JpdD}nVl5cU7|Gl9j6FkZ|Q&%83oa-!oa4fH+8yjLEwFe z{HLJDz6isesS2B15O-+0q}|2X3L0<#R)}Y~uig_S!k1(?>L@0=PuG&OC~i+?7`T%eUiD5{px?zA8Xf! z&&i%q8cuDCf?ogY0(2tou;A#z96rw94R$G?J_;;TN#^vUIqnQ>`5b~OcqM8Ag=T$* zq5Oqj&6g_hnrj4Vk!G2O9&V3?t~Gc;JA>Lr5iT@B1An99G}|H zC2xW4i0V(hq5IRcHE3|iGB?Azp2O3H0EfnlRflT(hapB= zav^v;DB&$m6F$7$^vTxQ2>nY9oSEi%>?HMiy6VlD!s*KLz9_yq=7w+4o({mtp6@X~ zet+QpX6UL4vazWyUQZk@`?l9S7Bj!Wa`wG{pooE$q;_C_g+-N>>&ki1ZJFIRZc1-p zd47xi%zJ7;Lo=GPuHSSMx+I~YF}pxQ+$L^{US6}J)MZd-nf=AHXxkQhi1!q|x@I)9 z?~BwadN|DrD`%P5b%qjE4H8ZhvnEYrp-WWj8>w{R;si=mQ5y|* zP7_1%)o&XOxQ`RF`c2DUJ30^AEVF+AR8O1%&xwY&{X>jlnhG_aj}c9k)|NW3&9=V`G9 zk0n^NJd#?xN}Szb$7eNivTvYsUNWtRe{tzr-@V> z>|v9piQZFe3?;qstzBE}gTG7(z@F1yJ4-ZJW)J%_YZ=z##I6nYh0jwjdr>qiJZ2f` zZsRnwv!`e-n=2j);?6BD$j^)nR(oFw67c;#l-aFB)hp$iSSEW_Lx9Q58%KZz$D(p? zdK?)RhY}R;9wwW!Vax0^5Aa)F*)Y2#bK}U$*{=CNMRkqIP`D|H zrv^M$Vbf!Hgebn2$9}ioD{UdSaSznRj@174I5gz==?d{C!0bGmO9z4TjpEOrXjXJ5QF4X0AFWiuIUrHy-U!DpHMne)CG zR{vH{{N_UdS%vCg@gjx8r7wr1vjLa&o!&d6U@i{j+F7Y)L!q`#5Axsjc$6fTf(1d)h&;H`h z{NbAX;YK%Xz@Q_p4j%$m-(tCX)ck-T7rsYq_JqpS*O5DkS>b~KxF-PDJIDhnP+tc? z=`06PB`wdbDG1rYQU=HadA#%tYs(3MrSnG|P!?W-yagEuX|X~4-JfM4Fo0F?2eWM>fcY=xFR{N+sJ&R_1zhuf!|4GA zy+4y>|0U*s44_Q7mW8NdlSc%D21g434f6*y^&il3$Il*MZ>JoZTteyn^s zp0xpaA4R5G_n4Rg_(Z8#&9<~pKhd($Cc(@}UutH7u*>)?A;)+0gBitg@+ zs-@ZO4~5V#iHUv~HSd4%o&iP}2GvEKU@!WI;FQm=IMmz^hgquo$6-ocQJ)J{kKfKy z)V8rBJ5Hd|F?!xf!+fN;$;a6%QA3F)+!?kKKFw~LzG-#6|~9A`p!gd z%N62Y4VBb&hbIK949`lSW$>hZm7qvC9zJfZoIO^|wL8UMw5Ee^Ww+JZOv{#EWOk;Vq;Q3Y)Z@d>phDo0Qi2 zGwmmajSMnOA8Y3b^7z38!@=YICf_GVmWXsbRP8pYL^&p#Tsgm%r+xz& zmn#M7%W7~l30F4gC3KVZ=Bt6hN8z(p*>>g<U9+Kh3?PSE<007$hkp-o4W98p1oVQyG;6 z0>}%x05qmbQM3Qq_+++o3<<>^QbZ^KF(NSs2x}V!0BQHnM#l8m3N(xf&S!2nh_tY3 zK={`PDv);nY)F)~2O05B7-{v@!6F}^&;5^vIaZRE@1+{u!>7ElOCjfayu^$bX?6Yr zQ1(Imwn2jz_~^MG_Uk^F9Zn*_>|%a)Y84Sy$O30Odq|ToZ^z%OgX8Car~ChPxfn~f zZ-3hbmJhHhUm^YslfVR)*`P!lqma@MC2ixKgjv%Xw<>*0$3{;pylaD@$3@208be*Y zmAlACu~DRU=nd@fpG3O0{AAz>Z6iV&6;t~GEARzB8N^`SYhdDoyxCu~vA@Eb;JEra zg#Nv>%|%|B^MY5Zc@hwlKRdwxO(|5@VANdIeUw?U>d|*l;BDnyTS_`KunU>JZuIXl zbP}x_U`NGxBM0Q19VFWnmIyF!{}gnuO&5{pJi~$-QI|si?{zLBNQr&=;LYqWegAWt z`j5H%UzX$Dr{Q_tCV_l?-p(8-5Wy4sV3rz%$H*I3oCuXR--qj+7?Y*WPMJ}}!V80w zC8L$d5_S1`JhD{0Uq&A)U0o|utY->0lT1kpl52o4{&rS;|+jVzs8eZ6h!D}3j z)|7vRes4U)7pVRf(j5EZI`bwwWT}tn+8TxDCAEeY zym39H#Rs(p((pJzbdCz5Lzis)dN=MN15G z=UBtl2WS!mYue*`)&%>ksnj#c8Dt%$T$f)NTu|%uQi+}r>C&3_O15Z|?ssilh$Mz1 zD(qcdGVtGDrQ*b*)m=tn@pFvQQWP79(0Su5d3kRJl(t}H@$0$kMx zl!{G?S7}-Q7&vk`v=#={(bfkS3^~2D-uhVDGFR6vuCbB7OIs|m_NgOTwDr+qQ?uJ% z;~;;}1WbzY@_A@#Scq-sFWxf&X@G383H}&x1m;6Gq%<|D?*DHP|1DEnT%cjEF{otp z*K8W@n7QZI>WdvF?b$&;|2?6-`q}?;T@4-ot&7vva<#tLdeR;RP@gL$1Wag)c*;Jk zpxCq)DeRBy8vxf&u@~1Duh6pn<(UQDWbS!h-lBgH0e5Lk)$Z1REg1Q?yX-aA^7jb- z?XLgQ?s~;%2ZXWMRNY>q{a+IY!uT&({|e(?eV5V{`@cOn;2xqins9V%4;s|*ye+<( za_w9WIH{LY5!cr{Dw)V|d00zb=q^1HHnWc>zR0A>tXEQeeVJfPnb8%>eVND1O6^Tt zkqfiWI*ud~mSB_1#=rYsm%-3=ARVe?Seoui&wy^lg(>WZv-u(gu9B6zjT%3Eq^{=t zNKeA6Fn*g)LBFYUu+1R2GujgzWn9+N2@2(83wj`IBvM%|A+LJG#g$WkDBIAi`FCzSzmjDFHAo6-X()JqgMOq$fqr&6+_m`D&}83eYY? z`QlEZsu>_adRY9=Xa=+}&Afa{fm=4flA7OVSvHrf{Mc)|aj%pL6O2XuscrCJXzAy{ zwT{An2EPFhj!js&0vlq^{9_0V%OpsS;8}6H;aQWs_y@Px++kUMHJH+hI?cR9N`bd* z+FOOHn+C&lO646}-f;UrC|{ISaBJoTQwp500z8$#u*1+4Zht~YKfPSn zLSAuNe~Okw%RRRZGHM36?k`htA9gCjcVr9n{b~pPAy@Lqqm2RfGQH&O8maLknKvng z{t;y0f@yO-i$TC8DaFPzK(P|X2enmQX)ssl+M!2(Ge%b!{81l2uuuq4e9h6L z%XQEdWW4L+Bfl6(4w6!3)sSW<_S-R&%?+S>3QPAX2urim^y(l@{dVkR>nyWEA`8qj zz}1lM;5fU=^Ns(`?Gta3IMOL*#_HL^9r(U~k~F)XWAeGb#1)8tdF}h-HoTcW*XqO# z^idac){sn#0Le$>(zsvj%Tg2eL_&xkqwF@4Nx%3PcyKrD-NzmY^O-mM{xv>4XHiz( zz_8B(NDZ?^X+xo})kl`FCt{=89_{FIS*a1Vyhea-bepU#(4~t?3k=&l60P<*9Q)QR zO8B?E5&mu?-(nUXp{KRZn&QSNK-nhxCEL4#wx2TvBe$qI$;YqV1*bxfLBacplHkcw5a&d39!gtR^M4FDG zn#e6W`{FPSnfWd5Yn;f-Nti6ZcUUHxBRBCn(B)0pU*S`!j4)mri)bM3xZ#07k-uDv zO(KttePUICu~o4{-8j@E-%6cM&ICG(k7O|Jw(SK~Zb z+MQ9}P%i}cS2GhjBOrvGbnB1<2r+sU8Io$&+Ktk2UJxlIN4?dAIKqNHY0C=HY|XQr z-3>K6ftnVAUln&Sr28#ivOaO;Z!b~p1i3%@M`$$9l79~@#03Y@@gk1FML(yxp@_A- z^Tjfe5vit=9Y*yKg~s-)Z61oxjS#4;5GUjfx+Ht)Aje(T^Jm)72MDk^56N*=Mk*^-XP?ua| zn_BN#?uQc8s{e;)A^(hLAr$9lpB3<4L<{_xggWF2`Q5roVaQ{4eZ}F+#h>tzkADG@ zqu+T3#0&Q~AX+IfAiLSXlj6|7g){*n0jM9|&;X>M>1RluS6YU8XBf*rh@L|ROjZW+ zHi(ak6{=plk&Xf!wF2Hr#vLv}C48D1DhN$W-Ac8J8TE`(hl_=hOSfOpfiS^7@DCV) zL<;`VAD#K((q_6Z1*^(ai`yI{6z!@y9zonZGa1T?@kdX&#C`Ka*ZeNd1^w1{-z!C` ze+7y@epZT_R}_=9F=e-VeKqjb@e+yqTN2XZJBy;lu=SMsjiEyr2^$4#g5ILZ?5O!@ z`ntQ;K&a74mv;i(S@8x(QDV}0=b`Z?F@_zLsfTZ+3#7AW8oDtQXz3}k)im4$Tl zjPpbrU)CiC(uh9>=Hc8>WIrgHf0@-|85A(bW6nxv(#fCn!x;BKs$oiAXSUb-WmU*R zo{#P3n%)n^m*dP=5t156tz?TKR}?>Yrv7r7yMHaAsWQarD@7E>r;B&%DaM+V-wb$$ zb$4ksZmV8sHm*-H7-xs@WvA#+6B>pltZv9^Rz(}s59sdFM6`YBjHl5gjmeG3AAssr zAn~sVWL9bvp_M1qSALfQMMKCQnzu?RAB2?G_cn@8(UtO>%Qq{zDzP9%{on5{G4q;Y ztqDq!D;NytGnA7- zdgF^*XCQvqqBD0iS;}u&B$&w&s7pCRVdLtkm-+hb#d!?d_auq|lbYR@%hK+1f}-}; z_@Od^x9V%nqh9#qI>W>V8VRG7M#kkO3qR)xzhL*EA3|q%b-0G`q$-BQhj|<4>~{SM z;~Mn}Ey@XPP0^Zr^`1g^1S#lo0(oN-p~7~SE8GA5M;@zQw0!9u+O?u%fmlauc0Jb7 zH~Of+LCm>Gs6$Vs0bxZPQw(-N?!Jz%C-G~T1ef2G)8=afKQR#(yn^M-HF+tmD1|5f zI9V0-$`mw7|rC#yc4u=L%QC>S|2yolfQKTm|^`D)pZ5$So*v2plB^Q6bo87s}8Yr?T3Vbrj5qB0p4=7s=CG0 zb?@VEV=B;j?r`Oma{*R2uzDow2txb5(fDO(RO?y$?UVSsHD7I9MWI(Hv&t?%T`XA) zBx%bXkj*1P;UgGMC3?T}@WVsEI+urA-D$UXw26GRj{&{%y^ElygILJNTTuY|OCtsg zx`ld&5LeC!vRI%C4fM%5X~Qe+9!_{txHg(F_Q)=LVM0oT6?{uY6tP-oI2QCF8g%F{ z>6(2)du7#Brg1`HYBT3Eg}LY%5F zTpKs04yp9S2mHXC00Il~V3dvp@fg4X?+#cavlayWKGc)mEdz(XNq!6jx-$tg>XW2U znbgwIR--w-Qj#YyB~f}p{o06l`JJ?FP#-WaEX0c58v!`rJcb2b?iIUwDmH$ghdYiA z=?@@M3DeN;m?HZONkchB;M&4M@DR4%C3+)#V$>&15iqG$pyxn$Bmt`>4P*7;l0t8@ z)is49pO1jY2LuDXnf?|udiyWIs$rp6hdcDc2j6|)7f^xjRxE&LVVb_XJI&^ z3yPwES|u)jYQYxF1OWC0Oj6zH4>rl>rI8chaiP%90GtE9h|Y*-$95mv4{FPtYk70O z4XK>v-g5rl7%a)TN4GHj3b-CJ(fyr;ACSfTv#exyt;yKIg0rJr)ws=TSY>E>7T*%(Ki$8l#0`&P_gg; zepN*`h?|8s((dq^SAAgg9u#PeNK#pyZ7f!wXzXyiWj`D4QFkSBZIeyvu8usycv|4S zC_X*LJiX{f9T|FALqMpBvlQ&{_qV7&9dTT}su{bnNN!=1x zt*Qn2coxLWZ>KK9b;ZDZ3o>vm$}CZ*D~>VB$(@usRQ?o^WH%r;g%nM4srG(J`?EUT zDBOEs0MR!;WixA7u9;XYkaE+9R2yup1LVr!-UWhpBNhHQ%VHo|qZ3*xlc1X5-h&|O z?V0o!R^Mr;>$$L5gIrpLnWN_otRhnE0wqDxtq^NU(i5=e*wJ%vGH<$YbiFd@oSD1o z-beE*fEut2tLT!IN3a#xx~^Z2GdfWZ`G97#r~c}f zI8zTLf@V`=v7z^6w>t4mqf3>fTlSN=`ZbMpl|j;@cxwvMEvLy`bXvwAKL) zL`oUC^hFG=Zs98>Vdim<4PJZY<}tX?;`nstuAKP4`iH*%=;I&U`soCB;ic-_#vDzk z-XJ|#h%@`q6X+IFQ>t~I(=FvyoW^ie+I7ARV;1&=Z<$tsB|o z7|X83FHm{)^0J|2DZfpz$4lIDhWa>lMLE0`HE5{8ZU87I8wKOKgy}7NNkS|^i#WRK z!3h_1O9^T>yTM<=rKZXKlQr+WO2gdipL~8U99^-oI+SxxD@mFsX%RHr5)THapS$#ObeLJSe59QfUq{&c&fZxahVi(C5c7WI6|-ZlLpJk=!iPcae|!TsHbfRKWSR9Rv{-Y1KT{r$Pg zjE1GuWVeU8?t*^DmLKzVjg4v#Za152os+w31!#^u-^8|`T&}lUl+lJsl8c)8J)I;9 z1vKx=!&52@%6=S4g@bQ=*J^2YI@?^IJQCDs)=EwloI@36T_CbHUtXyo5p=KRx;fk* zovApxOUiM7814`8Ohy)zZ9ygRw7XngxjDn-jq+6l3AVVnTbJu%R63ttsQH!%9Bf|q z_9jy^76=CHWE4J)Z%lUXMZ_H~CG$P5bOOsj&MdW5sZCJ!%H{5#7r`IWZngAMEdqDH ztMNK+TdE{KvZ_c*M$lV5jei~a+Pf$BQs2kB*i-%0dKXnh(kG&P?^t;aBEg()@4>aT zjZyp5sg~kmhTrm4Py9BLyYim}4P=6hqCT_tR}mHKt{=v&YY(1wV<&4Ft8$*ljOwOq zWEp{Ue=eZ0G~kUZE0AUsuR~k=orqU4%A07xR1I^OP#32~rv9Ae#70qqY_dEjey`W) zXtTB0Z^Ejo7(AZEEUMV;TR zTr>?6Ys#%&Hql@0ZnQ4OFU(#V%xz2Gf0+5jlO&DEWl;Tg@2-W?^sT?i8MSrw}a=ojxu^=a6D&gfr!zk^a5;B^jX*!r?npGT7w61X!l;5S;Yg=dAk^6%HTlkM;kcAA1(t@A0IEPdL2N_6~j+9Iv$gX1D(CSGzIZ9Dy$V5COnfpbX#!wJDNO> zieZg*7HNrxRko6oJ*Lk@J}}VK03!aGrV4@qCcmXEvJrjk%L@zKA+YTVoZG&}+ zI4TaRuu66Y>TIsDeDR>i;+YH$Gw`TPlx6={zu)hsOnO_9l>uwBo8_m%V6AjKNx$F! zhJfxMAvQ&@YG}V-=U)nNo)t`|;z$PUf))Pmjv-M3zYSymyy4^iymS6~OUD1{2mJN! z2ETs~d}2GAxX|YzzlW&Q3_^WSl2U@MB=yb zZ`Rr=y=2|TNFj3d1Fj!enZ%kT1YPhob zQ32j*n!;e30Qw~13t-Z|NWy>+23QCN5PAm|!UTlCj``!rul#Klx)H}=CS4M?`xu%9 z2I^FVu6|Sb_;HBc#4A-MUHWZhl1@d5)(KYsJM6-Q3>`7%cH)(pGRbQRASx@<6phM* z)2ZS7ZKjieZvk6s|035)b6p~ofL;3k$^Jj`AT_7P5#jg_lc&{FXNX`5%lwg`+x2$m z=_H9JpUX`^P6UYsrTPz5^pNrU(|a9(g0=OQ?VCvB-n~wK3*gH0D((0K0^qFN(vqjP z+ERaxR+HM}7xuAe%j!rikK4I`4Ya50<1%*u6rN;*uI6=((rW5 z-DRjvD9~LIxu%-3rt^4nwFLrgfws0T0{V~Vho}b*blT<_fL9;21AIB8$SXyT<*Xoh zb&T)PLC|Lp*N!)#@w&AQZs^#p9+TErbUsa;UUF&VzlJUa+`<(~5K0w(p{1@V(m}0o zOa=?p%2bh~TPPGE_{}AkAPgB${hok}L2+^(g#^KzwdW#iQvH^PcaA#}5x08roo{ae zKIxg#H|c;EQN`{0tGy6MxN8Eu~t7^#7PNr zG%*mm^FT0d*7?}pR!Jt}r1RNo7zj0a$}nvQhai}{KI~ya8A2;@D+fI6)@I^>x4U3a z|7!f7qdR;?cjgPA3*!FT&>!##1D(tZ)V)xGaG4*@G)v+TOZgwLb^%yF{sGGzjI`kl zyLHi~6YM;740S>Y@zRnU@nD`qH*u@x5=r9_aH~|y-f#4=w1WOWy;gz%Tw?#<;RRcd zq0{6^D83 z$j0X~7%ug%NvQeb-NFN2JLNe0RGbaBc-q}gf+jPc>rTIke2(iEI}ZB@}=?bwJ*>q@Rj(sJ@b5`T>C#=>)PEH!@9&J$cgq$p;wc6c@l03;$NOrx_O{`n9$kjQ+DbkRizM^yqL{ zQ?*NJAT#4&UQgKeYPn?V(_PFISBxgO9hGBvLYE zhA|y=8{ME@Vv08EPYU}N>(dc^Hn;4ZnsN~jx%4?&3fk1Yvfdl#rbzpCGc=`qeu?SL zL9v6RjfT6ZfHv8rXKmjh+)L21vZpJgR_9OG3iKi{R-$uhE?q1RB=xx;5_L)pr#uv6 z$4h8RZOw9i0s3Jbst;bO5R1rsda^*`@Kxc;=h{w8=iR%6IJAE#6kFO8&7-+2{Ki#t ze@#21D|Y?bB)BQX?e3GRY)kg^-cAik%rm6d^E9PDJY>9+!+Bw2;Xf*%%fXc4cjRRR z`xevGkK3RvYtE@CQ0_MgL?-Gi(FWP}N0fZym++HI2b9dzJu3n9rvB0UYiKMPs()wd z<@8Oxg0?dPd*@?H51*}~^$5GT$Vcp`^VNjUBPaI;++AM0WtYL?jagmlPs)K zIDJ0j`sqw~3U>Na=F5hKIZ8%R&(cKNfzKQRSHJT#-Q##o>!yRU!WMhoJ^3vUV;0VB zN%kwpB(AKmRY6a4x@HSU+*u^P8b9_3SsM5^x#ki)OK$QV&Bld?qoW9;aaI zd++``mwZ|~t^c~aszGu55x zd5dgVUp@+o(Bzw;bRE0vez}Ry@oU$D(Q%T-leL6ai=?;m(I4^lawB7--B3=eaQ~7~ z@Zr2Y>1c7`Zq;(>;kf@5Yb?TQk|oI%vc{vs!~O}$g79x2dXPoaX)w|7;g1FJmd3}; z6`Zs4UW%OKnzrN4-i@1HC6dWIYxJ)V&f9oF$#eFh9Swh`dL;c?b6;XrcbTZq4!Kcp zIoW>F3(MmM1@(i7RgDfv#525>Rb+9K)%~{(}mq-e+U*w%SV;nY->dMUh zjNJX~QS`big*`8t?`M&3oBsUc(?(kdqZ=SC{&P&)X!XwWkyyf2t_l8WeJXQ3E#{%N z^5Cmjg0fW{CmKuP!qTv(qlnSPYUQzz(?ZHFUOJL-;9CnP27TS-Vv(b`M@x-&hutU@ zHAjz^n-6!Kp4h>baeLoZDn<>TbkAlCTWovIu%;e)vvt^e!W`JjZm*-|y9PjA`@m}+ z)O8QM+CW{az$>pLbX-mf->{iw2vq~0F2VBrcqz8TQ*CEc&-tiA@ZrYOLGX!@?Ap`A zw!EjmAwc6;UdeeX1PG`u zd0&i4xwiekW&u~586xdtz|FXI&umtd58#`C+2~O^;F1djWTDH1 zC$+nPyL6R|RS7vV33^n(&#vcZ$Mdu8`T6Vl+5G%$e16sg&vkWsCICQwJst`Syi#%~ zU^(AH0x+N?nE_zQFBlcF`ZzgbM9P)BYr)1uT)Brb-fjqmgjTqi2J@0wrRhE58@^JP z49pR$WyVf{bCU=-9pC(qH~}bmaMs}GSF7jt^Ru2ir2VTR(GTFt-~8f1FytHq;4CgJiIA967M@q#UsE$#JmpL%=n;CO2&8uM{;fz5w#O!3ZHC0Ta+juq^O&pGn4s zTQF^rb(tPN(q>-XZKA(=TlkZag#UL=3Sqs0(`13ocH)YXZqLub6%xLBL4{_m3;m;2 z+l@OVtxE>Y>cf!`Q=rkzfYX)c;>S7=mnc%uysx3IU^E$*93uF!S@TTeXv_dTPtvKq z(d9%%SkYqvUx>9X@rWnxFy*5>(F$t5y1ON%yE~-2JEa>$T3Wh01cAE``1{5`p8LGHpZmh)o^y^l=2&yBvyaMlukUag zdQyOc3vj@&0gfrap(K}uY^zMc_E|-33gv^I+kQ|ajs|bUQ4k~{_TtXM5d#w{1Fcqz7L>tfBi%kxjvg4bV=ekx*7axqd}6iw8(d$ z_wEzke&vc;FELsYUvS@`dUHGY{@y)RezrHLnRA3}6pjh3guRDsLT}oTiZJ*^NeWk_ zP@r;Ih-gap&@hg+w%5H7-2;3O*Ef-&BMjWzdNNR$E($m)xEK?TM49Yobm7-x)L#R} z)vTVyRw$p#Ngz@GvMUvNT9^#WMP%U!N99wviRwJz@~vCe_e)fy_Z)4 z!FEyAV<+7=QHag$+{SSs45Vd_2_U_1yP4sl*txFW?paE~MX_*I@If5k5{IszcFe+T`E9kk?Wc~WtGi{CANlsviEW{& zJY$z|*4UQoO?$)3y>(^V#SE!fD^R|PZYFH1CvN=Lo>PQunU}yXpU9Mo5@Y?Vi>NQJ zW_0ymT}JIx?n(LihPN1d!&00Py(HXOS@;mhoys(G3jEv5*+c&v457kwia)b*6*O~l zv%g>fnZheXf24vGEa2o{MdSeB9ND6)ao}9rAgN(6a6e@OV{ka^us04!D2z$Cc~mHj z*zyWEz%jl?Z3BYf-9=2m8~Xi<1Qh@e+k+fvynPz4K%)`PcR_$oVhuPyxA+5az%71_ zoEdN`?UdRWXf$~mU7p6Eu`eTQeV^d`tTLC!+lVARTZek9e&2xCiJN?pqSqj<1h$%(O6uiGUq3Us?|mk_G}H zi~hfj*fQguT~(pqgtzpwSF8Ei=xa|LgeG#*8%^II!1@7BotI z4D*KwP$WZzhJaCA2VUV1qnPtFPRjxZ08haQfySHnpmFDEoCA$X^^~C1Sq2BqZyEAw zgnj{y)L(0_{>FgQac@;_%VxbD(nIg!@_M;==W_5U&pFd)KVs{aJtlO>uHQuIU5cyn)Nn5Q0HqTyT@X0ie!07L~ zDSv{)@X4%CPGft(dH&BNkLWepzi>K6oB$84ZUPHK4b92wk}0H*Bz3Geu660VtUe8D zu${3ld5qNtjQG71UBFq(SKQ-ii|#ZK`_Xm!b&6R)AzCgEN)JT*zf8XFrq$gyb8{1#qT(nG2yQ zNetx>hq5r^1nA!QeUO8x%R({}z(LP*kvNX~K~<(GQQ)9madu|K2q9#E<6C^KCop|i znYAY^E_Ye~y3p<8E2TCz_~PX>C}nF|-)y9i=0TwZk~dbgLs>zz@8#kIP}Kvn-uRJL zBMHdE2m=iI??CbYEea4Q@a8#79LCL14r)U>7GmpzB?XCxtKD(U zbfj0x_(Lo2=?cw>gKFg^#pP97&qMa85vSb9a;mRko8-f}6*&$4@(u7rO{%5K{u1(S zi=s0F%z2dZHu_8VdAH&B)}tmv?^O;Ic@M}R>0Y+wqJnI(y|Dn-PUGn zzdt&6z->jJz3k`+IDZ)i55g=p*vd(slY`AFU@Ap)O?({XZ%LUJP`P;QP7R+1m}51-iG0a*U-7g&Ij7o8(Op6F^<(Agc}j{%_p=?A9TNoYnwml=3J<5Nd1Iu ztZ@}k^K#u{c-ihsFU@j3b?j|e4V}zm+AY_i{m{0do=~T&9yk3 zs4te)beloLYZ#6=_oAtx_{J12QAE^gYn6Uwir_IHuay|3k^)ty)WN7ZIXbHlM3Ozz z5u$k83Vijx^R;G=LCAIb!$&AH7Gv%yc`LQ>VIb|#09=^f2nX9v`WRsdN8A`eFGZ+> z{44q9%aa2W#iLLdhGHu}O_ZlS8T{?C z8?tM^f`)C_;{+DjlMJ{9qu%4MiSPK^`0zX$G`&d|W1t836c><&D~ojXQMnEp4|vuB z-U~uove0TnJ1IpfM-5a$Ewgw3RMs7buR?f*lkj!HNUas&0Eb*ffVBI^jc%^58r(wc z8WN(_ChpG}?Kn!kJJK{gL$M7O+LhE*B%1f6nR>q-z>L*+gWuf}cQY^l_BK|->BKWs z!$HOUGnB%a_)%r(;jm*!(UJxdBz~e)a@X8f`u0>;^imBv7zxqRyceYDDh;KCI9l%u zL6u8DZ^_pTd3}u6%%$OfnuIe}xoWgZVs$9&`l_Cx3r8hD=xz5|8~j)$^XoI5gyWYs z0ll$sxi6VZmok>37i|OLQCsDK;me<)H^lpGHlQy+8X7HhK(F6$1%A5V_1?dgd}4$c zuXMqfvllG&6$?&JW2F;rH8dIODR&YN5JMCWSZXT zYlvMxq+yp1$_zb2DC;;qLm`ttLltS(%ceD)1U<#h9#XqG+j(cfORg4fjM`C9WqCeO z^96~@y8qb{+08W^%nAs1kzmlOvA@MGBF5~xGT`j?B@)B`zgU_dpR8G`DdUdo|C>9|K7Ec*JX+V3rL}=J_OSrK^t?FsTYG9PSaP_ zhmW#{TFgK#wL5`E38nG%|Flj&rnL#4_QwC|1Jr!+KeYym>sK>#H^Nci-y5b2Iq)+A zHB0GN!oPORD;L86j5#u(woK5|C);z+_BygzXNX0X<-HA)vq{Ll4Pg zyb-OF8h8#&B{{`eXIyp6-8Eh3a7lx)81kxyORVA1YWg2lmyty?P@~gb>rryzOq0K{bCTl!e1eBkD@dP9w@f%1SLNj=DTElWpZa zg*?b0&>FRsqD#pw*;4)BU@3?7Hro=m)0jJb)PU*pJQ~x9vr+amE0oGf* zQa8J5SV7qRbf2CHH%c12*dl*|*1_Da7t03R0TZz*h>EJ}Xo>e?j$BjN{w(v{5 z5J{gRsy98bROXCGPw1iq=!6^*1Tbgx zQ5-(4up4y5$KH;RL;6yWv7o;a40nxI*m++{DI||_r_&Z>f`!J-hHA}E(aaB*`mxDi zXl=~-sIpLXm~{3mZ}=q*FxW{&eL@HdZu3B5Dw=orRsn`$dzIWbJ2i;ptkuWqj0pL? z7e%WCVIzavU3T4kPm@@ALzB%CBc3m+oBaHg&5=He+OA!D623?q%+zkTNWamWzde5p zT0xjzEzAwUOj+PSA!T;LbWS6F9Wk#EB{gBok(4^#Cp!UQg8id5WwyfZPMuQFq1rh& zYP^JKS)LuVoX;z$1$7kZAZ&O-aGy2$^Bn{c$efyS-ew^g52t=tkE%Fqd$}-;O9oFY zHxH%{NL&u((!%RRud%uM!|}N&HnHfE<|eT8NjnCZ1gdp{f(blGD2f*AG{K1#6eqBm zXr<;nEKa6`P~?Q9mE@OYI||3j3y;kzVuWjEJzdkQFzV&$TyqsCp4rKV27 zkEiiQh$EgG^EDCd5j%l{`-~B8dtxsw9NUD9Op}s^JxcvF;A)2*<7Ampya=MH0BC~< z2A8U|hVcH=R;ehU#%+4{bgfw_rp$`>7^dU{r+?=vEDT9h@>Zn$z62U$L6!!j5ECQ( z*!Zul5)0ZYn+RDDnJ}a00hvL>8R`S# zb`;J08pr>~&8{iw|M0vS{+Cw2CtpQTfoP$ukIWUN_DQ=L1qNVKJPqL5VRkAEtAuQd z(YC}^qdkN=q_t6BXQCy06h0s6i=oO4+v$j)nG-B#ym;@zg~|m>v>D=P6L<$aD~a(| zV%%y~K5d0lXeftT@!=Z*vx-5%s~~EThAI_|cq%V!P3GcCMN}aSveaT_=$JDy-??`A z=TC2 z+F{ZnXvr!Ygq}u9s9tN6L;Yfmo$m_6@}2!9OmeuW#eBWxaHPi(;=hgAD}9hU@jTN& z^nea?c%!f)yUM%YFvaWaqM_M>WLJi5g67uWi7v+oSsHGet z&IB2fd~3=@lKTlwd0`^>bG}=j_xtGjxA6N!qYTOycv8q2hh4$2<5>cO+sQ;})+b1~ zN^Tj@ou)8=%V##Xc^!vXgX#3O5s%=2XKkP&`GYdrsU-tkFBZ7kDP&W3@sFsc#K%R2 z6VrrSyYJNS@{7>czJ`OSW=B zKS$(1q-?|Y*wuXr=Jrdffj5FHu1~cY6hBY4a>cjjSRuVn(|_^Hwfo`_LVCr)lEVOG z{62&jT`~KO&rAOW_T7D}9)z|S_kX6=3cR#VYSYS|$2(eUzIkCh(15pPnP{>ca92;?-i>p*=Wk_`eYR{0o} zm&4%RmW$if`Xwut5oM`b;1-7`;FaO-`YIN9MoOwyBG8p}kg#8!rXX{wER%$_n$*$1 zCR}L@5)pS;CUI#sB`bcNkv#^lk6K|LNhn{mfY+70EAu7+f|OcKuCG8?@b{hzjPIN6 zSYCV6?KdP%X0S_zl`r-T6b!l3Zj~3vF=L*mSz1dQ(yX39^tp|S804YZxcJ8%Sm{rp zb$!#oBU$vwqx>9=T94W#P39(u9sB{0buDcl`D<9cV1hL zh7FWUWd{vrjSswgLsqh1H0G^GmyPGYnOc}Ce;!*})u3`O`Ih`DTX{zceuCN||1%97Ap4|q@L*403b=|FC78_GNtMd3DUtx>1r)^bQ;6}UBIOVyCy(Kx7}cJJPix+ zf*n9vP+&e*{hD9?bAWB ztw$1VBCp$zJ92M)7Ukzr;Rq54YNsrXB;0P;^I-TqQi~D@GiVupYnL1fIZ9G=V_-^X z=LUI58ub~n3|SNcSNVhFOhZtGL5qE99WIYsOV0f5>N$1!kJ9fREiU38nQn%RJI;6Z zCKQRHEmbBfV76ZsG8IC^H1SolO&Z2nTvhY2*EYc6K1t%?C2WS@ zF9jQ=00;$Jc^|v%j;fzCv%DdQ^e2sDX0d|64LeRZ7`~S&fM>V&Pi*X-8nbCrNd$Zy-S-A_!9kne@7jMDzehO%dAT3 zdTq69WelFKVkSs*m0lG@p6=`-xDpY3IW-Io23pKeVKp}3Fv((op-POtt9arCfSN%J z9fc$<#ibF@Q?_WUoTDY}60E>f$RaHbDZ|1{^%GLt8GVPK^jW#;vg;=cw$n_IFI|l< zLOE80{tHD)QYB3cO$Pe6p?U>wZ{|qL0Y6q|e`O9Qx&^8#v}!0%zcKT{ZzO1q9_7x< zpFiz!oOb+u>3$Zky4jx2Bk>doc4FEp+q5;_cmxnIe9;YUq;AyK^bqMD`z%)}{7hY- z2g~&r^>pPV7J6w=iQj&2uQA)f0Mvh&YjB;$eE!3%j?9zx?uogs5z#}XTL%3vwi3O5 zuz#>QU(@_Y^>iiH(LW65&3_oPi~lebXU1AhN;`1uWQp%n%Rb!^VW>hsX?ME=qkdkn>5D5!&_dE2;b3C_xFAf^ zvs%h`Ud6W`Vt0SsPLiyfZ{hF9&mLXA<1!kvyc!#?LN6IzzUE3G1|o0!zo)Xhk8i8l zeK$J3!4D(0toIzBK>7YUK+~HkceHOG8e8bcL8`X5eC}xdHZ-=#kAn}|-nO};`RmZw zVm}UW^eN{xj0aRBVFF^=$xzvRv zZk_a@x`~y#r#;!;^!uC5)OK2(cD<7&5Y2^&<}kMi;lIda!82@hjj@2oEOI~X>{2kkDs(jy~NqAPJ=Q|&9EVR<%n zjqwlC{IqN;C0{u8b^<^3t108+!Zp^gAFJO=vmE7GNU^VH+qN(to1aOmp*yOl<6QV) zQ(s5yzhPnCIX~lDQwD9UfjCx=nPv%ueqM|hWlp{=@Q}Gq+oKzmocaEk_4G3~q3vA? zKDQ6CgnU{OZWpXlk>#?^rUx5tl1EUXI^@!cliaOg@=&EyJvGu952Ye#;~^9oy(yfh zY_>RNU2cb%V5Kq#nenX;>Pa)Ar9bb?+ggyoR1zV^H(xN&t2W%Yj?#H*Tb-WYV7`pt z!=WZ??;STRC`?dlQXXH|s5{9EwVt-0XDdmNN$|=D9Da;;INjwPH-xSFz^xA9MrZ|o z^PR2=Eiz*`z^u-@`qc&RB0LyWnC!AE{oU|1#E|c|bDQ^IC@9Qd_j+r$4Ruys8yUdh z;O2ENQ+}LR^PTW662d{nB}xghtMOs+GAuxicUwZddp7{H-|aTf;6iwW1qMON&RMZ+ z;<{{!&l}0{0t^+ECXJrQZUQC*nkWdfierjvRaF+`z6IaWC{Ld)5S2e?}Pf%!{!{1yJSC^WY zze9rt=b?F|9=IkRFE!b*LI6`(=(9z1lGfJJ0kiBF{dVEsdH;@(lIn-{Y*g=1BnsQv zp~9mozTQd@=4haeu^)yu3GSJy-pNl8wlf1F?_V1w^tzGIa@7QV4kCDkHk_TRhB+84 z{95QBDoGV{skK^cj3#kaiy}W>n4^p~W->%9Xwgt#Mctuhh?J`&=<|RU1qBc)qK%0S z5p#hSQ{yXWU9{L~171pYY}-ofj?d7|7ZI+@Ai7}3^V>m%S&Te&c4atY+sL^5P6N5( zh5Udce*jV@cdbFdw<61n>V$?Ludz6z$-2 zihBB9#Bue&#G1lg8#n76e}$uWXEx@#aeZ-A_`+uauZu*}3F6fvbjB+E36!%BW#jOW zy>H7`wEuY2ccpBwT>kRVn8P1I$o|J;NKV9ZD+~cZ*@tCJP|7tW2`D8Q0+e#k z2uiW`eP=_7hqQ`QXY6n!->#l?=cv*_NlYVeIB`0wei^bZH()5vFC!(u)S9CUcl-vY zQ|I&~#^?18mWQurC*=;}TY-+(B=EP;nOQm~@VCCQ-xyrQo+1FCeHHCOk~-!o0st6p zpzdSB|DF2!uhdQv5vZ5!%n&XTjz>S0f*h?}E3IphW^hp&?YmJLb8MlGHnLE8)y&@k z^XdEm3H0_Q5Onc%ublA)R>7|h1}bBfu#}DX1nOG13>;V>SaW1J-cG*%J!KRRY78+` z-d)5(b<6d$25FTkvY9HWq}l6%K^664n7128XQ@R_8ri-NUVt zqiA8NtoV6tsjUCfq>r8`Ou$zHIue`yucR)zx^Rn3j8I2~^l*s7S;_!g@%z>KPl7fD z10kydC72-LAL>BDzZLs~gsaejgx8vp0K!3dKzP{)kZ_Y}L13=`N{JE!O)qQm31uDx z$4lZ-35D~OCDwf_qNRaH!p#pu4C=^02yRiH6T;bnuoGm1+VYMR)E4MhAah+vSQ|6@w)39waqoD5%J=Cr;p?s%pA_<5_MCXe?u1C9Ke;+bE# z>gK#oKLw0A6T;09%u6L6FxY)YCT!VR^vX}hV3o1ScdNSh(Ieszi1QuZ%qwDcKA zxzy}~J6X4N+nxgnPXYC(z{jV+r{_TYQ$YDCp!gJMc?#qu0fB_Ubl`~{;7K3#vDT6D zuYMUfK3_ZcWRaZCCb17*7c$Ka-h0?o?xFdaj&+8}BIR?zmhdqpZQ++*^ysDlZxVhA zAUp?no&pC?f#T;3nWqe{r@+QjfDIi)1tA9t+*5-B7XD4T+D6*C3_1r4>W7|rps(O1 z@{*My#Nw?@WaW9Ma z!Q-J$wWD=Qp8y$iI}Zyg4fc=wcl2Hw*IEyl*IHLcuWc8b{F#H1{dUDD>$#mB6gnVJ z7TjQ08(d(s`Xe|^mIU>Bl3ewvtYB(+5yApaG z;o2aFUA32}jmYGhSb-9%y|sWQ&&U5QaU94mUWupc*}(WJNb3e`Bipk!Y{H~ZjXAU2-TcoU{}ncC!^)Y_vRBy3%E9PE-EyfbY4g;9T+;Q~{CbCF&T zGh?w1!!AF+ru{P}LQbEdGsiAL#>(iF)M(;2LA|NMp1d?PmBO{6VJT@r4|qCsk?$>0ttmn2n^X8`Qv1K9+`6J!ulpCFj9!0o93&M zw5AS#C_TXG(0jrp1c%m40Z_om)aO4S+T64z0OSgQ-uwZ*ACk8OKtUr@aeqL(xoIa9 zBV8=Z^~}Za{tTJmzAp0OVqBQzCta~9Y^f)kEbk~Pbkl8c4wS)nXmAgY7cY+C;(6i~ zPlgCO?J3#d&z=#;bj@VoxU*F8wecVCLS#;JrAOO6ej&*X>_70a5j{PQ7a|CH$gb1} z^yDHM7wAE}Z50G4qvk$l2LJ}O*k+5SyNT5?~BQb*B8 z-cq=HYGz^6$XmK#z8XLM^+MwhV>Y*`6XBvCuxz;O`di`UNaU8~S;#t>3TCS65+OyO zz>dw!=WqnLd&{}`Cd(DrcWYm+YPDeSd{hyuDv^n+Er~m1KZJC7L_YZxpmq8Bi{|Fm z3FBc+uB`JY-VfMH*^XA|M2cdeL+S*{^)iI@bwrIQ^R&>At={Cl1?zfu#;(j0( z2(x7ppw*S*e&EE{-2Vdji&TWs9JwYaoCkyxpTdZlDqA~;#^RZTV-pK6bu%NjciejO zwsr`)y7M%~CXkvi3Hj1;+&APRBeqQfG!X*<9G_j``+j@Y_w;Mysg$m@TyA$b+v6I) zzh*WNeSJV0nP|Dx)W2wh-J@& zi`_N+Heg1(E)nIbnr0D4(JY$HoA{%ku5@!Xi@vzrafvV*sI%Ew!(So`xkJb^mE*&eqBq;M7q4z{r~Z8}ux9QGa&B)OI2*>cNWD~a zjPW+12K#-vzvxTjDnhQQt#$Por&r5;D%f31jqOQd)h zuxm4!LjSJai|s^rklo{IsxUz|UIwL=SiUl~AYJcsr6Mui|vd z5N@9UH-)LQqiNC5)GcWXG$U`;xvV<!PqPm3uzpK?8EL}N`|k` zN3)Tr4H)6KTgAK7wJ~z3$&&3tm)5m!N&SdW>GBIPED>lrd-oWTplI01hoiu+Qp zrV_jOBR=sI`mSpV=;o+&N@|0Vid=0uvJA+h{SVH~M}B$i*s_mT#nN^GD? z{GrTAQB1~X{jNJZx<--{N%gk zlFiTDw0I3P2ymLa{p}RSQ5w)a8>iUa?vmig8oa34@eRgCq`0?;`9xoOU>`m5Rv1g_ zs~Y2=aqObK-eyLq!IAnH#>bz%zJq+JxfzHwmzu8?$FmVBG-;C-YsB}ODiy|snJ;OZ zv$~*T-Pi|tu~fF2#Edes5ves9gN4-Fkny)9vvJr=b z3tMY~=}T+vQS;K@l2B+#YZxu;sS8O@gTaFQMqD4NuT7>^wj& z&Iaal*nIb9?BGkQ8>H`jcyHxrcFxq@EM4deMtHrtO`{%`Y(lE}yKyNYLE?5<(CO znK#;+Oc7xN%Y5d^<8HQkgRF61KWRzrV}sYM zsbXmd#}X`Nh-zh=FkCE7!F15RP(8HyN;@WCJQ!DE`|($v?%cY$y#zlLkzv)B-tTz zBs+CBahiZO9SxPHp0529TXnWU+5jz0j?ylAdT)$1m7@GqIvSc9xP>Zxl`su9aoPY& zWeo)YYn}mM0rOt|Io0<$HC+ZUN4m_7pG`W+Cv#WQRvZK_6MfEQe9q+)dd@{ZRRcvw z-#tfvortjw(gaBJ0cLC0?$QY-+aPtoC>KXs*A{+e?Cj^YXtNk4q!x?%Tsv|9S?Oo< z&hXj91euCRcshW|SKU=s8MCFip|E%q^=`QhP*6U0c9B6x*r1g_68uX7gT>_R zsVR+ktBZmW31P2^Tvp!S9))l;ZyL=CckUL8NmA|5+1{M1&ElpjLw-cYpv%-?Gl-4L zhB4gQzfFiSW6bAMMZ_4*(4aG@Ny&ybET{btlrN!!C|Qx-JuM_$vaNzPuT*Fvn5-L0 zk^Ku}0t$_onwzg7Wq?9X7;UIjlND8ZD2O5nTYh4@XE3Cf-dJG`>Dqzg+Kk}rU=w%$*1(!I`Y4Dh z<=Y3kW)Es9F>ozLpX{wN2@S*ALAucm=0q(_L&mBnj~1&qV_kcM3~;xewCp;K@61i! zok^F$QOUrm_ah$CB^4Rfh_4?qiLo@Ze4Wl5^DBS$Y@NnWoO3cg`C%hU^f_2(Ykj98`^5bqUGCLIL&M%l>R-Kqn+@POka1pf4O;+gNh z%&n!iYdj~0vD0Plb`G>Eb62Ga0NvuS>ykWyyx7J0>-x&FLo00&f$v8qv*lukoKTAS zf|=v#UDD)F-080E)JYpP;zvb}!H&gZTN&E#Gb<1&t}SBR%tj5<>0^otW?^^spKsA0 z6h9P%8tm=Xy}WJKRT!s8E|?jf0cc#gwE_W}o*MDxBFC>Fnsn`&Y=Gw2BF5>(N^vdl zZFzX%hW|-R&ea%AOU-nfRD$P|pj305G{GirDw(A-&OO&O8kL(u4C90XJ#-BZDc0MO zqafCcg)VI&WQhlIGq{?HtZsV_GQoijOGEdO!zkG$l7^i9z4})~HtwG!sKhad3-B*5 z4QluquyA_A$VTl@MR8VqT48{5U#B}VEcomuMyH1?X1pro2Sr?%qAth3DD$$KrUkv< zTeSQ(0%O^FxVz)MNst%oM)T$4d+rdd`rnlLE6u;zO^p2Na|8QrIY{V)e*`!vCC;BE z&fe{wEo3Dl|A@(0f-x=XW6#Zj7)j94CN&wb_LIn+5YYJEol}(>l~8T|p1Zi#;+O0} zp8+0gjX;*{%gJDbWd(gp7g6PS>9roK_w@oScu>ubz711~c+2wehKih3sSzMaJ{jq& zbaj&f&Pw$2=rRX{AD;;9DP1J5s@Dj}>Dc|zT5{%KMlDbdR;C9ZVHjvm@s=lkwg9Y0 zL{F@D9HT9)1lB=;;eG7z;%cQ~!y@8+`d@U3wwsPO%$f{FC0Z@wY#QV$GtU?As5It8 zEoxAXR2~d-KDYS$erj`zJ_vB%fyXq%d%Tt89Gl`mcOxr zSoJqQK-ly@*zKn4*yhTdStF9gGNe%vcxOcDJD$-thjXjOK!R5GP_zQ%S&>nEpwhH^ z4#(o1tJYklIcNp}f2nG(K~EgoAED6ryNotg{rXdxFMpRgZ?3$b`DYn-N1XxWYfo(~ zr-lCk+w?Ci&v;wrtbbcAJK~y^p$WT0qXuwhg6q+JEB!%wojRN|&HEbpt|h_F2kj*^ z=WYVcC)Q4T`yqvfGmvvsZ_*}s6#nhgJ?Y0Un3+fnblcvP@a11BZ?)e$Cea>Je|iB%)0y884#oANwS z%a8w!)G8Y2ai8X&9$CzcwEo~`@A8xU%U0d(s3TCriO1VyNMM&QX`=3S$pLUY za03p}-O)|JacB=X0-C)GTe}!Ga~x(zc0LSiH?u#m9%MlV<$J_gLE>wbtPpcNuv#QT z1{rzOK0v2!)j4th>?7*uvjdwBOPuM@o@||wfn2;P+(yl4sM zkKkDaE5?y6^Ww;NEla&dYIBy}KXrJPL%a4B@STK=|O9K)20 z$C}CWsMAZBI#$N>!gsC!S5j>M!6>`ekPq;sPJN?Su5%$joBYKk9Z*qjXdfeETaJBKZby$a3er@#Z1Tk1fU#i z9uQH1l$CNieapd>GW)|67pU404Xw0^VF{{4cj?{(Bx!wou9R>~rw3G`VDdH_QpGcp zynj&%OiC8}X;L+Lp53zmA2e+iwY8|^FSBloqLmdkK*SA1VLmXa`YG#eTrYeM7jPvO zU{dOP9M-_37Mj5Faa1jnXf8GCfKsCx;EJwH>V1lM`4abir2u^i=2zDBLS&XaPrMm` zo(WMm<#aM2SfOLr4Cq+^G~?)vX*X}tiat=vsu>|^D35t&fl+#oLkVElCGei+tcqM;9l@c@q;b=}AjvR-iQSCY)9HyUmX)^7ti}DVb!Ow+!iwAV)Yk z^kHo~UbYx@tZ6iB8v93a0iH5zqc<@_z5>y#nz%tvajY+5A5z3a3T;<{7)lO={SrQ` zNPQb@PS3H6Rf@)_Q?|;WKEzKi9ZZ%m5H5>PEt23Dp)|KEf2A((zPVNWU2bA9C9TYW zAG1!?s-Btv6+r$1kcaW96%vx~0c773(x~)%>^A^eBc$?8d;dC@N8OsDtkLUhqVm;i z0r#>Mr6Ys38CO?8?EHk*;hlO8iwXAv20w{!GDmcM<66AjakKFt1^2ck9zER zf`!bNC!}-ZGcv2qZc=4_=}<^oMuU{vq|Q7} z4{KJHoxRFD5r7?22e2iJamwtR09Fye{-Eag7*8-HGqn*$p6y#dB&}u`T7|~Or8gJ= zX#%$Bp?n1gAkX825OBdbwBn`P0i*+Y_74~^i|9eZs29s?>U`7p&A(5H_y-;qW|Uft zd$BtBf7M*AsUV+5gak0~(EflujTn9>*&XWQ-aTuK%3lAAB)_oO6IG{0YJPm;ZP2wd z4COr%!%u2M-I|Z3hgzqjl?@ev+YaGX2cv}%Vm}Or%HyvDT0=3GkwmFTW>h3R$c!oB z83`Nv)P9Bf@P${_Z{DiN5ggPF#}Nn|`nd!$w#G&%bfxOaLNQ$p^}%r1?RE?hw25Nszn@Kxi98j-joUiLyhApUM@!-Ke3PEFbvDqPxmH8zKf4+~e;86i^6Hg&9T zmPqCP1DF9Jhdy$IjNgL#Dog(`N-Ik@G3Htrp_-5nPdN&%fB0p1wj1jE7AaGrZ*PMZ z;8AjHra~5{lGiQZmLuAuhK@jK!pNx_jPN|q-76$_Yoe-<|bEFW27C%t}dp!RHf z-LQj}&JW6WSlIeF5mwPWsp5>kx84pgr%j*^+bjbAMVWu`SHgtJ{5Nfm5qf-)X5k|X zie8k~L#Ho>Hw>Y^wB5FdJW*-3*Q-^Zp*_q23i43tV?P&U`TbT8RFG^Kt`(vRiD&s&LKR{*UAN|LSgJFi z!Xb2VUMgkH+3VaH|lV;fEZn{peH?Z+@Az4mKL8tn{!i0beg~R@V4^@n zLI;?CKQ-iX{7fhmfPF^%3kGG~qGf{fK*LP}bQd()RiL{@(6zk$xt}0-Wzp3|vVd7= zpV~ZfF%2-{XNm&tL+D`y%HoNnLVr7}OaS;!F#lFpaQ5HS0Wgy^09J_qSJ~gj#6ZD+ ztHbzqMw|`6bV>h${ZY5z{||M~O938E1Q||C_KnWYxWc9J(S^YPJ7SzHV9fHl)+DB0ZMv0AOt&>;Qrz&2E#LTXn+e*|*?? zOOZ%qa&&edLFzk?{TLGK+M8^vp zCjj_7VEZT%2k43N%rgF<{glukXOanKod;}Jd4P_ED&Vmib$}80ICR^zk}?Ayho*oD zqurX;^Y?%}SU6xM%%bs9#hIY2d9+NhA4p*TCGSjqxib3`M5`@1bJ)AOiSWx6ddMZU z?J=kdjGz&OD#U&&l$OezbaO1r8NT6ti&|Ol*#%;!wMF3LEyMwQfcU}5J`dk19dav0UbDWp5^s~{qr zPZpWQN9eEkB?)4)$>6RuiBSX;aGTWSKbXJP6b%udVCwNoD<=)eWn~_aST{EQsNjI! znE?rz4c9PIJ2OBm5Ke>}EDBa&-e3|sfoLVHmp5n3_W@$%aH3aX z0HnuDJD)THAQDqq4_wz*aKP^*ya4+N*AQ6+5NU;HB7{B>eFc9enz=D8d?Fh2Bz{U$ zUoY?mrJdsar1>c(LbkB2%N^b$+tHJ|!|Cg22fP<~;f;>DK~zm&zF;MSQH7T}O zj~$!64ma}|vL`xD1cu9eu>uQl1g*3wpo%Ks;%t=K=6sL}i6DcpdL`N@Dn5gY!w&J< zekMzR28JUT)MdMwo2(j8bAFeXg#3{=t_bR1k9Bs*Q~vU`=M6AuRl%Q5p}E7??K)_j?V`Iw@R!0X@v&VvGm5cO<+~Tw7_$_ih@EIN@ZR4uOq}Wo-2}3pUT52f z)@JB6!gSAz(alcOP(b#mrgm`}v6Qcg-2Hfv zfc%)RNp{js)td2gBLTmK(m785dV|o2=@M{NUT-A4S&HQe`OeoA*d)@nIE^d94@`?B9VU*QWr#s?i4FNW9+5C6%KK%|V%Xg=XyRD|Jd>2?qUOIWZV(6qZq4?vAXjmpi=9XUpYVTZ{z9mmp3ftVkoF9M(%8 zdYc7Q9vw2x%2P8YotuMO3BQHcyujXvQHPznuWzz)^U0f(MpuVex}od$wmP`{Qf76* z+YI=FJquFbOv5_7@5igRpPv~$(XZRv#XwJY%Z`DNdr4q7$G)|oC|hB-JB2n)@9nlz z*nP#ilHw-gj-enwZmL8Iy?5#!2Yy+L%-bD0U0k3V^A)<)?POOC*-fNrQa+3xWPUQv!FpJsgVRfcGO4lii<-RUBtDe=BV?Dxg|dL0Dh%L-A$H!G|e(_K}qF7$8gkA6euzTUjgIDt~23 z8jX5BvSww>R8baW=*@T}jHLL(bley+}J{-U|z(`Dt-LWbMi;r)L=uw{!?ZYbNu!F*hoNHtqE zjOGzIKOUGoILaXEYar;aa;NOy0-C!^xm3n6zE)CroFH*vVPA4w^Zz(2n{!y{O5~wl ze0X~RL3q3N{}A`qVOecm|1TjS-5mnbCDN@Rpfu85(jZ+*NHVaiVcu+Qh=brL7+W96X(E%^c z3)5aA?)g_dDO0K*i51Ss&cQ1)%(;1q5(y;S`@5nFE4X1NiA+bYS6Rg6+YY=NFk&Ji zjJr+nQ)7E~Z|Z08Rq)+!2Yan&JkPdg2JuIAS#YIKy9OZ2(T6sc`XmfLBQwQzma>2VrugpQW5n(lVU?VHP$ z+Zkd{Z4d3bo2CAowoz8y=|HWoZ*D7gb?U2}n^H}WTVr)@xpX^Turl7NUsoczZf2g2 zRshn4H+v@;&ZkKpmmA|1lTuF6W2W=Ma^=C7^|QBts$>1tV)X6pw(f0JPNL^eT+fU8 zU6qYaOs%kwYHk*URRzABEz+aS)SoZF9~_v<#Dx z=6^zkZIhW=lSF&ppT9(9yS4v~?sESmU_VbO%1lD#je4#USq%;&Zlt%!Ok?$BvD$iP zQ`x}ukT$9gne6n-?;du6p(;WZPBkrWJU+FFq9qRV8AT#gaKi-)Sh>$R zzs45jVif+S@(_tWMY^;HdWadt)u#q;gt5j+7nSe3JGrI8Y|;;7^9 z{o0uur-fYSonuhKzt$th+@_$SK9OfL@onw(Hivov`71(D$e*_7%_^Yc&o48wiGTqA zOXi=t=a0<)DDtWguq3bUjT2q2)%R(xsFTLV^x0}^CF^0~i4H-5?CKE#W3Iuq9<<3Q zXWsf7$2x{v&qQ(ur8n1t3`hAkX_(s-@aAuwc1uhUtl$e~Q$#9Wj<0=lvwPrh8s5-o zeOszVytwcn&vsK|da3YYw-LUbGcv=keef@l{=92Yq+flZ{J+TakI&YF^8C}~rIxex zzvcPM%a;E~dH#ZnM2pv4)xUFLC}=W^1U#^U}5F zD}c^^#^4t!iw!#qi1fb77A+{fU*d7x|9MTi-Irdl=i1i=mn4E~LX2P_gYw4zPqUkRn6LM+BaKD|7sCPTt4&c@-7QQ+T z0OPbSVAO;dFG1rzXiNl+lXc+CQ_#2$8nq!aA;v?;|9_SJGn9WR_y2mD{CZ0KdeZ)S zW+>gwqXnP;pLFQ~Wq;;=pR$~IDn=pK7L>QXCV;*^@!tjgl?4^LZIufE`Toa%e7}?r zAm2X$YW$-C^8MMM#=ih4-;WN+_rn14{V5{gBv9j@2-Nt;0w;kQ{~-I|E-?Lwy{$1S zx3svT{{M}n|8F3G#Y!fDLRX=Li_;cnodUpBxYO=G$uRssko5np_|Ivt6bfJv?8#|& z01QHndqO#YK`1$~9RL{#<8AaHBf*fI7Gxy2UH_CB6)rGN0R=_hPM0-ZHD2Sh-neww z)ZgySr{Q<1db;edEt4k+c~nN)*cv}&lAWvm;LO7uO^f}F+PX++e_inAWZ0Xc!om{} zCHB1aGJD6G>~U;<9x(h|u5Mh!<9ywkJWBX#v8i{q*4>kry(mhYg{4;xA6b&BBuyNi zD3;t?JP@kQ;uT@-Kz*2q?3VKdx?_=w=yetiK7K~YU}B(;Ifc;Ic|)@=H{Z@{@D>(H zg`)6Sq@TQHZbry*V`3hAccQ_AT=v8W$>-J1)!}YIPp_36Hx3&W<-@$7!|L4o#Cm!Y4c!3RpDYQTG$;pD<5BgLc_BsONsXgflI1Lpb$%wg}jFZ3jHYR#7(04XzY9HLowjHqJRYwYa#c{?*z#iE)7^ag_jnM+bH} zF~8u1h;i4!t8CQ96$2L!lO^-3IYiAq_qUeo@9UU$t*ml)791o0t8In?M-oSKD zL;t2TQ6LVCPv`=5I{p~;X!wZ?7O;stYZ;jYS2>6AK=MlKAWEctAo0VpvZ}F{1|YXZaxN<-+T-#zcOt9+;h#hE#^R)3Rea?#Wg@vuFkMmC4SS}&>p1nH` ze08I0PTT{wQrMR$CeZnp8`$$@v#Lf!HuWCn#$HnlNcVVSici>g>Gfh5OI074(^Hp*4-v zgk|?tiy&-bO9tQCK?DV~>)_vGezvcBqSAkxgA|7(^7!eafT;=;*()Dn7wcldX?x2=7kdh&iP`8o0{$uoc#0VXM- z1w^P_K%IYbTDe>WkP=v^zrz-gIyoi>o#XrB3lBWMZV5q#xjn{VH~brQ2^X>wD$lB38Q z;3$m}M~gnpQ`E$c9;+>I%xU1fzZ{Jposr>We$juJP@5E1wHxma6*5_(eqBqW%K9N@ z*tFwZjh-B<5-rJqq!w&G5Gh=#F;YF>@YusyytfE@WJ@CDmwY`>xoYpod;f7mzSNJw7qrP6l6N}E=LVFH_?^i zb@%F3=%3Q}6(sB7>w2Cqr?t(U7R4jZY;Mw?<=w2@bb9XcP6ALL*1CxsL-WOWiGrKG zqxr!$x%!*MgZU7I&f7|l3%j?mo^@A?pHw~1c5@6ClNV4=Z?=cY^L1|~>vyj0ul>fC z3l0b0hIn3g)(O#E51Q}{MuyeBIa>J1GX7~sIQ>y?A+(C~+o~Md^LSU~^NZ){$E?zQ z6|CgU0Vv3$&%(`7xnyejEY??&euAvp4bC)YW zb`XB`hcg0~fXAe;tb{Hm+RSslx*iryA2Fsf#v=QYsdhr&>zuop_#SEK?S=v@0?NNw z1dP$cBt4Uk(LOIVeJwFV zG-v(>rjQ*M#0#);G69nOwS9#g7$iqp5cr#13gi!8RcW4T2xQhu{Z3LGT0J z5d45Cu$hu0CrB&cBL;y1(_!$fK2EjzZ_cEj%zF(Je}4A^Hiw%Y+7Cg+S_y^-?dscD zfX;UR6H9sA4gfYV{GbPHF(54z*eY|I062kcpAsI+=fhcBL% zBvLxj9Lho1!7&IZ@JM&7U8z3#R^VKJeR$w-J}4~YAP7hBYOhiNUPG#E=U#53X)PxF z&3-*2e&%_8Ub(OnvwvB3h~CvZM#sK(-Y~7cc15D9NynEy0vR9hIqWk0h9nHaabR;o zO?~qorD2x;crQMNq)4RC7-|L>G5|vgVE6bw`oA7B$E z?Lwa>8^KiX?5Cd<*8RB$uCH=Ui?a8JN|tNcLFw%YlCH38rl&Rg#&-e*`~cUlgcR_4 z!~QN0`EtKAwE$QGW%kt%YlYd$`_JvwTzQ*1Hw%(8TZEz65GJ0F*NTYFIxT_2a0kyF z)P`XwzM4N1z`|AIq$EF=j%t+~ma&JbO!3+8^Fr^#U%Wi`fZUkN31wX;*8E-WQIA<- zkx2&tPvC58-&RF7kD6vBpz1zeU0Hstb!>FF5dUQFC3@ZplFshxOvFUmO36&x3j3bI zq2sZ1R}$G{r5{An(y3%vq$-JK@`bjiD0>ahZWbG1uit8J%A2sa^3{19$j{soOg4yS zYm~^RGn7A}QLF&O^q)x@0LuMJhoG>2cB2iTazA{-3rO&PFax*)g~3})0G0sLFB}>a z(B}ZYy+AxG&_Cnfz-p{;KmFW6?+k=3Ftl`fH)heDSa^V!N`^=wz8X>2>~bHwS1R$fOE&2Wgza2=Eh(20RR+$jR5Xc?hP*Bv$X-DG@cw60k8z|!+1a- zk)QrB1@6cK|F(s0S|5k74w^7QcSM9tIFAyNEbW0kIZ156w3z>Aq(PWC4kCecm>AH8 znuQk01Bil$kncp2Kw2EI&AtFA4>3eubYSZVY26|1Tc9NvJb49X#@_(8Zy{}U1As<| zabf{M1+t_h9VD-(oZqpAcIcy>+yMz@>ol(~b~`^U+u4?B)s-ccbLf>oom`+Y9G!BC z>?7kwb(|G!xc11=4`27cph$NFfRVFpE=Sybz95U4@ zW)t4&X1$7~$Z_e~eH>O#2TSqkC*WiTSxn=~fx+c1l{hEB2n+-0tw`9;*i?aSUjX-QV zAMOr0kl_1I=m3OAU?l%}fxcGJJfl>WK5@Xcwxe@06%pfu5n}dC0G#q}lXo^Z_0!?W zk0~2r0D@oyKoHmi2m-=k=tP$l1Ztj7bjpEl2XTtLuWW*$s#u zC|^F2DVw&7C?a=aC!sUmoh~Ydp|^e5yj=i5ARhUdfccfr(!X8n@>w?M_YOsu zuiaw+N&y!T*U%XRKJZ`H?$I%G5|Z874Nvnpbv0LW(OQ-D9dO z7Mr@Phye%zIRGJmZ48LjL4n`d zKmk^2<0p)@s6849#c)Xy9fjzA&*xv-ZHkNs1+1;sYr13K7Cfn$>@PlCw;cQJw8{x41+Tt z#q@k6cf$DZg8bYhSPZ7|`h-kSzlHFzTmXQ99&~0y5;jN!VDhTyE<^z#3jYOCa7QDk zLj(u}Sm!96r!;`B`}=kiwL0MjLOeOj_d@N3PM4+Pc5Q?z;(Ovi8$A{33+^fgJyYyUMG7A4){)zsT{g*ZgZJ?f-xj^d9`TkOIU13{o)h z-#`k`RsRK2a1PKj{>e*N{|BT%&gZ{{6!`uxAO&{*cyqwteMVzSkBS0GoU~37ZJH>) zc$b4Gz@Oa)LI7Z_fvdt$xatey2&Cp9u#J;YtQ-JrVdeJdrSZoeN^8<(t zZ|;u5$CMnu8a2$0s)cvNbL)Qkilekb9buhy*spmWD#^#{!=315H6&|_q5ZB$L02Kn z+oeB@kq;=0cm|&rLx=Fw@vA3ts6O<5aMSf23<1y?;Y)9^6LelZU$TEVOFnnxP1|o7 z)f3ofde_j1WhSLg@1+udB9E=jT#2(WHqK9q(k34Ai!hzEeU#GO+xj?cK0!NelsbZE zQlEWJS_q|2Z{c_gJHb(XeDE;OLFM^K27rhey65$W47lVI$8Y~Y5HmEHnuDD*VkmwT|$ji4Y373 z&}~+#_h1vwAD=*()P)N+#In8uOpN1z=_P225^PXsHI4v*0bNwTfB~zRATU7g2oVGZ zn7p|M0s|BUL0~}dF~mmu7Gf&_YydD|oeTmDaEthNz5aQtzP$13$WNSyIX{cz!+(y;i(jxlt-$)ulJZ1}O)H;V zS8)|4ixQt+{B3Mm_Jfm$-J5G1TQbA@elL{*nI%CqK*tjWDZjBIjsk3jEQccvhG(m# zu8Dz?-_k~2Rt38}$@1E!4JFcA2sL`cqJYZ?wCLp*12o4pFOkhE^F3p2B{ zP-yfG011~y)R4*$N=G9@6cUPS=X5h%s7?Z(ec zz9K!tnCxX_hz3;PBSUsGa3iGv(eI8HK=iwV8W8LE23?N^h0Ls^=Ixc?%makKMGm~Py!^@#{fBR0_tUTT zKMYmh09%Enl_HQcQfdXBBUcMV1l%<)Y)en-;ejHG7%t%@V(_Br2YU02&EqXJ^e(}X z@$ob_hL>Ojd}W6IBqp6h8S ziG$Mm-M>yBBr^QI3BY>@PF9D}ADMlvIiELe?PZ>2DF&Bj;K2{1+JQp*b|8_V>dfP% zLe)~{5+nYsA_gaLn8&Vbz%33q8Bt=1CGIP<`x(@qd)LgjyoWU2L1c-? zmjY=)FF-R8R`?UX3wRx{{qL^B|F*>B?*#wQ41V2XlfUlqC-5Fq>sFm5d1nG2m!*_9 zsuzWJY-mmSSz3>|Q}mZV0}=0^wx0zY@A*43x)R26H!?Y4-bIL(b{6&MH}G^~4W z%L~basJ3&A(J%-!A#oiofolKw#7P18g;{B37CWzzNbntB?yvW%=O41QxNZouU@)6c z4ud=3gw#C#hg;z<*+9{C83z2D?!gTxvws81>_Zw49TeWzZt#^7-Ab<#hBVoW+M*Ge z9~L?;VHM$gsU~>oJ6Jpw-QTQ%P?6Duk)PzL{Tm8E4@LgXgnN&!$C`w4Spgsbtf3Jy z7AxL=hMP92mJ{6ZSr~yvtBNE%S z?|OuB6vG874P39&{AVANzLc@UF7zG_7kE^2fnM*qd!VV*;^pW*$}2L*mWT}2No0|Y zpdjvPrWHa@I-Y47JVerwzE*wZTFcca7}=7gPxvVc6F-sr=;oinoyq8VYpmZibH;C; z@4OQ(NI1ywbgN_=Rmg^-THL$Xnpu~hCvK!qeIHA2F~I+ElM?;#1BLqDw2-J8NrNgM zQE>bK=FrriN5}&NJCsAXmUJDH} zM;Pv`XnqCs{XvEPI_;G8`26iVx&C_Xlyl9nWFK1fBdE+|Zs|FezIzbqe^A&T=%D=W zNYAtM;r#9ZlK*`mApjMg%O0BQZv+8i6t_EQ0NqM7gc<-3o6NhgYOjqH&KuV>d{))i zU=n_It`+TV7`|fjJz%}d84fxoIpyE70=@@uLMR6$J6PTG4(Jx`BnOB7yi?S1P4gc4 zA=U%%f;IXlPC>@jl>wUz{0S7ZuVX5-Q(cL7CPAn`a}NIZMB9Wfp;nyf9?8rIGf-`b zR4Mt7)(fU`J7+ans3w^Spb7FA@xJHDpKf$?m%?~m+d)B5@$VyFzqYrW-a^6{LnDVG z7+2Kg=*rBW3I+QlP&^*K?k(l|c#g})3SJE#Vfs8mM(&6B#|}ou10rXa>w-}JSLOQU z4$H<;?;1*Hkq8bZ{aptl<7uH`20a+{+O3I=pR2z1zlUg9orHe!UNiePqW0AK<6`B< zd$+T4(2L~ULvgaBvBT3)rWx$8UUZm)v8|5cUgiVBQFV%t3(t2>~n(Z)wy5%sTrsg^fqu#0-NoiG#_GGoQ_-ZD|K-Kws=-Vwm&cHL}I}y@*m0snsGE+S9VA9vUnQ z=0ur?BN}}1&jY1sok?ulL|C)wR$6z)hcMeV^XGzhu0FN^r)lK^zF2|!9 zPfIqXjcujR4sZJ^m%Kv@#snJ&BR zvl}_$-+MP68*tF^c%!7E&z0<^>)^v)0Xj_BZ7Qtbm7ivBu+itNUW??kMS&wfMxdv5 zM0&mQg>}kVg{di3H@TkD-KU~#o5w?>>Veg3{#PTL&m^lZ9LtI9jyHWZs@~YXRp>2? zgB>PCCA2bB*b{^6aOUXZ;8qOu2j4h2u@w>D!F6n+tOCE8?S6Jr?M(Ik^O5jF&jf)p z%l%KcjF&%6>N9^b7bGncq3+vIGe?V?gcpYOkB9XS6E|sne!6VIxNb!)nD-UnKmzaM zW0G8@9}lKrfP`P|$tqLt(>hWoWu?<_M@pqx@lO)8DuoDVar^TOtEd`Cmz`m21es*# z`>GOx)}p#cd%#myboKyz%83HHwyMsO zDylU*O}g60jjAuT_v=tS9ft!{6dmPQb>C5sXv7yJ)m>f3KOT`Qzo^^@v~=ZNZpSO~ zC>{=B32(F0(N7?pSd8P6DS0QTLyg;W%HBC7tQ?W`# zczPWQ!*w@Jt=0V~_4l+mTixutX>!pKCR3}_NMp|{5Kjh|sfbQx0)H=wX?1V^@_R|P zt*$Pe9_;kvF<^=L6fO4eC22&bi9WxVWVX5|q(GK%Pot6#SF$4E6n=OOMBFLCxx)?x zXZcn09-z&l5LR7X|Hm`_4*s86`B#6OY1`{I0N>s%OlARm5pN)mJ*hweqD+f(sk*)k zIE~0T!ws5v9k0>jyPMJ?iy!M<_NSkLAoF(qwqaE5pAAp5|F)sQ(Vq>cEp`5EnDZ0) zS6P5vYF(g-*P`xrd42zG7qAk`ZL3T`x$jk)L+T{X(=E}*4= zk`D+j;`uw;q4!jp)ynQP@N(0Sx}JFU$YePtO()x98zh?Su1?wLtd5`aniP$=p1AiA zesxUJPPRWWPBiIVP2g2u9pC4z^3FY6J5A6QQBfBq`)JY5hpt2ZF{GWZSBCs!PCH+O zAo<76c0M^~@{gOq7%BNj<_2C4a9p&ORCC!etFOL5Jry%L5;JtRTGA-) zvB%nB{ISMZw=>aR_9`(0=XiIUrYx^GYN*~heyF@d*3dle?^~3A{-_ z$;SX-E|chf%bnVllFryzE3Ra1@rv`UfztT#yv1|XX}Ruzoc&^tid<9P)1zGK)q}4+ zyA(?%h$XvNGsyO*qhf3MpWL0bUEXX`I*s^^tIDy4b835z-LBSe{kToon$%YvQIv3` z%pKILg`YGnR77=3Z|vb56Gc6F?jty&hm}3rs=_*w9+sy=tXa!d{>IAkcw%g$tZ3N6 zFlV$iYQ&1z%F?Q|s0{OkxuHc`SY8-cIoHJ4gz4{2>B(rEu7!Kvep&fw99fy0aiMr$ zpXys5xGCj5zIF1Cp0YRl?PRqAG1<{%ipO^N(!)}NO4>I*g9z8*u8RxZgiXV2f>IvOj)x! zr??Ahs5E>g2{+4%GV)2xjv+JS z_;8&^r2AR3BOV(jh?Aw#?32z%-n(n?i~XE@5t-77#^tALaJ&7eD`^%Ek{iXArt2MblxEJQwBx)_C1BTHx@JBf-}9hF(X29pEN z{5Xl;AKp1h-{%sjkND#R5&jiB!Fw4XvLDUr@PoL<`rfx=C^v?KYr@`-Y(F--1*=ET z332&cj&XdC2tc(*F?Q?vaqqB*ki3*5E`m-v!Qc_C5gtuUM7N$0SJ(;_l43@TmC(K2 zBEp)s^!~T^^fz!y@&e`rxO@)BIQSwYn5p`m$h`N*P+AQKO$AaPIX=Yd5yjvlhzK(h z*cjS^+H^uIKtJa#FfnjSZ{E}fe$}a4`aV?zF|DUBQi9~n%@Aqn)@^^9@$F%z$*rf1 zm`X8f6vxm4-f){%6dl8PF(p+tx!7@eCe!I!#-7kKHG;>Vyoxo7$77lda~@cZB=I^0 zGVlp7!9}_yD8iDF%_fyK_D;@4rW?*hIJmf!j-&`S5SJpj_?$pl_mL$zbC{y@Ot?_b0{NPS}Rf;KT$X|zb2YH@N(a)33rFNi;#ad-tnz^#)!cgHoDjSKm5Rod zw6hh84Gphj%ZySp(1%j9fWusAOW6RN1~DxRO3l;i|8-|S(9dggNi(k2&neR0>C;av zOwLJI1s`Xa3t=(qLck3IjFvO@l*9p@RBSVO2%`F z5}pJ~SH+MJ0mi)M2FM@SE^lJkP%l+@0&r0g(^jZ21H6tIAAEQlEnI;>M#Y@C2rjI3 z-|L4;Y`|UmKk4{?&;zbOm>U!POv*G5-z(r!g?*BMoxz&Yd{Z+q9ktFW`+(4;1(R)0 z(uaLdGG5bW@!m%-Zc}d1?Bn!*rSrRTCAI|0>Y=s&kAoa*%_ECa`B-*Tz#m@F#wg41HGA>%dRcq@H6YSiU8Ph%-KjTjXiDf(Fm zSyK*KQ#t~!vCOsvXZv?R_K}^0>|+L*?FCsHF9CLJv8;WTJ-B4&A-?a|fWF5}z}fCE z?!$o|V3EOjthlLAz>rIafzR>?nqFDi@yI~DB>BLFOFozN$;0fvnn?4UIrFwx654*| zw*22#m3J8HW@cLXzh1Zm4dv}(Wlip5WocewWx=-5&WqBvX$0+BPz|AJXGGTbKDzmC z*cuL(cVxh|h1b_{)dAlCqYN^RpRn(b>*U&= z8dL141PLpkTk{{o?g}9&Iv=`7W3R834Q6{+Nn>j-Wr!F!rG9J2KaoN_vG{z=>?kCEof2CM`H( zUv=k38k|drj|JZ<>knu@ekd0{jd8@c6e(i-$Ku2L)UhkR4|jeD5EFKN!-pRv`YbX}3mb`_b@ZCpVG4p7IwKclb94B@5# z_FqMKA|GulTghGwi+E&6sO|YW;UsV=ae?+8@Rh=uEK_e_3F7JVYn1K{OxW&L9>dMM)s#r&5$?J;OIYg=udqW9BdS+|FRSxA$S$PZTr{O2a(nPPVYh_A{$ZqS-;9#BO9_p}mWSOJaBIOdDVg z9eJ~2)`lg-WxkG{ga>c2GNht6LM}@7xEtuegi_o`b32+j z+VpiyU(ol(`-z!`v>cdexQ?joU}R}PWTAJFNdl2&fRPP=k>#;vAhW%>(OgXEF+93L zcq2Q>HZf}>!^Ve+kbV@$rh!?}8roy%KnWz`6sppk$M)rrATiL8wv;pt*B15g+@}61 zJ&?)Z%3)~l$|2xD2%GJ~#f}9yui3{yJ=%c6w8@%MiZgBy89SuzxB!J2Ba~@271M44 z>Om^E{*09WTM$8Brlz4RzQNH|MJsP&+uAw$Q38-`{d}B(10|aDIwz3$>o7uKo^MWQwiW;;<=Jd+_;igh5HU;1N-NKZXqXRDD=-0 z>ifqD<*{ubD_8<`$m|86nFw!&CKbAXIw&wkjBT z&3nq8FshWIMAVH5To~Up=``;Q4fC`a?QqTG=I7S9Gm8%{sm9>2P`skDuW@8BeVE8Wxa(fNtmGY^rO+&?2k?q{W+VQ>N}&yNZ+NX*7;I4~BHFi$NzLaf!AuItRJ6n`!QAx+MoWklz|no;eRFVW z8?Y1@Y{Rq$2M$curtOO>e3|Y(yR|j?*b#sl%Wq(TY9(MGwq2Z zjTSr0_se6Y>fxDE@j5v?;iz^b@j&VhW`h>E^+iuT3Q@F&k3Fa^G6Sj< z&4r>`L`?jOo6E{6?dDM(P0p~TZ=)~`GgDHt@J;&q3pctqs)6KR)DB;`3BIN95WQcj z;&EvhjuJ~|^icKcoe zRE#MDDYc?*%lkE7l{8aTHByJ`o1^G_o5rwII7&5O+J2aeT7LF7sd!8L;o6RAfBNZH`5cs`_EjOi;!@p83fj+E%BhSDPN5s(*h4CeO7)3t*s-$5l zQSlgo-=Oap!H7OgKf%JseR;DG6>R*;R2vw~$sc_09!>mc56j{bkCOk%*-A80@HrKe zxQVY!k4RMOF&T1Le}X?YaoXo`HZ|tKe5`H?7NW!jf%rpb|C4tkCEagZPcTNqWQ&r! zgM>xxAKaRye<0}Ae|0l7Da!Vx`&>ly?E`#sd*M5)wH|5f0Lfi;?@uHV(cJ46J-j|HAv8ic|4b=*pwwxQ*>EE(0rCnO_9o({r+0 z#GJ@SqqjtJWyqs$dxd7jerPHU`0hqGQp$U1Zi>Dn)BTJrRd8rolr5wCzGe}x9nSdfiZ zoCUJm8S46K0Lcz;&BhIJ4TA^CE@p7$Sv8OyFXv%7klo{LAUnWzRRd%P*lO>xOUyTr zm2x!z0vBLQ=WQM~Op6&*t}&ypwKNSEx7LtD$gS`9h-+EBH``4s4v%-%@ zdwF>*eBxY_-sazI&n9X@tICXJpGi*d7?qG~`n4mNfm%t&(68;5v! zjiEP~Tf%X6tH+pP2BoV+1a`Y;tCgY^NmeDVBKLMBv*=(M9@uGcuc3MDrA!vT1FStQ%_(R;?XlA-`~>wr zuRAyO@6+<^VgYeb(mMO>=;@(-XP)(@PME`yLfLo}m^Ax3{%u3RVvEn~&1aZWJdNX@ z35N7B1nZ5a+29TzOi5QaQ+n$^4M$G%HhfC=CVX=uqDCWLU9=T2IQgcoR^V-=7b`w$;t}@+^76@h6K2! zR5*gOj@wy5?DmvJ!6~G?k3*3rFoTnJzn=`X$KHRhCe|0OMJNupjVRXZdyah}E3wq~rxLm*>GvU(F#S`B z0(N^CNF_LaRbpo;>BZZP&8{oqTuM_3G}K=KLO34Mytbi1^(f*#IKiPj>OZtzsKy88i+yeeyTW1p|xd}yG6XOYe z@L>Ou4trZ6c(A|I0Sct$zsj#%&8!?_Fx|J)0-* zdd0r!-xlCH(%_pr^G#iHliJ}HM+l$j-JoO@@lFd0ITY)4|KKC)D;9#)0rIY)<}2^c zn)eg9(a|P&Clt+O@Y>-)GRyTPc}mhGg5QM4(&#(YyOFcFB)PDn$LvEn`P16Q!u*u^ zo37RJm882;nXVh9vr75X2Iy$;xPzxZjCXpXEfMAqlfaO+CTXY%SZ<0oBnBxk(5!rp zy3wPFnV42H!zp2xB`$2++?Aqi;@ar#@uBTKr)fXLh$yYE;eLNV;rVxwT71NNT zO9J|WPl-%<2F}wAm~8}>F_P|7jyrTgB}vu1HV77x4E7&TrS<) zQBL`8-CSUU-x_~c{39HJ0;&Lr!h z%*-w)V-H7 zz+CN*Q=64SMEq~6>HO?W4A|a)-z?B?57(kpFyPmkDX!^tPrXZsd4R zXmE#{o;aM&`bL^TVpI3oh4DJ;Np%8{MJe-ONpTsXp1^D-koWdT;Ugd=CNL$uO%m%? zZcQoAB3=7${$k&(Vv{2C#Gn@MOQ43JH9@L0Qkco=c&uO4bKk^my#fdnRqtN|C0EFl zb~aX1qPYineF=I^ux&m40(uSS3h?U(3XnZQsT8(K%*nt|l1PXfdEO9;R5LIv(ZVN@ zurISepu08Nnx&v%2Bc(Uf!W|v2h{EHWS+i$7lN_cTx7lw(+KZEC~JT8jX}MF9BPOp za7v7tAyBQ@;Y4_({_MbPid=mK-HnZ=1%ij@$S$pBI$TfwKCg!aZyuI0avgF*^!(v; zfT;$F>^&~WB0!l0QC12cfhRhw;hVkhmL@J{RL-83`j|XM!Ate~MyA@TFZ;|3g^LjP zr*Od4m+5rK-C}}VIwd8VYEFjGbughmWgsDhg#GexAfX)oOduhRbVDOUAffLHX{k*% z;AQgRWY|E~c2vr>Zy?-dqrYyc8}bFtFWrbw7N>1o65WzwaLn zc-_?LQqbpM9xTevLsVWI7Y9CaS|;#fMttDs!+!W_QO~+@%|$wJOk^bH@=9@0>uTdF zz$LW;FSDbC62YJsA+AeePI#~*8u#M2C%|Rmz6ZoMP%7O9`G`0GxdWFJn2lheG=vYy z-G*H!S_#PA84EZ+Fn3EvFn4<;-`!&6?~%XH6B4LN{61wC5UA!iFwnf=BIxi*|BW%p zUINxz&8A>N{7AyaP~@5+<+c_!aWecpo532}5F-4@q@q?a)A%+%0gvdH0Rg=Q%J7`* z42(10UaI2Mux(8pzHPlY<&BKjz&~cln8=tK@)prJxU=Q-Jm1!;La*46o}G@r9TYfk zkGG~Y=XU*ZR&c`1Juc90ecs6IYWn{W_LgCBHBHwj?(Pl=5D4xNoZ#+Gkl+r%-66r< z-Q6w0J-7r3u0eylpN-t_b3dP*A6-*bUA1a;byj(cL>Ic*;JUI}q{B}{fU?NhG@ zh_VghhXx@})8DSNj6AM*8lAVzMPq2r{iN*|o_$(mj%%Mj3%W_kehR!e;x&6OrjQT} zV}vEGUuR+-vE$M;Mzj=!04ctkjM_1@Yf6J}xzCtZi@d+eqfIZ?Nu32+-o_?HPxRzv zeDbQ%iAB#K9|Wj=zUQFpm?8RgybB;OPx+F$+^;O1kxfU$UnJ@v661B>e{>dzZT7XX z{(wd;rWmrJQacPso9G;MT}@+FrSr2GkIXUorzrKEE; zsa3*EO}n1UWh4^XqK!@*UmbFbgqgZ_J*P^ZJ(t)op21zu!J;S6Zqj48LvEh%rm9CE zmTlXLA_{p0sn#@SRk%qK7{Dui>i&!5GYi=HZqA9xx7XrkImWCLah69^&oqGm3BhMl zr|yKWp0r_|XP9Uz0LI1S876H&``PFFt}6Vg3F?cc3(w3ADbW5&>(qJ*Iq&A}d#aRV z>`-usCDgwMlMp9TN~#fk`uoYZRsQ0O5-ugBrDZah~k)IIw~KlpSy%hr{Zb7V9s$4LT&*@7E#=&nd3EhE@o_i=c!4fW}b}E z&-FK$U+c5Bk%|MjQLc1Z`h=!tQA0J!ItgS#{Wb5rlhpOgN$22`pVxM`N%lq}ofULw z2+!`HxNI_^RgmO@FIer)10P;FTzd>~chr)=HGI{7KPI$);rqFKX9xQhhw;8Z9WBWm zk6(Ne_E7?Y@EtzUrSk>Pz}p+!@OOBdj&e5DAv)QAN1pypd5}$yPm*t1+1v7Bt+7GA ze=uz1K33bziv5y8;QbBik;e`8aHSP}DgIieaS`W^3;*fLdF?dHSwrkO0SbEqRDd!5&jpQ zbAx|_;Ue0Si~ZTDBL&{OX1^{8XH6*T)ih{+cI(RkI@o<(KeV}c_+(Q)E5m)HJ=i^6 zWwhyd#Uyn;GsFF*1hP{7a=nl9L#(MT?0p0Ia!Gv>ylQNH1MzaWrxyxK?v)ndakN=X zMl*TM*SfO=)S4d+hkPVmPCKeUsMPxpBo@V=Z2B3xm0GN(^++&hSZpp5P*2I>)-&pz z0=GKuF|zyZ;s40NT+l#lD~S;y7W2rT8f8>rXmqPW$~6N;UC`_2y@%}O>120$AS=*+ zZbey>l@?^VCqJ*o9383p0k)=NNL7@WU$_h?1&%-pYSDX`dpm|v-zNw44RA3ib7QD;N* z-_vCkxH?FtxEw49^PK&ORo`J=!Ly6nImZ3nkk_(yNMyGXQQK|b)I5EGHG}Pk5oyG4 zsEU@0T2|SEWLf-AHBm}h&?VwMPBYx!&3P^DheZObp5o;rKIxyP{wcpM9cRVg>1A`? zuPZ2X`7+}^y`3DkppV~tG52fwDaG7=_8Xc7G(4@9cCvdi%+bco##aZ0uv?E-Mxa~$XX?09>WPRo&93Wm)#2rcGEd!l-S zIzfTfMA)B(K(w#J8w_=pQss z{5-PQiQBxKPS^MS5-RB0=30JS4IlKxEPvv@x(gz`s&o8uQ9#`6{IdeV!dQPK>qUO!r0E-(7_B8Z8a zfy+osmPZYd3<+`{_YJJdydKWiZ=JmEZjm3?Z)6{B7swuQjh6bnJb%aB)7(MUZ}6=V z5NI48i(@Yffizxc1P3oIr2`iaKen|Vu=^GB8YGSs)9JWJXa686ZZgikAt`Rt$@YZn zIxL8(5S70CsDR_n=Wr>ZfaA({o>859KPU5rcQam9t!VXr`QS%ziW47%s#8OpL7Lb7 z>g_$2^K$1ppZj7OVh%|wMZf&@HG*HyPb6@+D{X0<-+FrwMdCNB^M|cok5*2X;LRKi zOT_LBw8(gAaErt;FuyqJsjTXvVO#K ztEQ?2Co z!=DHWxsMC6JT1---1BjW3nUhpe{LVIA08Wa+a5Q9tbaEiI{j^mQSUj{_QX-WQP40*T;JXX2O3vY$Pj-bI8+hG zl}+b$xj&ogQDrV!M+iJC7!CJyN+pPTIJiFax;&YMRHwYIS#EQ=-d#KINm-nVVIQT7 zj`uqLg8c}h`PffK6g~RY7E54A+m=%+^$aYP^o*vgt#>|_*3&tJ?DI+E58>yH|)kLEklUac!&@Giq=QSN`sI}Vhoe>)7keFl z?uXg{0wPxb%jxAlQN%YvrZ){4cJb3)LB=ULqt=mN`tj4HM_Z|R{XwtA25+&sO|mAC1f z_dN)H_?XiUIU#9Xd6S5a>5Zg0))n)}gaTwBO_}=2D2JpZCQfy&kq_TG4Xv{7&xvHn zhtQhZJFp;@+O82(F+pZ_nTi?I-`9}oPK&c5C>;BU&;b`qN9eK6Hs(1(zsfy)(U3hY zV@>etW#!g7s>0Bu2QOeHxSi|evrXrC1-?Pguu0tE&a=fCKxL_NIpZZh7NB`%~_4>yYm@HlzHXI9+-yI(cV|wUN~O7YMwrCK;_Y z%t&qSkArl{e}48GU{^68%q}qU7{4m!u=c#X(A=v{^qJFlDJA zS^wQBo~DlGa-=FZ@Xyk9++_IzS3`7ioZFVNLdloIR0%VsgEU!j%K?f*1*@QlMOBt= zOzbtbO$32RypxX^^3wOgTe1pr`{5%`aaQD#$YHl%|6T3XSs8Tj#9hDJ)7~Ue|fR4t@C@^>wfr==kD|oePQ(R&iQ_I zF(cY+9ed0#b+paxa*_Blj@!lcdQIT*3fm4ope=j`hKTV(;L<6fXio43QS9Wk4icQ~Wz>`8N%RB?e`WxELe+(1 z1GsdMSpenyOn(FPpwO!TItclnfzmiqy-76(Qg3jqQH9;(v^5T zwFyD4Q7e-LV}^*AL>O~153@k>`Kgx#L8Ss!xO0!r*2GnXIJ~q=GU?x@@>M9KWcbme zjAYDE;as+mC;ApCog034N#m^y8of#ZpbRfP*=Rv?5f?}2pT6bpx<-3CvluW zze0ja5*O^L3UTH6>HR}75R8ZI<#Kn+*avlYwH$;o#|n}tk7yUGDEQeGv<8)-0Bn<^ z=4)3fd}0oLO=O~|;m{if-X<*wA^4lAduK8Uhbo0t;o0N{gv> zyE@(3VxJkaj%wvC!2w0q_ReCj%iAq$Z5_{ZFn>{42x=}H4;ReuzMs6{So?V z@|!jwU=Y}#j467EWtJjA&B>MUqR3l8fKNfc9gqbg_=P@zL15(RF^>iM6kbxh7WVO< z`+P;(#x3MU8GrNPDUP$y2#A~P)9YfGY!V?3xFMPB%PdzU_CW-f6WQ%LRKj*GrskQ- zOW)OCztNg+d^caRzTA3m?p!s0{OkVFUG|>4`s>%2H1x4+)HM9@CRBX(waG9JJJM#5 z2CD{(2D653JNlI@?4zIX&O@M`(r{hSx&4g1;J?+QNPczzV;mT(z&Hj5Xf(?5m{wn)&%hn!q9vkfEV4i}|0`g(1x zo~Ii)s62QJNLd+Oq9~xpcP_LZf<03u-ASxyg(|ZN!v3dQb#Mc?Y$F+})cor7oUW*f2<@60pv~+ravmC8S)`xcp+M;%G=OF6gf;FR5uUpNv1u^xF@NR z57W!2?7Jy%D;1aNqXdA)kNW~T30NUe1_fLRDEt)+D2&z(iV0n!^)AoY8kg)b^-lk6 z#jR|p{(nh%@{^gTQZ!mr;e`r=7JVGq5(Yc}$u%Lt z>{EipqWix}Q6<=ET2v7w7?mek*uv*yD-@?Y{M?$JXW8;3Gns{O0AGXXblLh zjJ`P^j9Fi9yWcy&Tl7%8+6V5jdwl3uOG*d)B~09QPxL9~^n!5Sz^jaP*3dCYMS1+B zL0Nddb5sCJnM558`3Cf!07Zb0&uY#LA1ba1c~vr{k+$ZpHF+r^BxAEo&6qhqQ9YEd z<7$LjA0(5S3dW02w^S8nF) z8VT@P4`;f|C-eyGg-XazH_k(&$6^4;^$NTz^53jt!FF_$)zp zz{L87qH23fCpEO88R9D-9VO#}^BUk%6X;<^ZDT6y<>G`)U&!j=G9NMB2&78@dO>@b8ph z(3M0?@ODOOC;*&e%il@FdCSj<&3Vh$>7DbIz*UQ}kpHq9ln|hB`!90^`PDS7@Sts9 zE}f)xfi98ycmgj^M?|n^Zd*3qmtvVf1bDM3*!|L_opS`l4e%<);Wjh-eWcaFv8={8 zjS&9!{JM<@d`$(PR~)P@5IK77{VZyxr$3Ar^2qPi!w!Mdhszx=*@q9;ea@}ysC7t0 z$g(gM@e#Fq8p!SI`ZLlT4#MQ)1u^e$!}Z&ot!QdQ$xZ(j^?J34jaRlp%CC4;Z#`1N z{2ZiWhotpZIJ&4u9uF<|kZ(V?xQe! z0bwXA$ynQwf#249E8h7I_D*ach+OS6QOmaZ4Zm>`x!7mEc~#x)GZ~*$Q_kYmgL7Ny zagzwPY$*b;l_Xe^Ob=9%%rrca%wY_X%zF$GXHY9lD^x2)6IB>c6CGd}1H&2^P8`;U z1HUAgyHoQb2D^6P^a|QaDiw8zzYtO?QE~zdk>=h)|3@eNmrmvsp^`|P!j(c?D~ZH8 zmZ)N%SuI4^EbUp_c_;S2>B=btSC{zr1fPk=kel^z-&zk~wP_D^?owD4IA(l2x8l=2 zeLJwGzBY9w$AEcGfDUtXrAyieK}vu$@sqIwCMc-XIF#R8GeFZ{)2|D9hFOH9ebsLd zmlQ?!cEushM)t$%Oo;QlQWzK1uBR!)8BCn7&cdFrINQ)Glv*mEZ*2scQT*MUy!u7 zKwZ`zk${I8Jw2mh1S}Den8s{W3^J=(aBI(Dn8uu6bo;vlqH+fI-n**vEhxfvKLnO^ zOk98g6k*4|^v-{Daj3c_m5ZE}@Soz3_U~7+zkWfltTo*muQ-PKePy(}tC;LY=@RLa zpY;|zF0VgxSoA;oJHgoT3Wma7_r-H)OiNy01QryG=Srr$H>?@K_HiST(FNZ{lXBoD zJ%izt;`4oLiR2-ZV_tN7Ko72d(OHP@lPO3_M&ZrEic`r?TS@7&7a(XXC!D%_x6HIN zL&72kCh#(934v_i*X)1kEQI&P(CmAo#%3Rh4Kl||e*$e}GWk`t*)e>h=;ugiPP9?(Me zb+{asenV+JWj}>X)8-g$ew>C6}K5p0Mb; z-lZ!B$T|G60(;daE#B6Ya5KN%Sb&r)s9YN^!&F_qH0O*3+6gygdnP=n!YA_|xpu?k zZw3`X5PqPL0Mp`}*wSd3>L8(vxFEo^Ywj*XDvv^IKni!X4XB&83clAc&6_PC{N5MD zw5@a}j!30tBFiP?6P?DdFBSbx39^pcK$pI-LJV`ACMpO-_z8oC#6(wm2^)%wVCs+;7quffncaOL+{+u2V?Gy8|~h*Bn|(DTzy z$*DI9uGXEB3Md!6E5e~%wR!nwIs>P6Pwn&+Wp5N=&aU*@;p=$;)IP`b9Y^<#ogbX5 zd~a~V?+QNlVk^=FpWYha=|bM0siYK*3IQFRplk&n&$rJ%-R$di{{23&p2U2qz3pbf zQ_3xpm3~FX!-_9o`e*XxVFh1<3wHI|`R(2);!;4V^XrR2a2-uM^h{rihx8VuoLO5=y-)tNZ!$B*UZaI2y-y!`N6gJ7-V_#pX!wH2&un7w0 zuaF2=BLI;Edp}-A*WTT!`%75to7KJC!;Y|uvh&I(53+DnhSf?i0a#Q_m;uBhez{~R zNMEKvDwJ4mWuHb=&tuPh&mGS{lwL@ra&O;=WQIzF@)zZOcuN-E>@*yWD=-=j(HR~C z(TNlbJOTqL4tR`%SQEMGxvjg+yN#=lTWK*?Z(Y6 zE@rIPtO$L(Gs#G^?mVGk(+oRkGHgmEf)LPTL-0z%P=&YIa(2_hbvFw%a2U4 z0wr-7OpNtds_&*JXD5*Ot8gm`ZC-w3aE80KF1b=7ePA4NP$mIP#&5w4E14o=K{c|5 za3lF~OKHdqy|E~R*xAJgbPeCW2e18@q}c*)SXmwQ98>n-!=#2QJ^)fCx0x-PiJ+nq z&BRX~`+XaoG6pFh1nD_QxPt%M_PfIK%=Pw+4~WPY5u1Qo(gz=u-e@SB02X3UE|hMy z36S#0O?_SHP8>tOz|w<)VbGUzkPIH)8M&KMqbi# z^q|4;fHcerckaLZV*29XjUSUEzVg7Bl9@uNik+fXLB9zjM_hq3<$ZfWlKTQG+D+uo z0)WEjen;*{$QAf^8jUH)|6dxw?FBSxu^hF(QZT-)7N}k@Q<&wgciJ? zLw#5-zs2~l{68XqemsHw!}0$J#tr! zCc$g79^*db{wbpt=r-j3KSnJD^~n9_MlGV{3q2F6)V%b6th`$_j`b*}?aR3OcK~1-pW+QuOY*vJ?aS?*nkG0X?k&NTo&U~-S)syBwMEC*Y{t9waJRV_ zWZmY5t@ECkPW!47zr5?_@1Aw|X6iS%v3qhg0Y}BJnVFRQ>&w?$w$%4)G*}e2Tj|}y zc8weXJ-7?sjcraL=tgvGZ!QjY=DFQMVpLO`THFrUWEW4-?(L#@f55;_jA{jCJanPm z2fg*Mr$*1spjGy~iI6xW{&qHwDIe)suXMe`M>p<8Ga-7ZEO0Zcpqxd_usp>PXO(fX zxb%Vai~bP*SaSvcm{tk@*i||ISYk2%0!$lP8%i4z3jrgTWflXNI$pT>_fgy6La=0ne|$HKo$L3t3;vz>FyN(Gjl=nwrDJgAu#%g~TE zQ$A>4{4@0~?u(#0t|IWp=6qO9miVR^QXZB3RvttVO<23|Y7zO1^_D<$@%(Aq3qi{& z)coq<#aM^ty_Nhbk#SfvF^SE`>cNTvUFajtP}sn8pfLQ>1O8Mrmip%5hfK4f6m4f4 zsWZuiLe`$pk9fJ9=d!BaFkGQ$aH5q%H=F*S2xD4drB44wQE?U8kpb zP4?;LB63zkW$!VxBRK*Xiv%R7RdqOw!W#0j=jHvaQ&YpC8Iz>uT2|N~#Mt4fM>Hb; zJ1DS7b8irg{5K#y!Z7?kFkoW?$e<=g0U)*Y0w5YvB<>Q%>kD@m-8yPWPp;<=3 ztE=UQ#SYG{E<*+%eNkM#KFCt{`XJqivNYih=gx6g6jy0b&D!c%rjO04(Z(G&R=`ouRe0b zT@-;aZ9x5)Y*~A9m(QfvM$?Qe3fOa&{*gxbWsNvV8Own_{krP#QfI~v5hiTV@5<&j z+jEuY2@-3~`rB)rLA}%HU`$4^AXoxdcXJ{Uq(Uw+e?xubukk?{v&f9wg2#=+s!**& z^!GLbf0H@OPd#eEL`J&=rH2m#I{X}`qUAR<8r9`oTU1lpL&}-9@zuzVd!pDfTvVfO z_*kqYK2+2N|5pqo=y1QU_ojim3r6%YB{8D?GaQTwo7_@$7@|;bj{CyI|5R}qWMf0Y zjli-_s{Xf+WlSVow7?<0&e@(|KrQ(Rz5WA{=@eTZX_*EU(fFq({&1ZQp4o`+f6iGK z{PlwwjK-9Nf(Qi=Yk;T+V+ucUDHx>~6GJB+YD@Oz`f~Idt zLx4;gZ}b`uG)uojXFrD(@7h_da*B<;TE)_i)O@P`AStv6+b;d{^sjy^d9m4>>LJYr zYNAb-H6 z{imYRw&O(gccaoZb?!({E6oDfC*r>lXrZ=XrU&J!_%@Sh59GWSHJZ?G_NN8JY8+Hj z2$d4A&@%F7%lsl8_egSQIZLDssW3dgMGX7}BAOgfCM?q2B{(&=j@V`|I{Zdkm+W;? z-D}cxaBl+;;l~eZ%3Rh3S6iyy7|r~#>=JI!CO=l*F1tQp&h*y?5u+RzG0DeRvRX>6 zUFE|#|2uiGK;{e+* zbEK`-wT{WEc`Jk0J)qsorH31lFk-Q^k z6&9+hdgfF{ZL~>G;IJE$u=O~l5-tABO6?byt$a`gCPk^gatnU^xs2A#YSSPrwVvK# zU|b7&g5#C1eW!%l{H>nlVNV@lt8!W;+Vq8|+Ps^sWl)6`kXF}1jQFFmzU#8fSfz7f z30cyOo^Jn$@GL2|vih=XU@3%}%YD@29XZY4`^w78wN9kvybN*JJy*Wcy_UxEuFG>{ zh0f|FGsva5J0q=d8CNf$`ly{|01T+2o}WJ?r>HNP=Z`^CPNjC3d{ zrXuSzS($6yO-{J7k@I~k?x(agAN8f3VL7jH z&(wHl^kJ#SNz;D-)}0z}ydf;rF)2|yU_q$!DjUO6p^~Nd02YG!JK;)*I2^QyKVSl) z7@&ipNt75IR6b-x6OiHIa$qWW!8vHsYf+z8w!c#7R%LNey&)%B+5eDC;S4KUxx_$l zFG~#8$5@=WM?-zmlY83E&(!7r6oQ2rZJ3gdvq6{TM@ zV8Ak^bnRdh8$>o4Qc^J*G501S66?n|JRW1jq150C4h14J4oVWZ1WWkOhN2pEi6;K} zl*%nk-fqzgQnLy!CFm~SIcS7HkI&m&D})`eilfEmZX>S`{CZWHGmFBfuNgFlWm!?X z)#nCLRwJZS;tvMLt`H#$5h?3Ba_gI9y!aszy)v7&UEKH~^z8eh3$XY0G?Vq)@N^lT z-ZxWWvOi_EMGdmDg)2@80_y%4xJx;H|H;3UrbFwxVKs?ezIL_TO z3jHC3mB|r$wSUL*s9)P9;)5r_U5ozFrOi;+wr^r+Wl3$PgpN0kAZaLuJj>@6|94ij(9~sjpavuT z>aTk5dIXQ;#TDsv?QdKzwHw*>3z~Jj7IZ7d}F0` zTtkX~VE)cC=!{Zr+)w1+os8Aw2`%|pwUBPejWAndfGqe~Is_dGaP@1cO(Ay#$zTLK zunG~cUdyh02q2cdQ%~>Vbe1?a{)q?2&4X&QojNWzd(ZQ z_Fw1$c)pQa@#!#=DBihO z%w*V*@#R-JHL=;Fk=ht)uGzAJN2s4=xswPR&RTP3=Z{S;rfpf8Dv+#p%DNgr_pmvv zb_j!NvfjA}saA%5)Z+{lZE6V5S(J<6#-~yf$zq7>^;^}v)x9cw=kiV&h%m$p??Xvj z$H_M52rSfv4H!TbVJFhF4<`*RUxmr>HN=3MuECGs0(@K*ub2-RfLbKSU2e+%6-^*XwoG-=|`Y`K3x1b<%g8xuf?YX@(zfiN|-b` z@+WNT$0}PNmUhq9X%WaVB&LnHard|>^wT_<0<--2`)5Og%+j{x%6=hFF~p)!;-(yP zm!GEgIo!Aq1fqeF>o61jaY)Z;mf;)dlKYrxVBV|PK4J&=d#At~M*=2RYuMTQw+j-A zEfv8V6aiSD=ses}BCgR{(vcieh_{q)e3)c-xxmqZ|kkT5dhKK{#1k*+2H7$U^xH?2Dc$ zA5r{8c-I6Gu`?4KYP>w9#rvD%%33Fa_=~Id82PVH(6ZwZL3u6fm9>4)=`YYJ!JgbS z`-DMxJiJE+&(Ob>&;Em*gQABU&{?0&2cV?CU~{9!n-ULCN!9nRo3Hp#Ja5<22C2=t zAxXNquk@P;sfpxlSoC&HEZM2et%J*okajZRky|qZ{GKqMy zmK)Xw=4H2@sT`NYynw{Nf?TyoekAb)wwv!?Ou$fq^N^JE!eot|_Zh>UE6F)YgoAE> zq?P0P-NSt8I4?t(x3dA>V?Q@b3wM8Rn3IdvVRhKP|IdRSVk!job>oKf8jbb-9scR$ zNX+WKknhrLO+6X!@HeLcj~3v8uaRcE)rOa58;bEMWtFEgh`?4)vA7__PPVW)1Xmwy zRsHFU3)^qB?3C3HMZp_cSLz%<<2(%pcsu})Do+GitK)@x z4I-RW*=GJSGqTL+IzpGn&-Z9`V6VTK`MU>rRx|;RZI4#OB{vY0!^AS_Nz9BnG zsTusf6IrfH=uld@^U~9i6Rg`LH)N1N33FDk> zG(~BDwB+T;>4k@X6lQUlG=S_4ufF4-kiTwUr4xXNr53#b|p;SuD$&o{qCS5Hldsf&wt;25%uDeJXO z{TB!=A!ge|v^~asUA#sp6W(@lC9cJ8p>RWkJqEB=d0?&K1NHZ3?B-^S116azot~9N zIarHJ6Y_-&qM})ElR2rlVlvwn6hq_#^GiD8f!Qx_r3nMzn1%8P1Ag^^z~5Z4Xx&)I$W<0*RXe! z1c(#7HpO6V(v}HSKXy^xg}C8=r&SOh1B;XMH+vFv}N#o>@DwE=FtOBtz~Y_GJ` zXZc^;CgoqKy(Q*w7o~0ZUzq=EHauLz0#=jLY>wB(94hI6U z_A!IYiO&?jfe8PXAg0#pXN*_PVhQ1xdM*@O75uyH+?XjEB6 z3Dr4Ynfv4+LM0vP|AZJS{;$YE9CoRK8`3=IXwF-VJ9+L^7Q1<=qLTDk)mPdd$yv@7 z9ARuXIsAX7%#BN4f1aI`{16eZ{n7Ba#b3f?H}5aR+K??+6nkAx!bwkcQNkA@+J7zU zxC__q&ZcgmwXXIodmc{%FRrJ7g-XD5r|q%PEn}${?ZLz9^RD49kl8Rc`nwtr3Q5%i zJa>x0EL0@_dsRmw=Wr2D0oQP{>qo9@JFTX>?RE%` zQ3aUWRNP_E9q6O$;%h4(=q{rQ>Lyj4@nY=PT$&j;oWtR@H%w_AG1GfHS&o$wLc7wa zqY4}*)mBYudZE(~_Q;S~`cCV`esP+2v2=J)e~`RPi-e9}cTqGjO=H!IU&)V4&Aa{F z2R?Y*OkQ0(qQM~9MM|jl!`hg;l6#>H&2+Azgg@PYYyx~-o@_!875Cc)zkQ>$;Yv}^ zTPC!ApKY74fp$e?VFR*g@bT4$Sf2u-;NN0or&6R*9u9CD;v#PuQ5FrB&W-Z>U1w6d zo0bxn&ea8scO3ai&P3|V*}ploFqTp0CVeXQHw}jGm0r`bmfC_dHA;A|m%Qd`8-p{0 z{|oroWtLnbF~3o3fvoks_pB_^$k7EY|9v?Y`!~xLM$4!4WPj7*5aBfqYpEF^oBdHB zIoq^FTxu`ORO8Ly-x0o_3M^&p-%MK=rKt^)7B8UHgY(bdF_y9Cn+DL-xIK_aj@K^b z=AZYnl|>qRb`g^m_hsggMtSV!#x9ky`TX#2-HM5BC>TZX4Q<_3 zA751}7Din! zHEDjj*xsN~Xs58zafck-*vh|TBI*xXUe4NFUII<(_quWk(R|6+@L=-k>{Qrb7NDuE4>X=?!VGC&jG^suY?x}JY+q@Ilt&6e^Jt? zIXWJ=)L%VoIV4~G>1Ns~Z@S+pDHGl*+CoO~fnJ(}s_c_$WavrQ`UL8FB zcaEF%!)Tk0A1tedwT`Rg$fCmdB2S{I_9=g#4}85wiI;A^K16 zsjAd>CjO*O6zOZG&gM}Ile1BO0zOjXRJ90E{BECD*lm<2No*%U5oBq55MPcF_q){I zR7+;ZqLNl-dLk|xEhUaFZQy;{LtW3T`VR%A&ovW&swUp29oF^isJ+;Euv~fQ&Gj6Q z5-M<#7AhJ)w>keX|ET9!m6!>Zu$a-P6>paH#Z~#CqV&0GI$A#4uD+fl?{%(P!ajN$ zYfElNL;l;ohNRKAk%76j-1ncTsj5Di_tTA=s%fT@=a~zW*PGMgzT-SJ3;C68Usl-d zSVlf5M4@46OB7p<8TY%q&LDYvsF+s9eWETKZN4tv0zFNlt|v*RT1D-1&Gg5E$@dvt zbv;GWuOzIqWAf@b62xB!o=Dp}PGV8ezmjPECKEKbWp{_l3muJI+

eF@3%ZzFdR?P4YdC&oGoszI&dpw3UQ4@#kQeo@( zjcAAtJ5xms>)15YaWGg<-a+IaQ{u_1592XEqOzV`fWJF>HMan>7d-#q)f@%Pc<^`o zuVw=(E9Vdt6LheMl1feQkg-r$^RV|f&R0_pFgv{8znXs`-kEJ6>*{_9&qj@#DrG#C zl_#~%(A5afM2!bb*<)NOkVAXjkKx&9aZ}~hCJxRO;EJ{wafPLhapW~MA{r4d69!Jx zV%zdc+0}E(@d%@MMcly>N-9kQ(SOuQzlq9!8!%HJ*1?PSKp_StTztpCKM*8 zD*0sCe^nY)ol_`eSXTmk=ZZDj0V1xl)F{p+Sw`u*Nb+ml4UcKDu~+zJ0RF=({G~eS z`&alAUh~}bSNJ94Fd>3h_}kJb-&c4S0N(nQ`(cg9T-Xcu4G$HuMpU8f>}1x>A~yMT zxFR-R5hbOj-snU1H~k`GUxUqN)V}ag=gz?3$;{b$R3J4G z60S3+xlY53HiZZ)EOZPbZ>af*7nX^NIaOY@;h-XxiOQ0lJ;xf)-40j8`~;rU%N)sK z$0m99Za5V)+Gu22EcrwH5B_)!#CV6)<_+um#R=t9kKq(Jrg1|b8Z#k7R&%W%!8NSW zMi^m*rAA?rsR5wak;oJ%^Tl6(hiccS0@R1*)}6%AnvuV z2c=OguYJW$f8zCWCI)MsXgwbm1J@^3FK5DH^oi8VnK)JlIK7;SYF>a643(bxFcrKw zvvCQ)@1+v3ga1m4F@mr_$5$_@@$ZJpQK=a6JC01RRflfd>G~C8H{S zS?=@NpEvWeG?L|YGry+;+w!`ZqctBVLSHx2Sw$@Qbu;fq;KZLd6F4dJ>TgV6Ps#}= zHL#|alR~8XREd;opo~$=zz$u(@J9{3OzXEtw!tcNgSRC4J>6bRF?#8Qzrr(}Ryi}i zpj*K6(SxdGHKE)?=d1jLmg1uSg}~2@$mp(X25Hq^d&x8m!tx8{8!&kVDaEdxkLzuO zx3<$h3774?Q>C`xY%02%pLc5S00*6V3>@*fR+U9za&h#?=Vl5yP+dP=(fqAx*=Con3hdnze zMc6A4E%@@*YFeT#(`(5`+Nl^(uAnkwdrMmpu3abGyLItf{+DZ$Unk*he3#Zq(X_39 zr-izf?TZ6dd|#?Cde7irZ~$duVu1X2K>kR@Wfdj6MXbp8X&KY}7H7}iB1nd|-=f*S zD`)U}G*Zfv&esI+D6rb^J`)FjUU#%qGC!CxT55k2C!WI4rZuaY*Bk7l(oXVXPO0=D zt_#pvmwNM2#jxplelIQw<{I(ST2cjdQ+j9FdG|$G5woEb`g?O3*g>Jxod5No;&iG5 z7vwK4s*pqSNwu(kUyR9YD4xEO^#9TIm0@u-+tvgpKyV2V2=4Cg?(V?}?iyr(Ai>=& zxV!5R+}+*X2`=+Z@}7Iox%c_*kA_;ccCEd8S4~gX(>+y-P-w8UfuCqn`t3L~-=9jJ zUtTzeuna)Hb4+=+mHqhf(rIe8Da2lmKynJkN#H&Ak~*PZz#VUb6K}nfd+~3Zg-I??A8-5Y{nRqlZ?QlnB=XKGApzJQ(q{A@JV3bf%(dH;)eCjch=Y0Db^7g09^A33}d;Z2@~ck991Bt$`9 z%Z23FL`~ywuc9U*8a|#xQcq=1b8RMNG1V6u-X^Y$;2oZej;G_o<;P!7FQ4c(D z%#Z_6wJGM3U$WCd?jU zp)4w-bwEE%ZQjI(uz+i<8FF}kc3~qBAxw?#eeUOa8`Zy(jT6eKZO#k`0Zrdo0zQL% zxK}BobvV+?Y=58vyNyHDO2FiZ{!Zq$p_R~^iOMjHM@C#tWm${(yRm`_A;?<>9Wp$Q zqDSZ=Ei6uHvgjWY$;Dh+8U6ip0vR+mxqP9&!Y-AJh<|omU{`Mb5XYqEH*E5pNkwT9 zL*;2xg2QLt@HnN*e>MH^+bmODn(9UMk7u@2xF_@RI5H0)1t~%RyY!XBI8|t8a2*xG zM~HtM-wSQDXeR_BL69T(i%Z`aiH3V=b^bnx{p*Q;vdI#goES>zucuwY!!p+JxR`f8 z{|4!V@|!9402xF?m-TryJ#DrtB+nY2|XA1HHWbQXwzPT}0Y^St(dc(v7z z3)~jWgEwLqzET*W`q4R9O9n3vkU;GY3!pd<+R)KP4>T4eg*Orxj<^3=RZNE<5}S<{ zSe^Uxkyt$3TFCJqFvL2Ute6CyQ#=7LqNO~!i+lLBnOvA^pEUqg1C#9$=84!&8a3=8 z;&UJtjJ%z{Zy3Wy#*V-{>t%(S`md zerP5in&;w6KEK#+Vf#A(cH%ZR#+)r>{tgauATa%4I^plYk@W>|P83l8GpJtP?6PG4 z4iGkm$JaH!0f;+Hu8XEMkw4XiBM{iMJqrcfZM|tM`#vuPskK&yFarUP_ z;*FaB=>HuBNn3v;|LN7>HqZYu#{ajm1jqPK5B2;B z>u*;I*jPBCTu!n4rnwC~e0 zdNTQv&7q0QsVuIM-LJu!`2Te1>X5VLKf_?af%3mvzI6Y0ODDwtZaGBzFXL92S4=yk zLw6$3n@M}ab@+MuBXD&3RC1Qp3MXLsrD`85oYDQc`U|G>ONV=wgJ25 z{+nW9Zye9U=5pm9>6DIj1mPqQ15jZWK`U!3_kUic+fv!6v+oCODhrAP-)vqPoRV%$ z-$w>#Nf>Yq+p%N`l!&dFy@Z33UJMQP#|ADo)?PfGH}}d$s@$p=eCxcfcHMDM1VCE@ z>ENZhJTI5__R7|>t2RIn=lgYexfr`Ze!!J|+d(oTgB!5L+ZV0*_2HcHS^N1RKV7gH z9i;dI-p|zM{_XXW%S?3B2GHwrt}i@XGg(pc@&MHAd#?zA00@i0 z!LiRW==5ccjo`C;dpTQiN1<4+K_F`P0X4y8gN~l|*H(zC1bsam9=DJ0S#2Jc@@?H8 zx4}!aY=9S3sA>ClZMDJn3`o~K*kH(>5d4AM#Q23!kn`jr_E-|T&rp3HimUAbWZcsSCu z7xcaFLs z-fz2ed+7kc6Z@Q?<4B?HiF-l=2glbjDT_-fTm{y zrI~|`^;K^#-il;dTA9*7kU%UbPQXWAe{yz>QQl{Ac7s;F$>?+~%X=*(eeoW8+xcA4 zKpoeoX=|_5tAHi~xN>Q+Pgv6WcKNy<(FwR*dO+#<%6c!h9bfUBbH4wR!Mp7@VabX; zY5zFy3&!;Q^}W4-kw0g=(4xPfm;a2Q_uJhL;q%r2(SEm};3+auAOM#`$|#-7J|oyi zQ%d40*5ixn6E906@?I z>4zc48IWEX)9D)xd$3@!LtXATAhc4M65HQ&ry+q&-Q(~5L`>&4FlLHJsfN_GzB(VqyihciGz)Z!A2P@ z=R?{D;g;;-2TGXS_xDKmyWJR>{M>hr3dQDEa-k##Z%><9dLBG=lmh~32y=8Z7LEDN1+y9^3U8q;lj0h4H?ov>iixc^!n z8cebpgEyNw_<=Xl^q%UQ%wKQNFfX_6P?{S&GWAlId`y2cH?1>zo^{xFM4wSi;!IY zBAS1YjniLbe3wO-nbc7w^&U@V^oR7ke+H?!6tQS1F0&C&jI$ULwIS6N356h>Q+kw{Z|AO@b5}Mg$bMWPS`}5IUuCt;6So9~derO1WZk zFUY%m$|A%?y+6iqNjai$iG$#YMSAs?WAti41E~Zz`J{Z`5o;9)CV1X2y#!WX5=I&< zK2-ONAIQ_Hz}k4wO8n&P#d%9V%^@)x40hLp{?=o2AUK3iqXKIy)N<5^5{jHRfBUiK zbz(qygIi} zd>bxCrsC}I7~5pB^4cgq11s=?70xI{v^*{*|0r~T71qsi|0p!)Fi-AC+HQ@Jg=H~W zg|uxO#}$3gVzGi6mc8KM2~2rw0)1Vm?)XICUC~3bn#6!xTY$_2Y&68Z;2(S2Bd|R^*gk~#j~33PZiqG*!IAtyO+{V-zwg*)$q2%bnEVY_bI%c` zb!V009hg|UpaVqb#k5NJYs9!Ei45QOQKxw1hB_q)bYX^tICK{&2Da9FkPsBZg@yQa z7b$_!4-$e>FpB9eQeMTbw9dhmK{Ff7gNNp47DuF35&OtO4JoWBHzg8=sT`~qCNznm zS|39qo8bV^H&g1Nc z4(K^_%`gj2OPInpg8XIl+bycX^$4n*K-ladO7~>Erh&mnA(5omrlQT!dX2krz92f! z2^xlUHu7KOaRMn7ZqAk1>%3zwp_PiaQXm2}9MS9_%ZZr(uspA?a0OEX6|M(MI_}H! zJl&XKs!Ug67?#$bjNbQ&ayi;m@Y?=U7W$8}6u69Nu$2ENcdI(?Srx8ZWvQ6L)VUGh z0O}eTykX)=sKgV%!Fe{$2UY2)Ko|UAv8&*nm~XvIlnMKZsoek9B~B-gG+F}5aC3v3 z_qKpq0SqQ}R)ntg0NjbFx(-)t?$95?S3r#%79(_%IEkxqUZql8tOcQ8FC3gM*~aEi z@$`0|hOnQH^T8+b*bu2}E!iqwEd8+qjRKWGqKb5jWHvuy9UcSzLtZkd+pPRwj5jK% zZ&)e5z9EXqe{=Ku1EW%Z8YU4YIM=`6t_%_)*r^4mz`gJt#VP+a@IM&ue>2;_Opuv{{~+{%|3Mrfs$0Gj52O4eHP!(p6!I

t3& z{pqka=~Ss?V{u$6*3RK8Tx+>7ui9}_3#&>#eiI0;^EX;ojt+nC5!yLbuct`{;r1>( zPBbh<_d0G-2p4yE-}ivfYR>*6VudJ&t3^YS(OP95_=GJ5wKPHF)%X z_{Mne)K7D^CPpdAI~y0+XJ|Z0G)<$?pl23~KOv7H_Rf?EGW3Bmne3M}`a8 z@n14(2t~x>|58!tp3%(t@a5@}$h^NXRlqPeJ*$(UkAYpcPfD@SC?+h=$`htFEU}=(?xzNZLV#VLD~4-3Ff{a=+*HFwa57W-4W+-3%C4 zg7NZxg<%R94}ftJ7=PQZ*h~WBHa^ElUr!QQd@!F#*@(=1%Jt()xt#dN5i=dnUMf9N zA6`HTVNb4n*3$LAs=w`~R*;4r+1%n{BVUguMH?dv%S9U92;Xc8j+$CJ^AWHUz4aMI z1yup$+s&N$GFTOD9?irb2o^^*^tFOT_e1G{WX^W?Z^ii`OGzm((?>746me`zeCj>of+~>5HZ39$Xq(gV*O;595ns z8Vv(IIoD!IO#|I478u%dL@1KO7~1PZi1vl@rt07M2&oipKEY~xDB9+(pbkcnek2+W zY?`NN{YEBBU%SKRS=QdeF*PTueH{Dg>yYkVWMgP0;fPB7DVOv*%|(;E%dKHjtLXsu zwT9=4ZLYOsoxw}fE*wf+RqsVsr?+cO+n<@ne@@O`S{@DFww{PK-i~&Bjc?bgo__b- zOBV3Gp7qTD*7$+m->$%mY@Uw+4fIEY5(O+kBl*Fg2Sq)bpLc(!Vv6hFWiexG*bv_= z-Ctkl#s&mmU(cd}0@GI`m&xGy(q&OJC-Cj)>Gd`w+xPZv$NdT@0DRjSYvODIzS*I8 zf$W;>&mOW3PM;qZq?bhBaSG}IUM^40H7Tz)vVGenB33q29z?at*VEgke z_-BXu0)R*Lm*}c0VEWth1q0}8dx;VB*3-6jzp?K9yoLf4c-%vIzTWUz#ksuOX%hr_ z-p^DKy&Z2I^~9fF<&9}KaSIA^KZ1_S1JlHS4M@!Mu4(|DLd=Kj5q3&{gl}HyY+0i zK5j<$@7b!aX&|A5FH8H`9C_?{^{ben;~{u$yJh?h_lQceP|%nV5D@SX9>$5P$^-0q zs$diaK0bmEBUe*Pdjn}{6$5ii8y7Pt1119|21`2=C&Z!Au~FG*cWFB52=@`ju=`P2 z2AMJWF1pdBQ7zl_tGzbXX|0@zFQ=CJ-_6q1#Aw@}La zgt5;8C>Jy)-G=^Fqr`SCzV;6L$>OlNb+eK1ag>w;<@cIhf!QtI+3SART&Y@HCg16= zXFs#eVb|&?s}Sm+P!KfR9kh_@yaQ)>9hGyZYF5E*^gl>)JAeOG_9IcJ!oA%qIPe0h zLcZOLd)!5*<6_0K0;0rXR5Y!hDzqZ`%CD@eB;rCp%EcceLt(~0hGrbOWXa_Vg6m$o zr|pJHO{MorYpeahSYCp?{7=>H+Y#ZysnjH9n((Frvnw_>_=5Vw=HR4J$hZAtAy7gcfx+Bpu4p; z06eOzn(zI*5Sk8FgZr?SikY_sZO0iz`)5Uy@TJp!~ z)`p``eE(4NAcHw&Fc8Wczrh#n&79a#aHkvmUV=7I`#X{liiy{Xni}~{ieUAV3}3ae z9bI==Oq3aJI=LB4Zr$&vsUh#`EsTZQl9RAd%zhH7;MI6iqaeooMZG{Q;?N7!b1GVj zX%2@s*MddA<2mj7qWPq-RsvE|MkSW_+kM?~`m%iTOEu`i zTi~?~mq;?C%?eOzZMKT+*TeEn5DrZ6y`*R-@Ua=GoGLpMp{eO*P`O6FkPY9Fl*`em z#znE`+iuxcF-Ti^D2UjeAG*BTd+FkJVF6gdQ{VAR=MSPrSjr;6&v(nkA)T*m}q z$|=EZi0NPeZ4>0%gX^(7k0dKkdT{-dV>JdG^Tef2Hr*BI8J$>B7{2R7QXq4k-G#iEJMa0j&!KZRP&K}0xO%A=Flx^^Y31}W>RWtwS6#nY zPZRfTF=J?W1Ee9NJ1G%EkTEX9x@eiCs6r@dZ7hVfj=g(K@bNr?Z#85$H*>vD^f@d`x|8XGV@z^xjtnl(V?iko+@yWc;Bzxu^#wN1s zhn*a14W{q&wj>t3F|N%mZc4H)$^+}-w1(rZUaRuef+AX7_a+n}`ZUEhuu$e@iHeh- zm;)<*Im3^7bddPF2*#<=f5#S;@GM&owXMwA6Tt84hsL6+FHtL|=O|PV;nV(3TXFkg zZuwmSamM&dSq=(!WKk!pKfKGf>M!UwNzswUgI@no(0rl&@V+lXGdalw=?h!BbINC* z=*0O*C?y^>NfE>_Vcw4?_dytk#Fv zrhzc%J@P+5|8W_22Y;bJPzPLb1VKQk;zB{-{a=@HXA2_-vr~PzpV)IX=2hGJ41Kr8 z+-VYWQ)K;%#&XOE>Y?zkOj8L1to>p)*T%=Po}NRD9c=;dVP;3~A%VZgRm7BsOW^}- z#Zggb#nII0>?b&#-R@rq&R-ce=j&6yAZOcV`wgSj{7Vzi7WTK| z*qcXBw%x-HX!UZ$SPN2Oe zPHyhmUh-dKpR~buzEr!Nj@YCyn!%hk^obhlco zQ9n_jT&7gxI2CdrC=gd|YrpP=E&|Hiwq1(_tLl7bBLLZ2Y4&bWX=7DR+i5KY#wkcg z8ppk#uk10i)y*>Hth6Z?@t>Zxi@P>{OS}tBly^~4T^TY>?o?lF&$^NqR1E}}bQ%1fOF)V>Z+ADoWxm~)sIzX`EW?=)U zt@XEIF{E6|4g)%43pt)D4)C#ef2F}U6J@JOSJxoxvvQ0##;~WTA&e%Lf`7R{ksXp+iM024#E&OkABA=GmG{Z1mbV;(~nwz-zM14|h1d zr|hu$+odJ-j1eQt0t^)Sd1ePuX9^9BrR6qQfSDo6Wdlii{iUGJObY{~c8r~<*U0AB zx%&^A?rx{DLO&sxbNpY&hM$D@e&lae{@H#%M0 z7#w-o8`6uOhCWu*>yizpU>1_UpD7Su8P8m9uw7cN(N*VA`GGkce>R_}AhKy8f67s_ z*=P$QHO;+|zBBtKY)lT+W0aD!q^IXw9F))bdPsjlk{0Ka8NGG0>qU>Hg2m2L%F=5 z#t}iR?iYO5kho{d>(a7cI!azN8)f$&b>~> zN|TkLdrA4m`SG~zGFvqWL+P3J({t;vgkP)6@oJ6j2p21`*k-ZTb0DgCvGELlaY1t) zSSUJ>w7^jf(AEYGmsMFhOG7=w26Rq!y{209T& z%@!7O^+WbEN6t3X2yxsaB2Pi3^(M!UI0nwXMG|-R7-W?VAdNwotK<#p-CW!jZQ|tK z!3%#qk9W8)#KOj~0JR^cmy|(LumFug@=TgjpjsBh?y$rih>3rHZSajYCY%v+2S_e8 z`f%~S<#W^ zou$o`Q)}*Ta~&eI(a-XVEO#3dKMv^J$_NUeL1WfpzQh~ig}C7ONh_H3Js?P5m7dX zf3mcEgXDZ_g|orFfhL{p!o~JE>65O3L|!p$BfexusoBcB{OL+@?RHXg{!FxD6CC)0 z>)u@IoZNV}gULHU-ACf?o6KQL;N_sFKD&Kjuv)r9UbNVxo2YQpSkb08S2>cXpJp)A zsH)dfv?!`#MJeuj%DX+tT*SaPY+;JhKr>Qh2M~Y$AZTYmQND9pv{SQfOL}l-sbvm$ z7Fp4)G2acV%h<`p9;X}eoOhbn8W&Bv@!xytUozp&qdO=YR~xb1F0ajK9M_SZ<^6T; zvjX|?IlWPTqJ;98y{rgA_MO>#t|$oEpc*yYKYvnKAnE#)AmQoWK_@|k7zLwmGL0%h z5(Ixtq7FtEGDP@X4b6qbn($xLCD;Ggn6L_02Ew1*uj{{?%lP#$^*n{;K%;8?5&zJG zMBZhTVgz313mPVYwo5|@3c{*k)G z_BJy7a9?fO9#BbnE^*f|3%6HG1*KP3O8!M71?sWR1Y^;^+FG;K$PG1@`=#y^v%E!fIqmp;ZxfIIej5RUIG+21Z^GN-_bMO$1 z@NpQ)V137Az_FQ6#L~=PcAW&K;Opl3oT*~@*|p9R4OKAte}DKizRDy#h!R}#SbGz; zmvIJfIV-UD5<;-@d%(0kXlDFT&t}d|d%WZ$?G5)*QlHMpH#JgK6;f7ZQVb>1+sfEu zjht*fgvowJHSfx5Y9t_+8co{svt%$$gvG<0IhBO^450!=$*XYy~C%H&6yc!7|(&^W}og1vL*ld1VIB)^w zR8y1wY)TwakD6Zo#@yT!AKbcI^>!l~c5~P7jg3-mxdnd=u=CgK-Z$=Lh^m%hWH_?~ zObaK0QZN&Y>2O);kd1MLQbbvPY#sJh)b~ySw5Evj&>OD8xzEeC=Af30KFrK!^9-2~ zAAJTiOfYjO9<00>rxb;oK;1TZoU#(mltj~g7#vy%9bZej$=Q1uE*V@bQm74* zy$gK@&9FrIJXog)J*%00T&8%d{`+Yxs;xCwRl?rt1jm{D*@Q_$9YTGP`B5=*uOUVa z5!kx*zHR@mtMrz0Tlv8!i4{Xu>eH^()Q1smd+ysEqs6i$=P*45o)yci8tV$PvgA`d zD0sbEYd72FhTg;8E;Fo(x?Ha=U<%3-#P?stkW|&=o2r9Pe!e02L~?yjM26+gp*NbA z$iC!FWjb8MRIIvr*EUTI>eMTus{qU{8;I zzqIG?6Md1T0#2^V-sWr_jtBciEr9qa6GTlJ3v>s%PS3acryah~Is6OUV;}9f8*iu& zwt0wtE~tuhi& zhk!k#&jj>kURGs-HjTHs|= z-8dedH6Awtx4C|MMSH1qi!n;x$`ZU}I|Lykfy;7GKHkd*2DkEngXMEMB>H6@`Aj3N z^XK-PHm%3y0oHBYBZ>*Vmz9<=Cm!n}+D^K%&ip_mf&_Gm;dm*)T&=G{U-GSa`7sV0 z1EwxU4D>vS=3`k~S4v?}-RC6D{+?yT-PpCFJ=hbkBn<6ZFRq@)Hr0)z?RKLflQx{W zN5A_N%u&)}cS8YnBy&Y2Rcr(Yx~y6$2qPJ&FORIq9^nv&_97ZFPpV%%>B;80tL&P) z%f+FXH(zsRq!@TWOOM)oMSyN61vd^Y1)`CbrN?qU5{DwJ`xgI1X6xi(3C2`*SkwnC z7VDsS8$r?U7wURxiOqPR?FX1+T}(e90Wiia(wE5HySSJWi8PvsXJJtPawK!3xAj{* z0X)!>dyo0bg&Vwc&ppxlXlZfGn1h9S!ea^>tMZ^&EIN!7G-qskX~A*Cdu1)3KUzv6`gTi^Ddt2v@OI#6GktzPcjao@a zt>XvI=b@SpBB-5OSDqcxqd+b#f2BhqJh|}3Y=bk{@SsD;6@6slCriFDeY3vhPa`UH zj5&LJ=G^-9o=r#28_jbU8E^kD@WTACu&cw@M`aLp<~eT~$!N&chtCrcOr( zoLfaWD{A3s@1jSaz6P&n{g$Kx+<|dXP&?HE35UHci^Lhy(ynBHvTTI1u^9TEVm&7~ zo6@*`a)8?Hi0&|1z}0}*PxQ|>%oz2X?wdqA=532njSh8dCfq2-H~=UK{5JZc1~NL) zjo+Ny??QE*()ZdOq3@)VYBkU|yKE}Ry5#kao1)%)PX>?UM`w?p3wUa8B+)fTr(V~X z>^KJnN>Xn^J+jC(RgLi%R-B@e-Qn$GG8HZSq-ugYSL zU`hDEfj#*!)WK<}K4- zu1|sgipyqA9^VHM!VOJ9TcZ~0ALiePm277A_}Q14k_7?wSsA7}+VWkI$UfWyF9Ela zIU%f;X{YoT&eqwMsh0JYRZq;B*2ZzqahyHr0f}+@9qp&W)7i>Yn?}-AEheJ)NGUnd z-04sniq?c;Ns=pVOM$xF)GtfVZ`+^gJf8=RDWoU@t~7atKfnKlj~cYWP04+`t6g}( zT_i1O$vFu1%*pG#y9R2bKghmPY7v#dq%li95yFh4;CtxjYRRpw?z%nh>&>69>%}bL zMzemHVlD1ZH>r5+a#|iaK~Wss36phj$^Blp7)OZkFJ&w}F5OGxOOR35 zy11=9?CL{=0@B&#!a7LMpSqT$wjt4-BFl8b|c^)RXj- zZ85@E9l*ax17w_JR7r=wRYZ9B$j(bix8n+Jg5Pq`Y>@FT+Sb+1DP3ZWvYC%6eRpJs z8jJXd1$urUNtR9yXn|)f19Bnn;yp}cP+t->6MzKF`+lQcUtWwPy|jrfbcCDECA&oX z_o&@-X-s_@>BGV?Gs|BzAgEB{EPba|4=Ex3`oXrI<;Zqa|2_X>$PGqI!V}EFC)qEf z4}(sfpR5&>O_>%8xSKpl`OvPRdh0!0uLxq$?G4*^u&rVT9#`zp!wb;JxrH#ht zXE6FJ-)-*QZ=6@1irt(TwVsV%&Og7LyUKwdGVvL5gNR+-8_02>v*HmU-DM>Gd#bZM zf-+Fu>ex6PaE3Xk6~0^NXR=SX<5{XlvK2}!E0M--XijriTb9r(Lw>N)G1}>3Ux(aO zbS$sZ)YGCO6o1*)3N^=kjwUz3Vxie~r!o;{eLffJN!xCX>#GCD1Rq#s2+DOGn3aNPXow z-*f!OCQNOV49OtGi1suZlW060VZfGpY`2ecA55AW=509XnPO|CUs%2~^}hQdiBaa< z3WD=0zMbVo3~ci>x9&JwRQ&eFq_)w}4L%@Dk_NQLmo*nCQ7gilW=Q=x_DBpHyl@BNru@@M~Jxf5@jKn3H zH(z0_8Js0N}2OXaKAh+U#dM)R5~*D}IQX6pKSHz#GO(xWPD zt%P4~_Y_pWrCQuP>u#Wq;x>W1Lb;6I3SYML4!w!9uKIeyH+Xq#hgU@!Wu#L-Qc*jr z50BQ!!HLu!ua)MCYw)3*;;>SytsDD^{mR*HNQ@Uz83QzQLnBuI;-Px7SOvYt&Io-# zB1{_K^*w#zBm9)P?Y%OjJ1%}LvUU5BUr>`nQF`KIu^Iot;l~OE7cS*)v8o6j=P!LN zI;xt_;oz-U-`@Pl0>*P%4b~*Pq-N70Jv%p?0-Y;nWWHpd(@_F3E;oc)=1Dl)Kvd+u zetM;&@VDf(Z!#idLiC8PYp!2Li-rZttXv;8`pC6~ONSVvtTf_j-eEQPX-@&8DQPh2 zmSJGhsWRUWg#GrLE#P&SvBoy{;v8Y^0Xnq~hTf`;XlJNb-#bG^5guDf(I zLDKtt!LQM44CJJ}lsA=6gI-^jY{xKC2xLOb%Ac}c_Er$b=n!Hiu5hEsmvRhbIkG3g zg`s6a5TMxp)}nFzWZnGu7`q3ZbR%6f`dH#zt4jU6T4h?gJNv3GU9kcYl{NLCQ?8@z zSo(z?{B1uO$oEW)L3dO32&c2=>{x5hvnl|-uX#7dHNwrGG@i}P+1Ef6ZFf9WClNi^ zv2=1Fga#o`F&)`&5&;%kGcKY8$qlrMW&5 zSXNs&tg~#5QhsmdAayDI-Rc+@2cXVZEeg(#HTOyC(JmGvK8{uDHh+}ew|i*5_FEQ( z20@yV?vjg)>>BBTWAUY)4T?`ymDe(UP&evd5I)Nd*)jWdh1`fQ`S?R~Xw^=OYil(k zp0>k{a9|uXfXJJ|tCB<9860#5Z}SPSui{Sj9-BZ3USxT-tbXs8PF-1B?bVcH&2NNI zkw|+&l+fZBvS z?r#$9ODut$?PlDUESxsgy+=8n*p=}Ok|vnFa5#XwIcDfbAK{C@v#-mz$p}i?8Jz2F z+Or*G=scFM?tr}Z;&5ZweRjupJwAn+v&nhV=G30#pMTWMkt4efyb?0I!}fdv@D%@%zO zSEb;sita#xmNvquM|r48YKd|A;Y(yNd^kx$^!>OQ=ed&a>Ou8@T$ZrMTceXtK+`Ua z+aM0d*v_q!B3ZOEV6QT$)YbwdIwief1J8k{6+8k`T1U+nt%p& zONDYnTlpUtv|76HYk#UEIG95k*kdbP(+7~O?HkilMoSM018L7(o>$|pk0T%4fjm7m7arm9ecC(*Cc+1 zdizw_ZYX=*!Q7@tlN@V4gs^9jVb62Br~X#pabwv=?lZ;dB0$|y`9f+U+ey1pZ7K`C zZHS|Pk!dDjgSOARI3Yf$iQt3Yrry@ea7*>??Is_(66>?EqC0iVC|8RRID(F0PgKnjBOqRa zh}Dl@fa8DP2Je0eo%=bLw9_3u$(SLZ)!BBN0j!l;NRbtwu?>B<<4YtVz z1{zhr;efxOfup$*{^@f#6t&SJNU+a|hV1N_mIjrf*VEoe?grAEXFd3yXn)6iRdBDb zifAz1vGO2KxaO|bGX~!ulaa}eL(BNGlbY1W;s=DShh_G#X8x)T^XeuY0MGdI zyldZ{JervY0x;54SsPB3&eW7g=HF;JOJ;P+e+|Dcst#lhJzUymq1g z_$6eO+(Cep-?<@v1c8zl==ioB5zATq+_mI%m)RIzR#E;PQ`+2P_P#MBpK|Xo)wfOx ztv!ExyL=E66i#YB+s-8)Tew+XSo~tx<68CU*+MGh#mdW_7xXhSz4OI?S-DkJLtp$7`S8KR)J@uzzmXMd4PI zfBMEaLN6#Yu?lCo@6;2%br(&?38?R<$4lF%&-d2oIRn(L1~B7sf;!mj->Qw9Uj;_! z3Cf&xVK<8_EXGdcMGV7uw1)V@-Lq5U%@S=IJhx5kkK82x+ znaA!bA{ES2zWw^S0uBNI>4}T@5dTvU@p&u>NPN6u+hIdl?AmY%%=i{Tt50ug z`sZ14;drQe$W4M4cpUOO*z4&|&f(JwKrqt3xQOIY#%cms<8g@Y?C&{!QL%^C>^Za7 z0SKzv2YqEFfBl)#{;H1Ato^N{Bi=H|sBiZyJFRPMzPW{01!2g3&7Rg2y0VPH!P(j- z?Kl^B&`D4jD*sUqBb_CMg2RkS-e%Y^Z80GS_*FIdEld96lNX2C;l)w{J3p7Ih8|Ei z@>fr;(keyX?oiCB;E2OH^m36ZsTW)#g;gZLzFA+cJXeGp+EJ)&r3OKE*s(*?)|6FIJdO8@}ZhPvNk`W=A|m)-|@FgVc1w?(Zd3 z)f8lz*D4!sIs4_w1P$aO>+~wf+l#Sirs(2dbM4c zy6N)HxLLmpVHGnHU(uJ^o=vzicx8gkGrd%H@FZ2kqXO4XaADUr?TZSYn9@2tn2ZLK zl_M*65$$N;@nB$q&Z3&ksYCTyoE$?5xhdNUokf<>(p)6Y9elaKufVeV$U$Z;mJ}%~ z(f!M`YtErf#X6`G9M~yrV#0D0o*i&TCnr6t$<}hcoxX3kBB=0ojoof5Awid`6xZ&o zp5rX%AhJGm*H(y`{H(aaQZZ8Z?ff~uea{>M9Lqgh#YKVRh%&DV?I>Mg{uz74MV{jg z(MbK#PG7?BrnL6kxf9z>DCzYV&2VZTpm(s3ZFqB>qQs6G5ZhF}_Zf=;`wXLqaz5i-6cnwZ$&d|zPYar72;gMvY!*eHvz3A_6 z>G82mUg4HAvh1F1qV_ZDsT@9?DeVo@ySBwyO^?)^06XSYhM8U46gyFKQ!-OGFk09> zQ_#oh|0=^%1n!T?UEAiYrYTEWG1hR)AbZPew;HPpDuTncnx;4kxePjA4;KBOD zGe`fucJ&(Sd!X*Q2Drb+)LwJKOPTUv}bk7-a-@H)Q40b^pFucBgi6p zvuT1@F0*Nz@0sTCkUer-fARa}xUTd6K&%*f{3&_@8Ia?ORv=z>%oamjk=I-wE4nlfFoF-B?Q6*hd3u1=Opm9}&ch zWgrp411mg;>f5~eCJ@q5uuNy>Gl`24vE58t!H)z=eWi`p((VB>nI+R{28L8QmV*2M z3pEYl|N75HQ}!7zQ9Y(nMhd1xSsG@lxICcfR1xN65n7{S>vw?Ms(0S4exh%y!kwS@ z&&Pmszp|;z@CE@OXn+D~wHX!GP7fZ6lfjaUogvU4Klw@BN^g0(s6U9wVJ9wFbtg;h zBvMztuH)A&pNcid#nHWF`HKh5H-L`j0u=6<5PF4y=!u7+C={-ab0GEJtzC)=HvFH1 zrGO08R7U!bkWZV4j3)g!nU25RFAzzL`cW+yXUbbAYTw=>>}2NqTQs)LxZJ6nQRyaka@ z8o<1m?fN&O00Um)8#AGJELSvtW!InST@u?q<6Vm`yAdAd+a3^QE^CZmtBgBAptGBC zheO0p=I+rUBp|Taa3exriOB4&jwg7rsdIBOtA7a79jBMinRM7&-4qk?N_OT=QvZsZ zi_ABilKT-Bpg+WvfF!CM?9VxAi0d(f4=xyi3q8o-!q9}|8xfTEyF*h$1~Gx5F1^5J zQ^QUMBMv5Hv@xj+EcTp|;7bwM+_(`Xv|W=z2}`5sXIy;9 z4^rdIXtWD;>g0%jv6<%Zb+ObW2ACPs!i@B4yiXd+;|MUjE~cxN^QL;l-+aW}ltoQG z+z|7qb=ZIe;Rt~^uIt`iy2mKSWy_Lhpu7X%Y#o)3-FIb|@7xO;pnP-gB^RKFm@(Q& zl1h$3Yl2kdL0!f3{;>}0`I*-1Lr?ANg-SL&giWedggZ*y(hHbR4>rS~iVL^}mHRAhFXM@WDsA zMr<_9S*4EO_ae_k({_rkso*$>g<6Q@U;l)KVmricSzie@ZJY0 z%#Z^jI0g+l;jl7*k2N{z6&LjU1}rY*qV5kLd033=k3}Vrf}{{>w-RXFW?M^F6W4bWS^HvC?fnC{dg85ufduXE`||-Ohme ze0?MJL8j&P5T3-mj_>KtQ%F=yY2@dcfV$z>Cb`R0Fq&nk;| zAL|$H>N_QOg!yvtZf``G@jHzEEO;L6P4K)MkA&TCHk2KQoJl0Yf)vG$e1QB_E< zR2yYQ@PiR5_p!LpT)@HpEu&G+qQ}%9);;w7G5cM@aC*vjw!J?|&yDu9=>5bnTE+?epcb42DV}n(|^`d|Lu)3RI<2Fa!u{E zcUe5{;%>EOd-)uZ!^cm~q)*@Q&Zq5isYN3`C3Gk;4mvfL!P*a$+^*Ui#49Dcmjs-n z=(g;dzaxg+`$;l}+$C%?H3f%6a%R)Hsfov7PF9uQOPktTGrsFQ+c|UW&tv5X9Pmj^ zPl+W995|;uPurv0<)3Gqg@323Ev-6v1FV_$=cVL@6c%qh=2xgO5-PIu3%-1QcR6yN zV#Lhxt!&22ZuQv?-(HZeg0k>y^TLd(no;#>!*TU-Lz0Kr<_^nIr)QPi!MIxm zXHz1()kcxwZ>zfe?+&|mxM`je>0id={zzr`L6SF2V0-8cvB%T4T}w$}z$^z3srfIC z5=+DI9OSDWImy4~AHNhvJYg-)b_kjp zSRZ*~lT)1kI|e%7gCj5xli(^tsRm1e>&vwJaO1C?O~677bjgmkCS>ueDp&3CNBT@{ zL2hRL`A9atxz}O=+(=(NhPJ!CH(x}1w0M}5cY(~USwH=IZw>lx8F{SSQnnqxuWv}y z`wnX*qntN?(Wg8Q7kv|sXZ;Ir&zL(LZ=BM8wBozj5(ewQ@Hkz3looSpo!J=ER?h76 z2VO3coqwdb8q-b`7O#YTk1IY4%mt`oD@LCY-C*(TsCvJuxeX4rZ0nkfA>N^(4EbWl ziP0T!#fjM+pxOo`krNbj(}Qdzvaf4Ify?9vc&iMP2C#Pikv}(iRkK1iYT4%522lKa zfz7ic!7Nf`c*%$E3TQ$C5{~<@qR!&dv7^q8?J$6xnTp9PVK>>$TC-KL&RR1|j7&0^ z1~$nK4H)1h{2)5&P-o8#)G|cE;#JK;YG{9e&rL7JIILjY=iWr z{tUff#oLM(>3+g~&gaF|<2CiQ%EK~G$%?|tO~``4qwx(Yg1x8dLWhz9y`Ub--gD2` zNrB$v2a*N6!$R<-WhaHz0c9sw&sR+4m=mvL+6d_Yyr9QDKV*RdUnYP>J*5cYk=P+S zyBQX}Qm#36X7h>+z-S+<7#EQFn*wdm``=aAA`#L-`2Qt%L1n}x-t0Y?hzu>PVQdYh zRu%^s-7WK_4&AM+1wozqEql)xEx_Il1f0?kiI~mDqgP_q!q+f8r2UU+4Eft12Ut-Q zH^LZE6dTH&leq9Z#hA9M&~jokBH&8cJdCcD(BXNELWLBSl_MRMRSE-v$2&R;?;lrE zKk!X!TX031S5{O3LTVOMcY1cAp%-1GE8$ma_pduMKj2VW486EL3l(Maka|z9Wq@~p zasTv^qD|)b7Q8}BAdVwDuG=Mpo+A?Jw{#+W@f+JU{ICY2o#bkc=xIjygKMV?<+@Pk zGsR4W&>F=hJkDyLf*Ra>0m=)Z&OPqk4!lO}OK1Ebn&U(E%UcSOe;u4%9r%sZm%ojK zR!2fxIIAluZOJch2|RqU@9QGkB13DQ8xdoe8%K^2n%f}7Uzy`H!Np~-jPp|xwqw5l zUmd~xuEHSd@@lL=zqGRXe;;Ee6TAzPN6>S;PB*m*UhdLEgA-BDc)wXUz23&WlKy>x z8O7p-U&v|?sw4HDt-FmpL3Rt__^FiiRVSmzZ!CL9}XHQ5>dyfn#?!{Uj%J1>wZdOxWRydffl zzfeUa;gOXc+1IOLJ!jDm>9n`-eS{vjk7xX_9@mY53{!TLf8w5WtVk-4=P}l~WLhv` z;vsGEq*k4in9*eso1Qe+my(V&w}s9d;3~o>&GADO`(_6qJV~X3s6%cmi+a+55))>LOTpqBB91V`6rTHk*>R23zC@2ntE=D{t|{QB8z#%J>Am~Bo*pUAiiF?r%xPwGAXM+o4;hN+>vITh+aaL0}w$Lh2i9V=_1!k6ZR}I@5ItdULOPxQARr z-`H_GSt6hKyx1|KuhD-_<0lkrn_54u{Pm?#Mi}VunpiJv#$1iY{w`8h#3)4OuGTE1 z+^BCMuyKN^a(RM2_!TiOF;>KTTuh}O?=cEj`l5B*|0?q}9+giZ|0h3D7RAV>^dpfR zDB~?G=zF$z=x|$M{D-MeNCDCjAhKTTy7fo*VO;m}W6L8m9^6nxe z-r3O|;fI;gUnQ=7tXgZ5n7(x2?}$U)9tU6W3|_QJyly|}Jv|Q2Ck5Nh@!9$FSB^B^ z%+EFoj~&z`OeNmzZ0U?Gn+@rVO-02u-JE^gsHgEfq8sELD?m_zt*+K2U9Nh96@RY| zJ&As2UWxPK`yLhEl&vRptMVV?e7M zMbO5W$sce^7`I6ep8nA96V~|Nwrjk*@PLv7?fEfaeXFifpE};cDr}`hmsU#{GH~s* z+x7B#sh3DlJJi&b(n8Ncgj%Fx(ws2UfZV`x4oiOh5!}cveF@GRS z^V|C4*9wiM6IBb!*8?*V9-i`D*|?cQKcqX8O{JpcpZj=GZYG%pk+#+74LHjv}DdPe4CtIHb?5N#< z-&j$*QNOWEvP^x8)hF&W3nto`_F_l<@&VqyycWM)8)>%2J=bkV9ohouEdI#9!xecW zb%AMNG?GA((RX7CgD@TlKMz*&X``#<#hQD|%bss%U?V#faOUpfF!8C#Hw><8yzx=t zbKf-SWZ-1EYzr=ff0Otg?2bafBAM=k0o_Y8TPxgzW^yCT@Ycoy%&6AO77X}@ZL70{ zH5-M^N#s*9`eSrEPG*4Kdv@U;D#fY-sDt-fH}y5ZrE9)eC0DCHo%K|T0@3yI06JLX zZom_%#u){%t#SKNI(%&1#r(8}k~`Ku>6TI~MU1~INNpXB1FvxpRiy=BTKrk|qZR2N zlq|;ek6prKIZjz7r9Y->!=?WYW{#=1f2St!x%Mq)HA(lhYQ2@lNjECga%aIVnRb}` zCY&5d0P*bKD4k7b@kN|JbzlYAqrAl}WStdV@QpXxu>dZ!5%s`1;ms${m@)D)*&wEv z*6%Wr0e!b3Tu-N5E=WWfT;{@ zp7R&%QuHzBY9V4bb5CN{=!-QQmSk2hTo>38X&Ti0^@;2KUtr1jqgCT4)ZFYGqm-}5 zz_2l#q~W(+)4vV-1;4i>3w}1$jIN))EZjB)ybLVVMh5JV0_%z!j@U>3j13E+8ZU1i z!N^t#P;ZiLTvl8u-$K$4c+S>NXlXw|e;+}cp zZ(QMJoc&$te#{DbZKJuwI&EBNSV9A#oggv?*0i(o8ZO3D)>~-0_c(yUF^Qe<`eK=s zHbD0C)P{eI4fej-QX$%+)$WBnZXdS%=RXb@w>ydYJC*d$|7{N5$^QO6L|ydECyd8U zz}vNDJb>8eQEG#@guijQQ(K17AoGtY_Zxt;^3>q*j^^2S;4Vn8L2AQPbKYl-rY3>7 z)pLE#C9JlkW>mWTtS-=p_Q&VNW^Qfb)r!;QjIQRBYkT}{#R}p(3Ku|)rJRnxLuMv^ zl(+gfS*`5_RTuB{frrw)ts&YObZ|E%fh}=HsSxD>Arj!OLY%?)8Bl-I^+$Vutc+H< zG`~vPFL)A9v|gP6i`%G@*S{SXj@?QZij3nJWO6GUro94|XiK@ze$v9nqq1!kYCMQ% z?6&vjfN?Hym?jR$8G-&A{$!faYFgB9TsAmAq9NccP(t&xynkLjU#%gvX zm8-T+$LDciH%_>#j4Xo@X#+DvSi6)m}`{_QbMw#r^whE8G z!2#}n7497y-vVq~MqE500ffNq0K)9nz0rAZD4>%%Bn=SY(vrdX;snx{TK5LM)v-Ko zH9G;a?5Pb$z3u|Qk~(SI(yyfy)juW);M~vs#(EaZwP4NT?|C0I4g2@rXf7>H8iyIQ z^1Ib50XJKyCHw=`8`uTJnroXg1LVw78%zV*#v~XVBSm}NovNmCmV;piO6OmhUdtN8 z|M$Pe&gu4olGUj+Xe4S{%NMin55JpsLpX%40o&Y6)+;qR>0VswpCI_3pq97#e~0C(9WkXV@R!C%t=|i=U~58p7Au zz>RsfS11HAImP}#H9AIq$%#4Pf<1tJjp<8daf-b^7^5C&Z>?DRF7&d1?Qk8VJ)AxFump?>dc%GS5fTu}kLh;xQ&% z!t2yB&PQ3XSnfjQh(xY2L%;wTJ3vN}1Tophrw!M-13vrvY(@7IP+Qoi0=5`D4)AqY z%<;=R3`E9tSUJ#?#z71uoBM(KO<3pvAZ4?d_&O}P3(0oKhL`XzRJ(7~KWu9SIYK;I z>S+tF-sRcA%^TH53r@V5Sw6|r2>)(nHY>2o@!cwK^1D^hKa?fR;gudEZSYVxM+mb8 zNxbI=PWdQRd6TYmG4c$1^{goMJ@szxWN0@koE3~K*A()qIC5s(#=*DMu`{qjAawNAvlv;2P!6v*daKwpE!}?N%ndN`lIErttwVVi5c?97f6VA zJ4GTR%iOunU}hcU2UoZr-OXv_^#u z0&@^1)ca#oG|=@~mmP6#!EZPF2~|6rC{#QS<|(W1c!?6xbzq~=`@_yfHcKg3JkBZs zs|(ch?{t03ju^qz;dfUGJr1SDjMV#6U6S4N6nJg)y!xULZGwl2qGn2C)Uto1>+nFM z_a_VW#gf;D4djC^^QnFDLT|U9M%RItR__lI7jgcExV--6m2C#%r2UP|@_v_LyW`i6 znpuAQ7k`vXzgYclO@{J%@s&z{Rrc><_@o5-)KbXU8Ow~0r$>@FF;jcX@L zR9^2!4BFd#50z^K3Nmm&pI#N!N*C&>p;8s}zDl1cbNA(Wu_LtpghK7y%UIplZP`Ft zMlek@3GEb_RxD80=p1Q_117ycJK*B+eu@DG0QceNQqDzhmvZlCzryovkA?ES<+GFS zR+fkrmy4XVF#L18NJU0DqDZH^WkOQsZ58DiMJ)zMpTY-yy5J;}UN%%i!9VE{nu+gFO_2q)@XyL-v4X#GG-eL;Nq z^#HpvshF5Qp!J*`{1P3Kg%DRppHH#duIk@V#@Wk4JdTGZ*+v~{&5J6D2HbiNNom)u zvD*r%y7-OY;=s!!;tOU2u2dkvU?NGw~z|A?s3^1mm<80ajNCi63Ho* z;Y97Su}=;PL!vO{g>t+pmfUo|rdUR;mrkXDP!^k_=0RPuhGJBvbJ4o4x zzJp!Mo}F{Vq~|$8O#+&%O;nR$z zO@WP{%{D(W?}_e)YyMpt(}#S#zuw6tH{(d`e)ij2UWxK#uJhsd{wA8?h}3hssg^L)2TvIdJ0_-Y>@EsWY4Vpm47bc@}Hdw_YrK-dDm4c?%B`U#Cjd1fsN;@KU?pl7F~mkflb@Eiz6 z*wW_m6FamlcTBzv1TiA@1dOUjKc-Z1o32>j3Q^Eb{KpUd21N;4wa7*pGL>C-o$UP{5bpxj$Ne$r1l z!e6yNc!|GZ`Kf*a5IYD=WuAI-G2Awv`RBW2?%hKKUpv%0Vl91N1u<9J zQ|`k}D2-L0?&i*7*~FvWQu}BvJYxVWza>)dLBZc88PZ|B1{H=yb6bk*HRl=F=F@v+ zLMyx2l(#ND7k=i(-`>1z`&Ysx@d$O2Bq(8h!^^AZRu&7rxEt7w5`8O(_)O;cyMb^= z8X>Ct({J3d!cu?#nLONPJ zMxE5^BzPD*VJ{?YvRLkE_1F4$;*%@k5!q}A9k1@x>tUU(Jo~KRAd^S@<%S7@L7nDlF6mEbXzx4y-bbA%EdQ!0shn&np!jIK{$UQD{%ZG=;U z?rP=Fv(0@~27DW0w}rGe*vz1$ntHyL(BQ|fWjr&o%T{xreDil0q0K7ue^wa4IWZ;B zNDD;#7X`81i(A1puX#WU^qP9|+?vdX-rnqpygOV3D^7{-3g(JZMTc17`U@UC-}kXl zZD(Cazo67@IxQcf^0hP?sm;yAzn0V(BHn{oC>>|GQG-6H#$d>Qe~%(Qd8_^ zTT9VI9TsU5g$^v|yvua5Q%sIUkZ=t>-(wKMNOBhgK3iK8yUozOejpC(p6i<&x?-^` zy5`iJENcM#pg3xsTrzSvQ52?N{pnxAw|#O^6zzf~QO=G{(fW&=vLEi$5VGq zrkR|IAcLBEAyKi6ZT8UN99JSHu(&QZwS?5L3TZ~B?g^WCB&k7m*}WsR#6@vzwz(@* zDA%5dNkbBbY>my%$qpF)b=Wpv{Bw*0&RR6bLk8Qn;Wb8Y@l?oltDN!X9Iudy!onv{ z;OTDpQ&G184)5A5`J+$!LZTSa3WrJ+(T0=6<*O+@yjoG&fx_iyf@j>+Q|8u15bdn8 zCejl5H8x3D+Y1f7kngeC`PLxS(*%CMTOKwM%a8PqM)Sbp>E zgpEzCmzRI zAXu(6`LR{hy}P>riVe0|1eEt&L6IFlGou%IDjG&rNNF?6mg2bV7!LfKRDrlWp>k%J zUA9y~gICcq`e-_2TxD3nwFt7M#y+_E9PT(~1)sgO=5b8>^VOL(PZAcMkx)J?7A2A1 zW$IeAo^ZCKV52cS>$(a82EwAK*?}!b)1{REn~4PxQzoj1ep-Awsbeb5DEWMm!SUVa z*zJi{Gh>>-p-W zd?k_S7=9$#A=IxN#i%Lfad^#8egTZxE1;H+P$8*-N0LK1Wlj7%6$gOk@M@H+ZrutQ z+hJ==uJ}+-0p<}fuUY=@XI9sB15kdWsrNueEwTNzPmwe_yDOk?nk1pzA85=*LOH{~ zAx(u-JLFQZMQ?82oUefi zdNXN8`)2UjPPIf`r?lZYS!#)A{b@$05&Ttl#p`yNWrg{_0wi}iOC~*ub!&p)6S6Jh z3Y8yq??g=5q2)`GK&N_D#a_4bSKZ{rZXbxjurq?TX%0=@h<1PxZx+rfEBwf)@dVKo zGDCkZ0ciJx3TXr201SZan96XqC=5vlG(;87|6ZY_*3}n&ckPzhwSJw|6)>n_*}b8m zCoBTH1C)6|63$=bt6_Q4qB*%KL@n_~I1zV}yQKEVAdh2xzsj(%1Z>eR0k!Eipxj;) zn=S6BIQtXO!p!3pH%S=h*YB-XMR++Hs9y&Xk!Sdsp0sZzU|x_cl0<)ZKvSEhAlu!L zZTHl@lLV@NA@)Vh5CyVjN!ZEnoU$-cyN|Dh^F&~6g(bQXA4RKRBPL&WPbFcCyqZDE zoDw2N|8C)cmCbO$KG*Re%}R3pA&&OD##qR+Q$V*lIVCyR^7w=Q#>o!Aox74KS`=rAU^L)N9%6v#ZvZxyW6Rb(q08x7 zHxq$jb53dA>^slGV*6~nOYUsH^GIcuHT~4kyMR$o?btzuL_A{>%KsSxYUw?M__va+ zOFY5Kt1huVV`^7~w{cg|6yj8LGIv|@oy%Bmt5JAx)slMouniWMou7UjY*in| zsHwL5dcUyVxtwjEWQxtMsM(LWHaS~8IKxiHS>rgl5aKsg0~}xId@0`pI^VCmCV6{9 z*X3n-;Ya0rS~&l)a84KAJm6?+%AIAbXK!zK0N+eST+Y6vDp(w_sA)6kA{O~}A~@HX zf5_4oh>iyEIgVE8*J@`zfTI%NaU^roq1A2sm1acdroOLiYLy~>5P3S4tTD%vwJn&P zVQ(;LoVUwG2B~Q2R3U|M1&L3N(od@|yZq8Y0!G{LOJ$f;47IKe^zQgaCCP86LQ&5A z`=YS6K#lT$LH}bS38f){gt`@YzwdVFvY&`GA@`0fv3jSGzUHI7jtC9OkuU z&&}kM#4qvi6#nV~6R?qS6;f7BbDm#ozLESA0eFu}3s0zv@zOH1l-X__>^pcD3sbZ& zdoi!y)E#~oTwg2??p7{GO-olA7jqsUiUdHvPcqs~IXdBle|@{# zj&LD;&?KEt?cJ+9lpyr7rIp2*_u75^?H@|K945aF7|^c z-D!+}*5YMy2~@i{;}l(GR6P|W<-%3^TD_rC^0Su&$%n~|xkR#;U}br8a<|8CGoeq5 z^%y5XGn-*43)An6uNv$?LAxa+ULHd5NG`Ra$C?CVXaQ3dqYKaJ`)h+ zqQ|;7b^Q>Q9g%=^J3c!LZdzy6K4`P`&UIT%H>#C178y72KW4L?7o5B0pZ%M2(o?)mMr<+^JtpQp$M;!ss)}fnUPqY zC>lA;aI!Ki3iImwa}+qrTpHS~1b^jL70bu~KMAIuns)^myJm~->TRSVj%0qqB?F(z5$6^{i&IP6g|1>I!02P?`UL3=L03#{QL!j7mxEYBLx4 zT&-}HG|rZmMO3!>!JW-X^3utG&WT)NdGH$|rjo$v*X=dgU3gpV){=I2x{E`Tubabj zP>fgCzq86*o9A^)3xan z#mAfA`j#k1q|e$ji8W)~2nSQJxg5#>pbi{@CSKf15bzKh*s9+vxS+5MT0 z^eySdMbAqh-BIB_>8X|;OY0cM^6^YSNZ5vuqaT6UZh2zhgq$B}(NDTI@meWNI2N|) zO1;$lKooW&QO4_N>LF^Wh+bZX?rOUu{t*UO&v^zLBUBZdKn#n05kR=bVTZ^>uv zwsM|f&1OYJa)t#bsXrl_-5@;a!??lv$wa&xA2DXi?_rR@!sf-k>zpLTI-4QAgkxdO zBPc~UYbd?;#$tnlmhxPGWbl#2hNt|c5v6&2-L;3jpBm+chkVyaX=`%+BM(f=H8xcf zHTp%>N5BIRp|v$$W-Ie5CJPDM3AJt_+6&H)GTeXRcDBEyU(BLhMhAiM&Rs_4ke%(_ z+yTt9C}vOG+5;+DigShaCdSzd88eJ38B1?A&H9z&YRu`BrYhX&IJh}}m4xMffQ5@R zZWs8k-*2pzT=*AOr4+6 zlY}?y)-9oL*Th;$tEfvy_0NA5iI*->&rUJdNY%1=YzK$lWj0axkd{8hnEl4=`M5U! zu;F>ONEp|(u zG8p$%SW$s>DnCWNSe-f-6jTDH^L)>j20c-f*iXY zS%Y{M9e|O|l zpdID*JAzYMNSpB*y{@;-6OQ^Eq6JcWU&x)AVqjTKTiCf?m3y%6Y09RD=A|TRvl@+N zL~SC`=#t{i!LTiK@#atS6YQ|_jLjp>UFHSZSj>c!3|>*4BHkyfgI~}FwyF&Qk%N4u z-Lwtm5d0ht`4tJAaFwdI5zZYU zSAYg1C_ekgt%BH<4s6rFK5>EcD5g(nGsqUGwDAM%c#KQc}Y%@%(-BiT!j0PlOn*WDt(z()-#LL-)HsJhNPjzX{FayG2wk=~fb8Ww5$uj26; zQ0k*rMNk6YgkCOvMI~NwsBfaG87h9T6U`1BmzH6n$G>G(5UFiGf%JdN%mj}$Zf|~W z$t)C$RqwJY8Q?1X`p8aNh3YFe;~>}73o0*q?w@K_VC34|^C4@4wZ|`qHfp>>^aXXe z-z$^W>7dlhe)BaIQ^f1V5|~y&aqP^jVxe?q$vwJ|{+H=~uTaFxkE|Gea&N%Vl=skk z!5$>Yw2_f=@Jhg+mGVQm_iB~0{0N`F2BiQ!_XVXDH*!r%smjV=?YnB#dd*tCG7iX8 z+K)oJ%$qP+7e!2GiRRZg^J}JX((@+-c8(;jvA3#*(j_(Z$m*LZF1QfV$i2Bl`<&K&nIYZNIx=q_7`6hlv23T zdlgs3S$C@|Hg>jZocojp0S0Tw3sEKZCb+(euTDsjW2)0c5tDPH^%3C0d2SFl{?pkW zGD!bwf}bWgj++$v?LBnFt%s>1v=JA~p_Reh9PUSmb73m{()S<7RQ_45bP=#NiX{^iA4l#u&4N zaTlyHV-)cVi=qzzeLK*b4ODmZ!>f>}&6;s;?@&O@TdM+~$XLJml`8dTD4n_$S`y+A zsX=0uM*E}3Lf@?FUF^Jma|9V|%c@O%p%9=dQ`wPPHD<8NM3c-optZo1{%cgeqEI@K z9(ROY)o5&sex3(|$^!COW3!DpW5F->h8`s+-39mO@s5BJSbP#mvZGduTjJ^b! zn%q#P0(IV@@drAMyu9X+MxaFPiR&ZJw*n==2>bT}`YlnNIl^~^Pb*H(ZP;d2Vx_t% zct$hg+UtCV7?nv#nKuzN^oTMy7S-;JBsLc{@8$LmBO;;uZpDsVV|sN75@EiJQw6d6 zUDCueaA$nCij5;?YqBZ_2``+-Me9qhY#9hzr{y-rz0i|fY15al7>}Gcs57a1FKl#_ zX@$G-9qoY-xa_47(6eZKLnXisvZ1<1^nf7!bt$ig%ZRl#IV{qcrDA#MhkxT=7Y}eP ze2p#TGFplVZj6;kNbxc~ss~{OUB-O_rMiCv$h85T)dhz3E3fVvg?yR7vx>*q& znjhto#9wX-C8TJJjOiEoQ2XHVG>by>zNq-d8fnfV5Su^j8(HnOV+UdhbNyn?ZV~dZ z*rkM&6P~eX*fBHrwHWksipsOztkHWA*rX;NGgsia35-{v(S>Me)USL0!Fv@DCIEJY z^95zcKL;_n{}aTktU0t1ay8^z@QG(nNI7uuh>jzcnzt$gyjgm$2*S>}DNar%wf;B% zKDws<3=~yaGpkAMTNzQS$-fWFt-Img*Y~Ka=G%v7^|a?7(xXVJMU`x@TO_QCi4qd| zMdM(99;lG|7S3F;q9D`VsF*sC;jALXUp+~8E0u*B9p)_x@-k-&H{}GwDt8=Z4V^9Y zY>CwictBdMpL5}!>h04m#!p_LZjQr>maE3=%+msfFGEt z==6(Ise*?c1w{Kr!2)ztstm)9WMgIv04Mz#vW22LVn&{ZM)>8y0v1(T3tp{`5grv0 zC3sPC=xw2YP#&dR$I)^0LSpkqBk~WZg~g#Y!?BtP<6mQ}#F3}lxqgwbfLblU01lr5 zANP1g*_=4W?91BmDl$x~yZvK0VUj{5-*|4<~@S!yz z;q$uQn~K(mcLn1t1gFXnhd|??;&JXLyi&kNtNpxREpWhIo9za~e@+#|h&f)sHBU z-k+5;U|VRl+)NOx$sqK^#VQ*Zg00)B95Qb_IuQLga6Tc9(G%CsGVsqvpx=U z;Uh17N`g_(M>N*BWx53;CpcrNinn55eJ}nuDw~hlmJ-ARn&)-8&rz)*1cNHyP z_^OwWMfg!A18M@yu_8agZg>;{)Q}fwY&u7t?iN)u1VQ&38DGi`dducZ)oTe6MKI}w~}oNB#fVt)T#bsxDeD?1@cNCp_*t5|IULsfi`NXU`uOy%K8}eUl^fqkBOw>Z*_lm&oIa)$W^n3Q@@RwJ z!my&RXm%j(<~+zSVt>76iMAl{(n)1g4zyUUxU9eBiha!*LQ1$;IUY>2dBZH-z_N)C znC~;dLh8JZD3PbR)KgumgyZr+tl^AXpZ-aFKqv1G8QZzl3*Nl^n7~>Nl2( z9Vi!eZUq4N1}GifoGKg8HS(@a@$CuY$d00X0NYNfgxo{vf_pI_{pMlxzxYgvXdA?! zzH5<{R9$a%JeZKDShe&$gkv^tqOa<@7AZYitRjC#_ELZ;+vWuKEz-a|F@+Tbjopu< z0Mvr$`2?uI5-KjVG^5wuR#jLuzD35+8xy*Kj0?S?K%42~C>_AJ(CPVydd-c8AP4|P zS<1(I5pMyf-nVzgc^__hW77e{?Wsef1KjY)!lwsooWjsB%o1&E{a?SRopk-RCOe|_!U|}aFddl#! zgL3c@k>N8mC4%CXE$)Rr&#IV?pdoHVhtd&%^XNj*9%nR9FMEi3iClMjpa{E51a+mJ zN#iJWB@K~VH-`@2LKgsKxIYY_Uqbqua{Qn)nD15(dPXY&AbMXBSN(9sP26@Eo2(d>L8@2^Diq?-buRPj((%gIlK-jxGW&IR*& zpVu*h^?N@Nd$&OCpN_JCzzcTqGh85iRpPMr&+T1znSRPe^ud^kdOWMyFL&Qe8k3!B zA`v$~V{z)BM2viK@KjkcFV<08i>n_V^F)s?H*)R@0x1QW1s_%f7F^yuz>H8L7 z>+=L+v?0c7>2wTjtVKrW^-PrT%e@bH`LzC=!31SDz zf7%yt%D7A8ZSq0-rLyU(kAt3#iUL6*N#8%JTU=D@AA^}w?_Dm1OdSom2=txfe>nYl zb!Ml%;%0aSfxDgn&#~cgnE+2YN2g_V<`Cai@&Dm;?^OmZja7}594S!*>=-6cQXa7~ zuB`zmTF6t;@G*DB(X8B;$J)HM{3GI_BkMJogQ@>bx?BnWxwso$W;KGZmLA5uBWxSN(B>y0q!*o=9$RHYJkh-&49d$RAhq@{(u+w zrFEqIRjkW}9S8EU!U-v_rIBlU235&r&SH&78UetZ_N{0(vP|mZr+~@7JEaKpb5k!h zfSGv(^WLN_5XYBhieGKfIO#Qizyju<>YGLga4v5A+grr#N}L@%cj}2bua&(iQ^2P#Bp1hMPF+0b%6}l&<|(FAoCD z92!3iG{qeDFLKbW4uB>tiq85#a}r_4T|#F600QQo zi+hSUFZD(w2=;#SDO9lIi9io@V!}WoxeM{e45$Xlh(SJui^r~TkE6U8`X0Wfbh>b0 z65(c8br>2!-^JIa{&-)fiNl)@d1@6(Ujo!h3jsDGrt}4RG1-j7aEmGch!@&?F@bu` zhjc>wKwkyqtJn~uHL+HKxeA{!q|DhHS5_AQmI^(5H1zM*d+iYv3($Dcp)C37__~Tv z_iEuj{t;kpg(xN(s|Opz-pcd1uM&$&_BkqEN~k9swD?Yuw*okRiHhGK>Ujgid|>j) znodDp?tPRLoyh@{IeY9~0i|22?|lM(tWd;WQt3_j3A27z9{Avg!@*S`W1hta6WP7J zz6^uhkYUY^wk_Wfr|IM79kJSz=O7n|DQw;Rn1KOxyX*oe0F}a zwRZ)11N_;l7}!2sx-Er>)2(+&bzF_ex&3*#+sdgnx~*5O46#2#y4}W|6p{yTre1fD z^y8oR~y~6wm;QoEvcAECG7uMJd+m7*SR*6)(^vjPiB0{2d7@W z;G}ktVzI+q$JEs_#Zw(?1OnlMKtQuM(h9Y=7qqsxRa zv-E>i5{@91WgbpdiFsEL;Vb0_Mq(}tp*u0!iW-||%WDKvFZwrU8mc~co{D;Vw&!c@z62;c1d;YXuhOpueE@1KyyY;ERqZl)OQ) z`A;I&N|d&R#D}R--nzJ-68&F%e%dUCUcec&1|{HWJpg z|0V|r+;D3>uEEV_x)+xgbbb5;E58>rHq_xU?Im-P8cszjIEb}{3#(UUg?P5KJ^Rk2 zSxP9M_vD>w!9uoM^tQS*h_-e*h~Xy}XR;H0Sj|dfG3E~2&S;HQv`Xg_3gRGA-X5&) zzs-NXj16VMobQsVeGv@4>5rqdCs@nP@^Z68_J~3%-)h7xZcoga-_Rip;1R>+!?eR) z8Qtl6WfsnZ)|GFR4B(hf+@I@^Ho>oZw9a~Wb8N8KOq7fqi7V>Zzconcg=bBV|2!hH6D^yLHXJojI_bRxNT4`esowmtKil$RHclRe)J!3CdeRvtnJ zt@b1#51e2%oFbJKDG!LC#p0#0v;b`_%RSEsA>HSgcT9UMVHb&>J9iBp&4hO8JKy(X zH;p&f2v(EZ6GxH?a_Std2M$(>3UZ>{v^wI!Sn5`E;|GOK)b35(+|jpBKdV80etz%c zeUDMfb>zAyc^lH@e|EM@N8irg+#X(;GM8KWtVc8KVmC_bfdM<)T}_7RfaKJCbbgg) zJ~-~4AQ&g^uW9N%{T)u6OYykxm~w&ih}&i$JzwbG;Dq)MW?0fo4O`lyhD6b)rJKT` zf1N+v3*Zs1NN;a;6qaHnrHWpQlhAe^9jk;Sx#~(6vlX)w z2F=Wilq*$q3t%qS`b3;AAHC|7s`|k`^|vY1P3^7HHJkn3aZopyYMlH?rJ%!-ns7__ zsOt)H9#3Kp#Z$f(sDJC+)pGJs)Fjp(ZjiDB<+wRAHPmf(ROwnYk1)Xa z4^ruxsr8Zc*TOV{a&B3IAqT#%O4s?Dg8!=TJ5=c~my@@nPs#oeUPh_N7v~ow0>WdI z0_Z;A?AKp~{dgcFzkEAWqRrkG9gQ`GY7;8%_zC}^CSfaCEn+r0(bslfnkl%#1h4*o zbX{X`Cczesv$36gv2EM7y|Ha?Y}>ZEv9qyl+xE-*`F^~q>YD1BJKbky`p(?$=|1O~ zQIJ7iDkuEJ43kn}TLb75wB*;zF!r;bVTr$8)!a8yBe=H_)ti$H>P=FV|4l3_lO3iUFdl(gV=o*r{vJ4mSF}?!yC>t&>F=A zB1@d{9~-pczxpVXgJElwQOFeX$nQ_kiC|0YIV;WzY1C+So0nw_q3qkPtsz{L7tTF# zN<7#DP79j5RFR?!qPrP+7R*Vk3#z*Y6>@=SWtsgh&rWD&8Dz`n4d& zlo5hmu70E#kS~}vlnop65Qeu!&x-3*fig9!^0%PHH|g(1g#+FwtTD=1VT=RbsIuf9 z3$ac?9+f$ABhm?J6#Rn6|CCIwsLTTe3tyQj#qc_^C>SOx=+nX}aS-@Nb4Rjh?6v{1 zfvQRiqskRMZDGch=0me<&=eR#w<R)8h-Aw~x1JsmY zL6>e!oBDm~-vwf=rbC;}rQ=R2ab!-3uxRhvuRa&I?%UqwMm7*VtTe{*<&p8GMFinbTD;*?o_dzk*pZ}y?=zg zRyLEnjLYqjD7RJo1G7t-y?`@EOdE|$;)ElimGU8O=Ju^lcQ=`Y%d%>d z8#P4I8K*tNl?oOE+=BH|$(@do*mc`Bcng_%;;*5I7pj=ViTnO~MDMNtS z{-i|@T{MYW+O)@_vw-2RT28u1c&ez~)i$?K)Zu$;oqJrhwEjj1cxMqqn(1Nb3B_O! zWcfjhcgA2kWG+DbKJ+E2v-KlXFdH)0(}-m7RDpd>zB2SQ$u91-MJZZ)I4Z_TRKIli zZxm5hXEnpRBEMT#54rq~Ahvd^)6RYZC_MTT3{+3aQ_J?Unb1w9duPdANlQGWIt}^DRe4Kk%PYr|OZ=ufbO@$K*hI|QjG%?AA z;q^z_;FfYD0|bYYmVV-Jr+uB17$NyB7zbMib;E{19h4Z+_ELtVW!!6zXEd>&oBqM0 zkal(}Zi>G2cA8HI5!}g};8k?~i%{R@Wu!e1@lJGrf7YZc3*oe!?hvd~w=L?+tlbgm z#M9jF6}%G;WPVv48t1@Uq#6%*-zGM7gbf~je8tl8 z+@QP{zJmj^W1Vw_Y)9G%Rmls|E2wjEyRVNgw)*zMr6i1ti-StRwiURrGcgcUXbXFO zwU2Ns-obHus1F27yQl^p{kBTd*s44;@DLtJ{61z;^SB zg?|2l#2{NGC?WVKJ0+>EWlDL1@U~DYDLR;Q29WQs_EFT6gz!f{Eg}%krB2Bpw7N11 zZvYoJ=^hEr&0e=Rb?GEdjAMnmu`5K3OM}oTl4Y!qDgcjdgxNL9wJv@cuXNT3mnObm z6#Lu&YdWL9DPx#ZgZRg6t53`D`=Wufua6Aau2N_~-nmY+H#H?Gj*N4Kaz|R3rf3v? z3F>9;MTBLj&wf*H38gQ^uT`*f!%3&A2K zuo|ShNiCRVz0VL>e=U+IV}GYA^=X%}Ry_HL%lxubkxO`bo(EE%Qx|E{&7MCwLM9Rh zw^OG0uA~(PZ$qy5BKwahJfKKv$tephqU+UOAH4s>d zOTGgSHfd(~3gpsZMqWz1X}OP}=Ps!Du;aSb@^;_za~q+*9seM6d6o|{(4(#;=7}3K zfeYP(866VWS+3a8R0o0UBu)w~szw;Ty;#WuMKTo6S-#jYgljJkQe1Uj5mHyF{GZ4R zBP8!9y;`T&d)|1{NX)ro^x>V0vrwufO=@LfB3J7{s8nDnZq)C-EWpP+{BQ#;#`#H9 z&68%0g1DIr*(ke?viLD>)UjaoZD*h)Pnm4zjsX#j*cvAW-h@$~w}yBsZj@o4MC`o@ zB(u9h$9qKJX%$W(S9=8vftsOQ;4-d^37VywzFS?IYT7ci9S7#*A4M0uO=pHk$j7z~ zM}|yRMe5FK7<4s5J?hSV0}dE5&yOoEjov>Vct+2-Q3iby@(b_qM-2vY;)9@&`|b+Q zZ~1{xJZ0SX|N2;#qPYeE+#3I=CeFKg92gS7ov^*n447b!T;h107?L1eN^`j}hyTO_ z(lFqUZt~#QQ-8c9ujMv5Fue+=xkI}{V~A?vbg?KplJbkZ0iPPwrd-HIH%cLQ%(t`L zkLA^t8-rNYg!<4$PU2EG3f&*c#hy_NszzW*NgM+&tE8n}pQJo7Qt?lS)57YI2CzmR z9o;ZYFOQ20qns!j{oL=ma{fVqO&uB3GCCzU43q_WGk)B_>uxj1%FxIg^+x>9p>3?? zXJ;gz&WH059)ai9)X1+hBT}^DZDl(Q9~E$1MSP4;DnEQBeGDIJKYig(9oX^@pZK>9 zOqJJn9yoi(yu0T`mYJcQv26_VxX6Iyp4#F*x{!)HgQ+3-B0@Skm1IbnQ+ymy)3Qiu z)kDHu1wuF{hx!WdsIlfWJ~%fAS)dS>{vneePt5<<6Vi8MoQ5OC7rsixZ2bvlI1Ae?lWZBG|4qMdZQZK(Lz6igS( zxZXqCwhlmVGvAInbZnXt)y^58C&IXNrz;quTf5PTPdTAYKejY4kCfw4mmSw}wtk9k zAYYbki3ZqE?HVJFZ5fYmaaVZBn{+o*a{RrgmOOG4Sec9}{&8_k0hc zEn4&xM%hQIo_#lOyemv1v%e?JRYyQAe2PTEoPifK7ZY7J-I3&bcULZM#Q zc}SsXfuEjr#TX!U|6rFg51rb48C8?!)^$#q0K5a6q(&!}we7$eu7wpI0EWkPD(;WjozBiXyw{M8i6iOhY`dvFp(N07N9HRh&l6_!%c z$fbJd;bm#dFO)r>PBOi2wKmMfRXg8CHeuJFa|F?o$Lx{sY4s(Vt$ceH{i^o)BP7VS z`--p!*G}KTF}VI)*Qmo&v~-FGU!Gt<-^HOt)8s0rYPVQEbJ>|IO;-C%7Hlo}rhRHc zWA3ao--$OR3f4o}OjlF0v})e=FGp%SV>+i*byxL$Ee*Fb+bBcC^~qb(vu)=V=2uN5 z3J<;+X-H2gwIyGIHI>25dwb2%BKyZ?a~$9VIs7p)`v$?~D}#%zB{syn=()k#5=ir+ zUan3VEu*hRmVcI#n}5Z@(v<5_Mg65bo5{ft@;zNHOxcE^sCoBqr0wchaFch$nxOmc z9s|EA+bZ*mG{&)})8&VIG0KIC(RTE#%o`bc6T4Q7YD71UB~*+ z0BMfh%e)t%%cFhj@#UG_quc#W*zrE{_{}EohBc8PMXZyTa9L>R@hNw3HWx2%=N_*) zo4l7`RcfeN!sJZG`uc#NUaKrG+}6l|Lq5B;{o|v3pt_ydNX7N>E?+I5oDJOe_JAUx z9i)?gs1XnT^6#HeWEW(5gd8da|6o_H@vf(0RzxXvgA(V$&{~baz)lt?fDmvb1$N;h zLCml)(7!-B{jgMXIKcCeRMEn^Y>yC03R1kjgcNVA?fm4UY$GpK^_sU*p4QZ;1(fG~Tl zXgml!1%yb6t;iTsGYkxH#J{~DmxmG}KaRSrY68Th!7hTGh#A%gaKi=md6f|Mm3b|e zg@vacXT%HE^f;M8ex&l^evw?m9eJe@B1yo9qq}Pi$-fjOX`L8G5?Io9{2N$O>A|eD z38H`fisbfP=^++Q@lGuu+d1m;Tron}n>+h9Dj#PJD z9Mvm@5J>_u>T{BUAEP#zh38LnZl;(bWK{0(M(Q*+Nis@hD8 z0sD7r0I1v&2MUKrCiNEyf(e^v8Z8n7s1-PyM;bj+UF=a*uPFk|PpRuAv;ZXe8K?B=iobOCCO zf~dK%UMJLwHV_1S#LoY}gF$u6JNmr_?dj{&omjmTxUxdIH7w&WhNS=*^ z-KCg7fbF>bd75xLS<>#(ZKzB2^uFYn@8EziK;ChyuwC!ymgJM;XqO?D{B+O(!OMe3 zb572kgMOg;DG^X}=Y9uwo>Wt9(sp@ffe>rb#(ESLTFPS^$sU-ysM-SCI-GSs^9F}5 zAG0&%wK8la|C9p*n7Va$)N+{}00y+m8^igI3VUrm87FsMT?>XLOi6z(E@Im1A;NeX3+1U+(ZC%6n6-VF|50pw%6b38fz?T?mxP7G)QmquIw@)diod@(;ahPS#aR`#c2c zUvg_{bi@@P53kGh-ZnFcrFyvjhmNnLN6~}2XphTR9iRCnm|C);#adx#@kCXZ7 z5fyZnYyP+Q6ME*}sY75s&pUTVMD7`Jvn^OW>Ok*@JwaZuK%a+QLA+vNZ&*{o`(dN9 zSj7gqRW!r2*czT3Tr`B|*143Gd%X0 z7e=@+8R9z1-Va8y3!uDdv6)z_kwKR?Gc*Qk5;cI5d2Ne=5}Ei zp9!N&7KDH3uP5(fuiQWDG4;eEU9JvNdju#y3#VYs!>4?ywqD4-w{Bug%H^b~0RG9! zTuyl=d{@7EL1>>H)PcmB`bTJiG3TVRVW4_(tM44v>HY3zfY?3{2#Xf^0G%ZYB39l! z-m>|))sMIT=>0Yl$VAS)H!vPLCC+^|ykxzgoBBo)mr<$a#PH?yl_}&iy?LI-Yk5Tu zMYvspS=JfFKNX{%Ra$}!513cDh?@4naPyAzl z!mPYNF5UgVxOj8vRMU$yhPuT!I;?oJ=X}#>r)5(ofn%@wyM4ozq9e{<7)kmUnW!L5vjqCwBel%5iM$AmlE46pUMdo%KrgQs)NR`qJUv(nqrC_qvp+ zlLC?7V*5Umja<`+(ktA&$IcbR@pb#g>m0R>OyNN;F*f^u=pabH*hxDczeTf6r8DY| zg_g>sxg?V%ShkWns?I6GgKP$*bY@LjMfzPgq;wWtf<^jU40T;()%TFqNn3?$&mZV0 zz7O;P(#4fd?9PAa7)JmFTCH0PCNc`X!c|07HueW_e$wO)$oJ9ytlup)@R=Is{4bJ| zZ+9^@>LkP3z~|!~d}0zL*z~De_l&Ycv+jpi-BTGu$SwE?&X2?nijF1^`LX55!1F<9 z?nRZz;j+=Gdid{YfTZKEWMsH(--2@rNl>;JYfyQYX5=LO-(^V@L#NET(~=L`rEB@V zS4J^E&{w58Zy1G*<6Tarb%!KU+_<6iPL*_jN4dnZmbmy+%9hbjJ63U*%lgBo|8)7c zdCx969VKi)J5kTz%XR%@uxwewg%j5xPf`rgMzV|Gf!puxdGZz*1-t+;>Xc`wd1fV! z;FS76&HG%C=85Gr3}d553#0FtmwV+bLeP-Wu&dYyaj zx%oN3o-n&%p5Q0MLBeNvdGvM-y5(g*tbqvuFy(gsEuByfAwr)Zur@-MkahyA>9hR3 z$SFf-H2sbt-|<_)9|A_y%ZpLLXQ0WMG)t6-zID z71@{udQ|Bo?uj5(XdV-47KxzNsIzSUO2Af<(AT^NJ3h;2As8Q zzA7@(AW_G=FPe0;a13ON5c^=<_^IG&qm|KCL|Om?285AA>grz%0>6&UP5i$;1{)Ca z%~kysJNI6~v8&-3QbPADa+bg_2_-F(fyIw-dHPq-9H`TnPj>(e@IJ zN-4C@BF{Ymh5k3#vmw0vWuHYKHSy5=G6jTS+@|a22JSwwUwocUcnLq#9x)`{3N5@= zsH_8^-&BFNu=D3e-cGuD4{DO!c@vtSBNuHug6aXa`qCXAX&*+#<%mpdXf+1%oj5L!kxY-@M_{zURVD?fZ!% z{$}&?1^OmTrCxo7vJeL9b(-?xlbbU5L%IaXj2R#zoEhY1^%(#b>RCtw^LffbeJvpd zG-fs`a#H$401L#Nyn&-UWj0yyeKJ2m7qY48Oe**!>xm{7teK$Gh`TF+QL7?OXEF*h1vxdgwuJz)xR`>Sa zJHKBoIH#R4^Y+^oKH5*Sh)<&)ojJ z%0-j-{3D{Oy7ZoH*Y#;Lc7or1Pre;nruiWpll2nH1AdDDez%VwaWKTMlK4KYAPowJ z3Iqi73&{OW}5 zBtrv(9fM!;8Q9hYmvw5`{}RD|gA+_mNYg>rOw7yE$c|3LK0m-l$d6A@O43HKhq2E{ zPfO48og$s>mU~Sdd*481GejpI53o+X=5W5=P@)L?vlF9$g4z}e`Xhz4vi{KsqJh3K zH3>X1Funnui#PZL`d_@T1t%f5AV5IwR6szO{|~R5vFWDfY|J_VqWASOCjABW*U@jB za(dqKRlm!-br~;l4Xjrn-qKgB9?r5d$I@gSs^ePU4k5xb49ylY(J36iD2@zQa6EoR2w@V+2cP&}5J zs3wMWZ1uhx<$Ed+Dv5ZnwvuEHdy$w^sG+8Cc70Bpb1fO*$rqkHucnPQqN`BE{LL~D zhC(L;tg8lm1{^iWKTr%}z3;lYHwd(XGI;)K2rSD%4@%+h**!(Nru?JX`RdDgZ*uA8nlwk=!OZIKgUHWRg7+fP{puHUkk9%|{H2Tr`nV(|3i0Ac#c zO0O3@ZFQ4YFV$~HOO@0mACyM_)~4!s5k+2qE&G*j8(w(to^p<3Jxc=G-umBSs50x#A^$NWn7_<(v9F?AV4diAV3)Z&rRHIfd~Y)do--w)fhQMg$RB&Q$q%Z|L&PM znHe@0o@b>ph{!Dl_|f}+_+`}7bSi%%99~mUDSH}2urJN0E2M{t+rdOCS@4FRwE+iW z)HJ(18}(g$DamTR{2rdSdQ{xLeK!NcZadaa*UoUoxoe%#Z+;Qcu&6YOB0%WRZ9Zrd zCeE%&;zU66mxkT$DKd;ph zLD)k+!)y?XNRz!EwToj6q?iJZ_KD?5Mb(p~_X-tQy55a$qVz>tx$%2q8@tA~Uo-!E zZV+cJ8_CG~UBYz|^nz2$h5bXRO@@F{fdxcy`c}P-7jJ(gJ0(s$CFix`cK`rC3UfEP97lxTm-YGL zR;01kFw!95S5uPJ;~H3=z?l82q=f!d^v-r=agnnx-iWf(3$dI=q}g+@F7BQ?-RP15 z(}Cf)vl<+P9z4?<1Eo1}tg1I!7PHNWlHSH`g$KEcD5@+R>5dt}Jg7EtY`|R~&@SKf z2urmp{;vb}l|}!+k9U-r)R!dQol7}N6jWEKv+vhCQH;1GV$-rD8jq$d?A{^&{X`df zO=qB1?o9)(Xo42rc!4le#j*Eg7y0qarZj4l=No>Z4)C9vh+tBT;MnxV$dh|iI3(t52aC0$NH}v+oG-~Wk%KtNjDhMD;s~(aFNOBO{m$cu)$1WPJ8f$> z(!5>9z%UK`$~K&3EIGV<2*|5c6E%G^NRd{^$49xe%#}AP5{}C657=L>9WsAs;O>e# z7&;OCaHMoX%N{?zp;uMK6(Jj~xt3n*B(NHzeMO{~1S+Rnp@~36p>Iq{GVjUbwGL$8 z-G&SGtzS*5d`S8N@ALeE@dat&IfXg1lJ91H=>Dt3wUh@^!t0Suu$!uw*ivbCCG!?mwUhfL8fB;NE^+ADSUS`ZSf)T<89h zzh^j^mQNU6?*r`g%}0Vlmf6>SfO-St^t{bwt}QrkQ66;XY=* z5x(#ps6Gw57pQ@h7s2T}y6)HMQ8dwq>c_^NL^Rq-LA2%bZ9dvF^Do^o-tT6*a}I6% z)4IOGAs-RBCdfZxmb5tHD<0*PWx@{Cdsu5|NW9Ht)}o`8R(Zo5fMAPc`sB>VwQ(_V zc$f$O3ac`uH;gan=Y7yiVpM#OElAHxJ0EeB(+S-`oI|#@i;;R+H|gBasRRMkRc{fG z@1)Emy^l>78#uq}J^m%7YXldx_9{BPjvBpmF*P?)6MV?6rl*1Av%W(L)!#MR`KSJU zEk=2l8783-R#WeEvn^YyXyiiv5|Gqhl=#!fI0-ZE*|zp!%*O7qsn{jGv8fh@BW@J6 zs6I+NR;8Ctt5iKjQ6*)EUMQN}6N=$AzoEq!JT2^&KIv@+3GO@k81pZ5liKosE&ooT z(o;xx!^C!$62`u}l$=kP#@8@vZO4u#%8Cs5ieT;nIN4{p-V+ClBVt&sA#2)#J;yhr ztrNpv*E03{G-zz$om1D{t$53{Kxr~4L%Ds;Y-p;q#*XOpIt$;0Q$KOFOL%1C2s1}8 zoumMVt&Ql(x}u;Ga@8uH;(olKdwIsu6!#uoumID-Mo-s&+)`4%!75m z@~M~n-d~lXN{3Xkb{sjSLr{cShkX}I#anHA&sx*VSp)W)?q>8x^h`@q%uPXaqnIFk z8lyMk?zqt&rAzt>O&;M<(qB+JH#y`+rD15f+}?73XKGXdtUUv_rOCL49-n`Ak!*<= zTcj*J=e?ORQzz1LRF!aGn5t`QYaRIA42zOGa{Vj+`;k8c_Ev|>Q`oWf1#Vgkbs%S* zE}IWd_s3jzdvv)_I3#C6J*n+4HgBBlFy0K!S zAd{T-w)PV`4SX=^?iUb(xqcscYh|2LdAodA7qya8BS6F@uX*_>ggI3{B>Un@6>_B5 z4EFvd^}EG}KDk(Qb`hlV0!+B0G^V^uXQuggU>)8(YFTEk9i;)_eO zgEygiRBsPbR%avygX*45L>WhAs%xgg$a*rnH4p=s#eGlP6GvNV?Kn`8qz4=Uu6@@bV0w`f9qwWBc1%BSL;7DwUTvP1?->D z$$el$Tz6vy-aquq`aAM#+X9o1(XKUfq)H8Kr_!u599pu0(!?8o-jH5NA1R>hn(~z% z`^XcF46@=RLxilR`6$bH2Y8W*8>Zsjr_mJGUkjkC3x@#vFc}BI6x&Nme3`v<(6H>8 zRVTnkng(?oz!2@B&f%Cm1xN~TUI}b9AM^BQ?i=_)56oUXe(q+DQ0_O?h+ySN;WR-F z9BEog_s==m-kwqy4}w!I0DODUR=acp4Vl{C;i?s;K{io3$VFB7b@c-Y+7zC`4UmIF zk?F2=QR3k*&l)pxQ-AAwg*mN_6F37c$xD?wcym8UTYl2%KYUn4Zu^h{AIW77tFG7(?{wVw`Y$xPi2q*v5KGTM^11w4TOnu5=__hm6#Jzj#e zpZV#(Ww5fMsr_MrZhx;h@D`uA@%Y-JVlYq(;7ZBqz#e#1i5Il4$ABMt|115fT2A2E=3 zHf^Na!=x-6IA{@e@*@^MVV4=;z&t(JEM^uObJ3#C-`r_8fZH0ozTTn&TD1Lyc7*pE zyME0>;vU7^Q)lRJwm78;K>ko?G} zh{GRu4iqIzUw$H)Rm^vQ*q4v z@6&f|#Du)tJ+33lPV`VVoP{X?JcV4RK4>$6vH7ClO%fYWN(7_tfd{idb#WYa^7$WI zz-H{f^OcBfVy&s}q#S7d{eu={sgZgqsxu$ReqmET3=oq|Y?uNKiVSwfPN@ye)S=~} zq6NT0wR}-m_cY+#$RJtM2a4Toh>yZF=x14k(-O+}5k-NYTY@PbJRHVeMh(=gPjSfK zit2v!RyOf>i1$+v@pz~XuZT*5pKX=>=vr$)p)$+gSyI^P{^Of$Hze=hAEGkI1Th}D- zZ2i)la&JD)O~>>G?~l3xy#5Nwe)#*HLXFiM0!q@fq(jpFmnkw_>UHI~6A{=7tFBpQ z1*Rzak)|EI~B zWnN*P0$>jmJ4w8_bkPRXpJtDz9>RxvR$RJ!kaD=CofB!N{*MNYb<;?l2A>0kvz7>j z!W50MK+-=5Co5VBpye|Ab?OQen{4=FQ1CI$(`oaUrxd!yy=6D^T7FyFqUJJxrR3zm z2T&m=QYrPE6nriEoQJXLz=TagCn@PdgtTKyz7Qe*uB3Q{Ml^f(Ajdc%3caJ!rpB4l zV*Bbj<#`Wq2i)$0TKi*N8%37{^jyHMHmXL;Bza&xBCz^LYlMxDV8MEygf%r@Zfvvq z4cA40Ux=@Lc+3&&A-yUkoliq9n@+2jBZ(%^XQ!UL8+-Q?StSjPF7k3giBX_;REv-w zcOZ{>J%6bH{Md-1J=d1{406-Lyk_N#%U${5^`8OV9AdB==IM3{9=vtbnF%qc3!`b1 zQY5}$xdvYs@CIys{)!Fvd&Pq@Lfdqz=uRxTw~N%_Pa!1RsK9^Ecx-RL{!C!h4xxbMsbVvIKuUpnOzZ-!Ogzv^stX4cWE{u^RR(!0YvH$0aZO%q50zR?`J?Ic zOaBY;_-7#!IavO;MHv9~XtB`F*LTI{U$(eEMmyGcH5~E#SkScKIdF%d%q9lcut(B^ zv=^;)-G`$ENFlf{!2opD&t)q!`FInzpnNED3cXupoH+xgid!#zaY8s&Fr^;G980)R z>eDeB@oXAkzs>7{c3xwk5)ch(l5Q^ShkQaWk4qb8;GH=+o=nkeNoVJJZr}RXz(IEB z_L-re#QFrk&T(Ev-||#b*B2dfXYS0EF^lI}SFYdCGF1ppnf&!fx~pdH&$?a7eSN+u zRP@fDUTk4=PYwL#-CH^4ibfR&C(-WrQuF=VthrHi?){BQ+4zwVS}0x`K92BtFb|q5 zVPJkBgk04kcGv5Nt_=pb4^M};IP_TpRlN|UA2?ZapQqjL*Axgvk#<&o}lRO_`gCO4Nf zW}0w=2LaUL?nqJ%ZLUUrv0226Oh%-qK*phF!z~1=)au|!xuoK0VpLjxGsHKV$UVXu zJ#4T1<8GWJ#c?v=D~j>WZ{_gF-s0(Aw{#<_tB4qI05Z%_!oRU)4z#aJ`#WsIkFrF< zVbvccXcUIsC2M^Y(|K9;<%%pl&Fhg7O#d%H-fYpRQBLuz=*^T%URi(hM9Z0LA1)3S zV0;tHX-^qAy(w~t2QxZe#(dRf^Ad~W*82D0Sy(;UpE)*ke08QEXb_1SN+fL+SQR-n z5k$^ZtcqgQPb>TG$%jaVtZLReOpU%adPr1m%|m*g^cOEA8vR$t%;*cZPU@MG$VOj= zkfw%OajmD3>=L@tzsTRoZ8iBs7k_^|h}dPN5^U%0uo+&IID?7h0QJuNkTKtUFjV34 z?PrE2CC0~AHEQf%X16Tqva0l$kmtiCg(Wk#6)1%Tj@W1_p!iCKa5or?%d}- ze=amnKC*-x1j|A_v(R}-z^wxS49{9OZzBt z?F!25Y50o9pHVyS$5lm1I0CF%C-T=0dvLFMXRQr#Bq~}E(Vcvl*kQ2jmY8Sho4Mi~c*uA=PKa_RnX~fY~ zBQzUO2wyo^EJ{rybp141>t3X+AubV-#~WTZg#;})H`4g0HE3UOR29{~e|SCI zZZ5e}eFqWoD|dZeT!dr&Btchk8rVjGzDE>Hw+kpgB=MuPL^KQm9G`w$GM_Tg2Q=I!Pk2{xz>m1)X^}5ORdDxQrUZZ+RkTpOHc^t`{BURX7h8 zEKB8=86OH}9Ha+I_y#c=tZM!ZaVA^h807yGkLm}M59yz9?|7#r$#L{N71_DSVt0^v zZw(cZ&nX0XCfYO43&NU{S)4gtLA#m*W;n*j_GoI9c7k%o!*3Ge8IPT#N}rdIE&~OZ z=CBUSMQ|Oy!w`p24!&ROTRXDypyeC|YkZ3k1F2a`hq}PJF6E=di(5jjj+IY5!$W_pb3V#h|@@R9+vR*Ljm8L9gk9z{jGOI}rRjD@c0R zGg-2aqr-F#0Z~Ci4-4n z)a{oc_JBXWJ{^8!=$a}n)2$a6`Nb_-4T;;-R=?`#vq$hQ0;`pV8!m& zLo}kXys$>fe6AQY`KUd1hC+WmBt_+hSomdo-IzudYTURzS4d{mp@CzgroZrOM2vrC ze6@xPAp-_YdX`G*`t#3<`BBC~6T(OmIW&a2G9rv7Uv6BKg~azSbl_0#X>*lQrTY}Y z8vD~RYA$~I?o%1L{K$R_t`LC*_Ve)U-_P>FOBjzYIku#a~mJtdNeEpGrmV5LRyFRU4uR zs#uOqx94V)#m&X^Ren@~ot>U^uP@?C__<-> zn7@+?>?BZ0fA?@WTfvpa=L%%5_bPFmAf_OJraMIKi$XaBm$+9ceybbZELn9<7F*L0 zijb*#yR+_)(`?YSNrjo_1qtn^(QE+)6GWL=#)}4C^qnQgAZ(Iw&{2@Z=V@%p0S{Dr zuThQyBY?Kx60jApRv%B01wVy+-JPmFXpo^LkB1$Ix82w)Od*)k3Pd}QXOnF(@wMIanJvU;!<&fp^5uE2E9`s9zp;}}d1;?3#wbf>& z5b-g03b1t-GJair_?zeonJi9|(j_O|}#wN5nK57LT(JD(8c%0H^nl1_jQcT6%E*o~$>w z;r+;UoD-2XzaIm$Tu0XBCt~1kXa{WJwdclhp%S|+u?nY zSt;i`4+$#iOjcNkY%d;&Z~Wb+XZm%9Y`;> z%>|eEJNv$Gt>n$7GwmT(Y*|P#A2LG}gnu1}XF?K2OHdN8N0dOXhf`j6kbu)y|;$Vw{I<-EWv`l#r*~l3=prOC*1?za0 zgj?Ui-JHz3gG$pN%zm{6%-#}$tmqiicgACS)$_knS}Iv%3(-#O>jclOJ5>G}gmkh%bq`RWuAdh#m197Ie{^4zUMe=NI)h>=*j|ra*&#qa&r+GGiYVUHRCMcs)UK z5#@GC1s+FGv382o1Poe0#o{-^Q7Vi5*YUvmD~W&2xYFSK+l&Tlm%X0$I1!y99!zG$ z=YcI*A%MmC=k57toeI)w{|Ht%VoA#zRH5K7LB^{Rzv#a(cJkZpf2HqoiqV&!bZ9Hb z9E4a(tUB|ehe9OU9K4FbIkmlaoo*jCD-Ce>ba{BQ=m^mi7kU;+=&hTXLuR}PK80LMNXY8bImlZ?ct3iD z1-QMCS?+0?c17JBDp0riUhE^pTK`se<`=2T=?>*)?xcg1MKYp7NX1d6Bl{&K2W?4E z#7U2)+hyaUC$@O^O8xv=frDv^D4VZ8$M8GYIxawVxB0-Sm3}F9D;|9SH>M`679?TY zoFXNK1%2N`Jg^kvTdPh}K44xG3;ZDj=Fj>LrZ}U$ zW0_Wr`?#?u@DLQNK#8-u5R=DiG0<9Qvvz`1KCyI_36{9fcR!NvulEJ(V(QA{3ugz? zZang+mlhtF6=j2^y8|*lrewm1-NCP15N|xP*&&h# zy1tKW*RA6y(vzE2w^?$ClLLN1ih=Y(%{>TDg)p_wre=$Me1kmUM7{Wd#1&I2R zr=k>)@o*BW~@sUQaI19s{iFM$85>|Ra;#4U#bor6(3ro>UsHN{HnQWYS!1< zC2%qQ`q(dn%dOjqgu0*`m#Uf@We<|ld^wTpY+@$w>sY0~n|m2xEx z`*+3QN~r}NL34u<{ul3bA5W;Z-P&+L5{%UaTp|kcsD|#e-2Lf4V*G+rpONHr>ezWR zc$^kwv@iw?O1Wod#{iGv$fiG5kv!z3z$iQXxjZR0Y?{?0+yU>RK$?`hDWR?b=4l=A zC70BLBtd&TDcYp2eTG6djT*uGhaHWy;#d1B0-n!S!b;u-Hibfa9Av&&Z+RDk6m%id zpfIgRBrUx8R_2z1AYlB}WJ7Ewd^k>-+z55SN8`-XZ--xIle%WH_`+kJdNT}%^VYdc zF$;jB4CNK!w&y3BWEbm3wP3$r!HBtg1$7;zcV^(aWv(1C^KSlB%7f36)KHAnJviQyej$`P*(vgy5Um1j% zR#?|qyn%unT&yiF{=Vn${>hgHOYzXIN3;r+{{d1!t-s;C0{sipL`&EOYdzFZEnu7l zhlrQ-LP6eQW!%VqY5z4ZFQyj7MYY4+Cq;JuuDwW@^I+TJA(37Pt=MC7OBki$YI=o= z?n_@qW_P!cXwu1DYt7(X|E|kMKzE8X_>o@^4@bEyWG&?pQW<1yu%J4Uv0@(W7L`+I z&9I$7xmJB7WjTzFpjIsl#COM?paQ%o}9W;>$eL z8_UvD38I%~*!srLJ$b|xle$9b8e3t~v~x^{pW%_Y?_1%7ki8OR`HkWWy%8`*fwloc z8n%|2FNo38OzDL=E$k5Cm$GYce!<4WsIwZ;(mZ@0R){ggXv(h@z0r04UGg7qr5B{2!HF{dLQ2W5`klDduhz%0XzRy z!c@^k9B6b$HFBl*tmC2>ydqedz9CL;j-2h<;Zd1)MOj zlG;Y}v|$FLVy;{V)e=t8|`7mY;E1su_A;MO410iv&VBr|*+DC&DI|30_SXmI*0@fsle-gfOO$Pi{+Kjrsz zu0Tdr*ac`77oQmz_0T9}#gwEffj`=!dX74k_@-5GI<(%I!EiuecBL8yq0pCKX$RHm zd9`tPd`5i>8in$T-FuypZj-+!ut3c);nw(#lEyE<<79&qXsFxy0HX-rrlEmmmVe8h zcJFSD4lT1&zD5TWj_Wf`_!KXX$u+LL=U=jGApxl8cIrspLuW*#nGAys%|hlQ$oVpV z7s+E7{OwM{bv2G)-I(X&D>>%{7NPFLcKBe=7&LV)fo9KMzeKmKEwe%BLL(v8pc8NG z_JnWR&SzcK!#jCPD-lwve2U&2dSpVd{K3rdipyM(NKC>eSSWYmyMp%=Sp>KGV%@9R*4RLT3;QlfbnK$Q#2 zdHGDB!))`;lzP%$tma0v%!NU-RW7F5^Z zJQT9$^2jbyA81`yRzW0rh(bk;u@PT3ErQ7IVrKGV*rH} zjnBG4EO%@ladGz=><0A0$t)1o=1D2Aaq0Oj-E3SxQtHSvC@udWY7G^JGiNMdc34 z3>O+46fx@HGq!^J;u?wQiX0077N{2i`)b8qGB5Ns0O?=n;8m{QfKKy*FIAvqdZ_rL zARGEo15)?X@G7*suO6X%eE|^(su-9-SlVwmdcipNk!G*B-lhCagwELNhgnLF` z3OPHESkb|KpbToLeIwA3HxIMl6MM9wCoH5qPeq3Jl+osc0OQdMTm?`Zwh4J7nW zrJbpbi9a0MVN&=@@6fZGOV+b-|p6lP^bfatDcbDY3c&Z zHS|6m{!vE5ASt^sC#KWx!wiAhpGFhO`1>_3&x#l8M2cyB3&xZR#g1(y7oqtx0_-}l z*EevdrE4j|DR?H%1jY;ZxCcIU$F(V#`a@5ER(R)WkOR2ki-#I=yy`=^FwJ56N5Cu! z^78>9bU6E?(_tp#KK6SKP27Wxy&SnDtm6fpg|W$JzRV2i z=%ZJ6P|yL_f$)gsimB#qE#2Sy^%oa zoiRvhVLN1-`SO@s;y)~wGs`heQe-m)rFqp1%6K9v880=2V)mx?d%2Gq`8A8OZ0ZzY9?f4IAquED1pk)+V4ARshhHZa?lSTQhM-O$GMehX{iwYK;PN_N%_ zuR95)(9@}fgC+Ufu5ZV}CUaWbzFer0CW|NdCbqzxWJ0>*uI8sVb1`%K=>7=u_o*{v zC&CGd2_}+Vc5X0OaA~vL%vuV=s{{93ja-~r;DeN6h`Ujwe|!I;_IiDKCZ-hQ0yLY{ z26#ef*0d*}`S$wd z^fl)JxjLL_+DPjSssiFNePP?~)=w%hn!KO^x3J>ZphiON@ih*zI|f!it?18*$E*2< z#@#X!LW48s!R83i=Xus%g4{9cPPUG0IWTz)gpsjZ04| zBEjgswo!Axd}i-ZIpyiJ@l7w`Upa=+Sg(E||eDh3^5#vm5YIJT|G(L01H(I5}v2S~BFswcdTpJJR&NMO;0AE|>%Cz!2l;(j-lfjWvk$lyWnN$rAAAK0{80 zW)Z;(a!U({jHUJeT)<2!k(We&{)C9tVQedI2G^-l&kFQfg(+S99;BI`JM7Zhfg^(YiuWoHil2(&>?b4nnXG;Fk~4${>zO4?pT ze!k^zd`VLukJ|AbBL1{Vk@Wz7jWX^m?^w5`g_0BALg57KWP`}$;T74ln$W+7WaFc~ zbT$WKz4m>Y=ZigU)U0IO;$TnkRJ&~wmq*}FDL0n}ZLK0AfXI};)V&!{DMuNQq5)~B zZ=T5JbK{YvJ{EHgL#W| zwN{8H3h_78E!-}#Qe?Jz(P9Aqe0$Qt#ZTb+E_N#5yFQKE*VzAL>5P!x>+f^9AxOl+ zOS~#XOW-xP4p55Rzf?g>sJM?p^aY>Z?s!06^gTWPT5eaHRA6J7XGWsINH;c^blDsy z{If=!=jg@_Q*pL5=@0!NwtM7YwI*z(>mBHz7S5GJ!GZ%ltpRjtI_oOUjY<-@A_zih zI#6E7fB|p+L@E78orG!W?><8ct(JH!xIQ;wQEd6w%@nT_Sbx>~*v9TsT5iD=aGfUU$2nuGOVLq@is0@I>KfT~|GX~>ob3}j4pV4&9#|F7( z1xw#mMc3X3smg#%8)`*f3U#!umw}!|Yy1NM(8-}=xije#O<+y*OTLhffwf(LEmYU{ z;xd-?1G1KIJcF+2Jam4A)Pb}oL%Q#e?@{j;A=i+#oYeb{CBxyo-?3ipQlrlU(B0^_ zCgMcoBi|ew!Hj;2qQq8N7dpx{i1h0u5#_Q z+bc((h~Z;?n?0N(kU70)M2wAK9z%9t8-TL~5?q9Yu8_RyCPGnh0zDLOM0IORSGVL8 zxs)tSf{5TYi8Xu~U{g*DjEgqb#ifMzFMZD`dZm2c&fxTBD6=BFq|9p)W#DES{Fa&e z2pOIVj0mprO;^XXKoqV>piHP#GfnYBjxQ>K!%xpqmZ zNI36YA-+rV5X^4$e*%y+YXOGbElKa>lU80$BeAX~yBDCz9VW(`;jB+V^3Tr_QRe~& z71OQgYGrl;d$`1=<6n#B1BYjn;mt|&FQ7TAxuOV7@kf(9vmapT{tr#pUYg^AgNfq) z$J{vwRLbr!WoDlzjal*9oK-B)>Hq0D+x-jcF$Ui@l6G7*QA$ z3K^%E)KcK6Rz$_(&SP+)U6v^}=yQ_@J6CZ;R^YCBBWe@+iMLur%tO&0z_=WN{I*sf z!QNDWvibgVa2V`3qBXl#6?cuU>1Z-;djxgt2?6|8({asiB_?79YMmsf$p4J!{9g-m zjv{q>>=Hakm|#=?w9Fk8?iAd@MCI_1Zv6ix;0$E_R?};nHO{0v?&HZx;@|YCJf+op zxl>p_#qGvEf>hb{Sfr{M!Ynr=Jo_v+z5Yie>A`z%VQonJP+ja1I0SM>X~A4;@i&|ds2-cien2J8R2ZBLuQps31h9s4xJa>9KSe+b@mb@riPhN# zOR0>FySq8rN!_j@VUZAJb*)GwSe{RvlhXz9NmejnfkW0B2?n-@H&0rUhyb9OlF7>f z)3MzB zUOUFXVP%SBmZawBseOa&X_lGdk~J67d2Qz~g4XQ;%Ct@6huOyc>d)~tiVaACWx900 zvvILQolj5o9Ft???MNIlH7+=@D4C$Neyjyd<{65w?VM0Cym)o3PG=l;%r8&Z3g&$`iuJmSqNPae}hArE9FBG58J4S3D^uh(6IsVgz5%Kk;GfJl*vI+?82Lx zp`=S&IPy-aL`jF?EwI8!&9-kpMax;|ZMuA0@p6fj5#+=lVXggP4nJs;nIq$hC>v=l z?#+;HXGrm~D$ z)IHJQNBN1D>m>~VFWtgWjSZIv^g1y(l*KgtiPOy6baZJ$`Ds~MLR!uB_1JC4dPK{+ zEs#0FMBg@FggQh)rB&lgm4ZmlvxI&;`L)=NVgb!Br2>-OrO*`FY3{T9=T>q8V~;QJ z;Iq>n^#X(2U+o#X$y~p5n{uM;&SIk9@qOMpHxPH{w=!8UM7r-<{iE})Z?@r)D4uG? zwBI`&P(U!vF!Q=ljZu?G3l9BsX6oT)qc-I&sh=U0bOGsaSdUWB>VX^@US_XW85<+m z+*Voo9O#=|^rDpW%kKuY1H1Dk=jaAnMtgc+oy7FtfuFp2iz_L`cb)gp2~2jNmN(G%$K3$ zmj07{j7%k+!}kXzKrQ{EK9Ry$zp1`qvWC6dBtp9Ds>{#0tlvPWqRV~^;K^V|!848P z6-jV|e0*(noc#p0@RPd_0>b~y+8(U#p|*CaB0gpJdKDh&vgRUhWbTa8C4*NZziy$k zEV$klJ~@sv#zO2)hVmy1KNJiQKL(d_5^P?^q=&7=B2ew|U`?b);U2FvqdxZC668wI zl(T^NDuNIu)9t}%@{?aaD~Z1NTkk$QxQU(!-vg!hLfJjVA4X@1@Eh6|!YZyrNh``w z@5{8o;v-$mO3es!<-ugYB3Km#Y2QdUe0)En15l{O6 z^Gb_Au%4roTN>h;rx8!s{BD5Zeg(Zza|vL?>6{aZgv=xaeLCw~AR-Bhr!TElD15Pf zz4#fwd_2~g0!>6-%B7N zX1hr@UF(9xH^5?f=06JA+LKKu0JpTe!*jtsH`z^t0%xJ76c-)_M(_0dVbHi=_Y};VuU}&7Q2ZXf;1x~hzD<+yjV}@r%KZAs zf{yRk)$KE01Iw1WY|^8yVbiF*H7M#1hd=S-X;;d$mXBf2eK0`P&PCD*6z_+6@e1&p zC(e-pw8y$peK>A_&76pM;YexTj1Q{P=4e+qa0TVm=CCQ2E~sPs(nU5kqCjPIle4>0 zuas75E?f7}X8c-duW*v5jddXLP?;?0>boYBfRxI_$se+w-nEOok&87`^$QrKV~Q~_ zg>AJwB3A<$QF{%-^7`M`4OR}apBtc{Fl6FqCul2nyau+-Y^lQOGQ^1gQl~27=IaSf%#g}*QtH$5u7Ij9YgFgBi@|dM#4z|Qv_e9pSt!6gdx&Ead}M2!xf$6^S!2i*^okfBlP8Ye(vb=&yTG6x zA#?y)%pVl_&d}VjI_A`QOF9_N?UzODs4rfI#%)!Knby|8oscDFXwAuu@$v0vn>7QF zwlof0a|@Opjv|Jua#jCpWOA)ZU%HDOd43>?-2S%A%fBNNIGRrp{GB6Ec)G&J^Xx`X zQrkR}I)Jp9zHl)Jdj&ZsK+Tt`JReAkGJQInynvpM zj@&~x=MwhAEl4(47t6IdLX43JdjVq`^7!M;JF9;12G2TFr2^mqMU$faB@?Sl2ZO*h z>Ic*@58_(plYW{hYNV(c8~5rh75xnUfF19PnyVBxW-^CXmaN!}>++Ki@J&0rb`5uE_A679LQ14-}cOle~MLzkzUR(AF?G-Ib}7O=U~LJQvo?@vwM= z^ii67hUo=HD03|$I>8b|0?C_ZgMGWBT{c6R^Gz2A;%#zRi4J^hTn*`_JHGaJgYeR9 z_)C^H@L+R)p-e$QM@-FD>!)h%SVoYwRazGYbY?stt&>OyzvJ!1Q6-;7h5tlj2(**O z$x?X#KEAj9dfja=&XBs`slOt#D;K0g=Qb^$DlAYY)DvO#HZWvDO;9UqBEVjZo^9g! zDFe?S&k>S3&&B`euES=&FRp2sKFY|rFM&Z0&JRgpR!1a->V`>~Z;s6(Bn4#wH_5K| z10#?*C3x!X3CCb8OlnVAA^+WA6ImjO=cTcmeJLf=dyDJO#BKexc^bHikA^`4si~Eh zt+1ofkMO4yzB zMY8QJe%V;gfFL(&vT!A#$N{U>#{oj;KCWCaB9D6~Y$#U0nHpSjVl>)y9k}Ay^oD^i zOlFrC*Z~C3D1O!P>O~n3BKURP-nl}we#@jxl7W&u%T&$%vzLC(G{1etN946RV;ixn zt~l2%O8>NtdijCuGk{bqkcVb+`@+Rh7ZyT1kNi#cSyrR17IbKJv4?`Nw1^s;_W`?q zKZ|cJjRhQdS6LHV869u1lrdOHsN0R;WLHT->Kx~S$@!P&>3as_xlRX&i@Cx;J=4n+ z&SGuoTn8c2QUl3|Z_}ny>M9XGy~DBcy(-qt1;koGkMrXk;}J_mI!KG+dbVtl51K@NnQ~)dG;eda zOyz48L>uA1GDvwHf;do48v1j0`DHC@d+g_hu=yN`bOR)9e=+HdAozwOxMN3O7&ksI z(m2?7>|-Tn2#;kQ^!;;M3IHtTmjBB$d;Lh|kgNb5K;pk{)#n8YZV%58tT(^GFj=c zXx_HtjxgN!ESOb(2O2|tBa-(_gsBF+m~tF}c~*DO#Wu)k>Mx*2Ix@%CE={q+-A7Y} z^vIoDB;b7Uh3yn-FilUJuzGf`Pe=9{XvG#v!6dws53$A0f+2^hmV0j}%vkJ0wKKCa zbMZ4Yj?Gc!*MlfMQbS7AymWAHqlYL%iaS`*#l^Qta%JD%V{97VDoTb2xW?6Q2&;i+ zK%n+>KnlOoue7?ltbnSni>;W}8pdU|40o3P|BlQ8$BAl89c_etat88{k2S+F-^eqXO^C9xLEz8N3XGc@k_(ZnK^{wDX!CPr@kerByWexk_-)?oI8^pB zePo+vhk7z3l#w%^N9K_AS)j9cq#kK|xxqQ1>7Ms58cH^wwjSa~mc3l%cFiHC$v}uS zlZa=~d9%x)6lqf3E*0)t97v-hAD(|bE;aKuDA1}Y=_Z{H;2Bx_;MnW)AqlBG(ZfDn_*_QwS||V zf;^fA0A_{1v;|2HQH`W5%RG+}nk{KRCoN3KYS02uq8oka8Z+_)t-CVz5vqLZPwN}? zhaH6m?M}s>Y+*t+vz$tx-$%1uvwRyVl#_~UpB>(*>`* z=&}exLJqB>VkRs_7a~wm|A@oyXCXkuuF96g%lpaJEqDzYenoI_lZipksUj=W1Qy6h zmS3N@Ra75_Ifuv8AKv%!Ht1}{ooZUHNeW?+~lD9QD^C&QoZjb>1u!k_%XWb!vr{&B;unN?+~fGzyi z*X|5I?|MQCp_~SpT|Ib7Pk)*2(4Q3T@eJH%dss)*_$k)w#C*>9^TwF_^27N|2GF_dSLB14W zb)^|(R_{a(eVzZ!^vvWKQ^u{^+k4zS*lpw1-Ge6!h;cpB0=Lz1r?2r`EFeMh|pc<(T?$o!OqE zin=fxM>QxqX85bF=GCb8In6AG-WL$rTrKm9jn~krwn_66P@&zoMl$#Qj9)d;qWE5} zPOhp}r>*TV&ls+rj$R=QmM96OzR(;b%8vO?h>ul?up@2It@$LL*E=Qnizs{g7=H?S z%#1n78<9shQo}pQF2{w$X_Un0GC*Pba<3ncKy?+11pS*6*Q62eK9@Awgi%T_SZ6Rh<#6a;5cQU4TuaL?{PaQwK;54_%OC7 zL`9rtuFW-HFgq38=`xL>v1C{Lqpl0$ZiwJ5HJX5VYjs-owz~%#>zH;&$K-%f-4_(o zb+h?~cH`rmjDA3P9iC-aXdLF?63sGP`XZOAE2=i3ue|YjQvb%03L_91vg3{%H@`> z(VfqO8$gL;uXy%f{-!{-BCQqq4v<;o>H#fF5jX~B+~plojyxJzjOzNNp?fF%47QJBAC3WHoWvkRd1%)2C_Wf*fXAYkkB5j_I|1kkS$;yc{Fb_u2f(4(g zd{)h|Rb=!h6&C^(r86Sq$ATjxxzO&!dC4r_29^QOP*DraOASDN3-v1gEfj4ll}zB_ z;jLwMJgBnfn=gr#Vf=%+!mnspf?XfSR z9o0OTY56L=gfjec-2}(2xmSgu6Zu zuBj^xk#o;zU^3Z*%RnHKSN;5U)y$xZUK>IS!HUG`L*RnJWWzT;B|3Y6OknTGJ0IFP zdQQ0tKkNOg8-Nl%k-A=Piv{WQ5PU#|@SW3z@oYLX=*HyuzRPFtzgZ)}QEUAjaie_f zlfD-L9NJd@>=+^Z7?|^OuR0xq{o$D)wU!d6=t193XBF_wVtCr;v0 zv{&)r?qnqQ*njxNKnyrkq1Uq`N&1D>WWZfW6V*-k-d$tB;7oZU%IH$O3L#py$;O5U ztU`DzuAw|t>A)&`w7i+R2oC<8GqS3h1|)(OEs=oqY+X&Iro3w}(bubP2;hzMJ=uM< z9Mct*gc5hdwM}NquB;YvED2xg*|6Pm?0Yf_6?=0Q0o%}OUeO4* zXAKNY?$_D?zZ=EYkxl68nNkd8$|YuW-5g8i!n%=!rm&0P&7SZXF3l*&F!nNxoxFv$ z_@1b8O!t*PWM@SwvDp&UMwvUW80*`UzXx?=dmhfH6 z>|o5u1T#4KC?OYpT$DSc4jirG;b67{$(MHs!$>%{sgUT&CES}%1tVEM*b3r0TRDPD zE+R)t7dCyM`e`rIitCE>_j;RaNCPP7-U}33=5Xdc4HYnn*c0vV5woq|C)f`_9WWeq zo`0$4ZjELCew?-6vF8Ap^b%TjM}>h_`hJUPLMR2J9B_kGT89M22P6|9vhmz1pqpW| z=!mWe?Kh%l8HZ-X_kSbct!$TYe~m_3C)^J1EH}OZSVTO8 zj^Cj1mF>sROvW|YUh2vsGZya2_}1MO)j628spq^miStrOAX+t1GO!&)>RcBvB$R2R zwD~71q>-OE3NWZ*t5*BxIo6$p7LJo)pD}?G9pY639{yHMw&hR<<$Ip(lrBK>wt94g z42XtdR|YbqPd8vp@j4MBptcn)1Cr0HS>R3|D;IJ9f1q0#%n<}F{z2!J$*&OLXRVI0 z_tI|&x{KehfhicNLd-qdjSjO#9{KDPT$w*W;iS-bs?`WZT#Wk8nlpmwnohjU9IiYu z^5)8NF=AUxByHAYN5BzxFo)q^WXvmqE)c8l;8f(Lf0QBXUo>TY21f%6MmS`kS%*{m z9>vuG{6J zUYxm62YxEa^f5mYIV;dyGaS4N?GRS>OP!=%jO6WgwjtvPDp|1Jj0JpK{0al^en7H1 z&5SQa^MDN9RoWoe%sjql%7O!n;6;Y9ic&Pvxe7S^8sF7+Y>mlWAvhVQx(|xRtBQh} z#T^d_vp_HLANK`+i?MQ;vH9UQ&^b0&SS-BlRs-<<=HNTNgzI^d;8gy5 zda>s#w?L@mNt-W>bjpGY#FRSoP2JUbEke&l-BIa+IkGLD1YSj72@IVXN{QK7imN!h zG}v$geTHiA>bhJG8_j+-oPdDYI!o>yo^ig;bz*lClWAj-iV=gle&x5SBkk%w|7Duv zrYQ{bv4@ut^cy*)bs;rRJ3=9k63jboo#J}#g`=^xrqE0o8f~?R&>w%!z_GwNJ_~l$ zXOXvY3-1+sWE#uxcfnFdYefv;W#kYh)dyuERR3f^4*_KVr}^oD}X07 z$hBc;+L-SAAZ&nx<6nK(M~ z3Yp0G`%#xxm(D~Rwv|mqM5m3YF1vAza>Di3f+jma4X@y*ZNqEn>b>cR;~w@q(N$e& z%49aDebhJu^9jyEl|2N)0j>L1b)f@{#6G$a+E-#bYUw|(k(ntCd&Dtc?3sk%i;uz)A7X&^(S~<}^jVa&3nY}LX6(k^fd?ayr5_UpMoM z^?KWLo}0={HW~Hd?)b-k6pf znw#o_Jcs#(zd|)(vZ}#kJO6MlPNWeFmgtVgvwwe)*LkKrM|DaSheX$EVk zsXKaH$0i;YW@LR}in6<8jY4d}BnI1T# z4_3FL#$P8$p`>A}Os<%A!9ClN+3-QqlpSk&0@B9Iyd&I96g{LD328W6&-y4HbCTcTq&5>4VY^yAWtvcN>A1lk> zJ2TT`0IO_Hanx1h=?x?zu#5JR>Z`Mc40*s?O|zdb1|&O~{e`mVMN?h$QBeU#XIO0e zwH*Z`U_~x$(n|QQ(EuOmRev1g43Z%T6Q@;D52q0l?+HW}f}iHqScwhB5ufw zn-vfSLND>mnBdNq$iWTn9mYWX(CC(%P-~SRFF)V!d=6aV$V_Y&HC$PBaiqI{01VqV zTBQK!`rtdBhDeEAxFEns8(l&H&L&yEzn4g%9HyPRgvC_yo#4xo1X;wZq3G+jE{nn8 zS$OE?JC*`TOE;T(-7{bwH#@t^M!%?Rm6U1_5+VN8kAMTn*}TkoR39Gq^jF*St13%z zTGMM~r{t&P8YGY&=9t)!(;yiGyumgzGV`%dZEB{50h?+!_dIXhAg zB*osMK8sB2DK!eM`6JbC()>qIsG5chc7!Bw2EJfL40qq|NKnH~515y$cvRqN9P*Wj z1b_ysb~&|$a4Hizi@Lg)-+65EH1GVd13~U_{opoj(M*iqhmFrk2%>d|E$dc2q;WEaMMAXv){?(fsrRg++< ze{rzbz5UxZdA5=dtpHEyvO2ei1N`!wPZlg!yoSMm$o_)qBl7UYk%c|M7TAiBxDq5y zp%4m;i-rz>HjT&w>8U_n!cPly+==ZN6-A3C;UqnxxSfAp#86sleaFeOyqnWwjEf9R zV?yD*!X&D`HR!0?1X@W6B|~mezRATEqi-wVG4OpJHaAEVOeWNk9o7VN-8E4i7vCr@ zWG2BP0OrK7Kmig*+q#UWci;ooM3H5{vU0dbl{;hzHup?6*kwdzeB-jX{8^z$jX1sJ zS07RG=ozf{abl==KS>dv`=CDyJDUujZ#bg_wM4CbTexQWp2CM!9r1z4an(7r=K0GF zhhBowC+vqGxE7LENRP`p%s0f~``-_ij?flc&ci7}av&a)>n(GH=08V(Kxw)rwg@1?Yg@CIBPVhqm!yV5Xr^1ZNz`)BuJg+P<;wD1axS_t7SJ zWGPFxQvQA|`4CVbHa-*EHeWZwDT@U+A$cp^IW`5Be(%zme~vW&P(vroyjShI@06JJ z98c|I1Zug3y)8Z#xL(mA9HMUeD_wTyFyiO|rjl`>I8UBrl^Eu7!h5W{AtaXj7y`v^ zKT3v#NbTIv)60vP^4cP?!0}_F;?p0rx4pT@qEAR_C$$*1EZJE$nuFsy$kK|B(BR@P z*M6Qj!48BcnI{yHRio!|UR6LsfY!WveL+<}Q1{I4WVFmV-AK?1?CH~DAfrY*;@r9p zF6j(&afPstuc3%`Hf|+k-(o%I3tT~jw9Z-s7(hvnjyq$e;!a_Ny>j*=>L{cP_BUT8 z;imuP+vq>fowO^s3eEo+Gk|(B5h3c)A2=N_efMNn9W_(qZBr(ZlEtU`(h;JFW25G*aHr2Gd-Rz|c8XIgw-c&P07-7_qrM+jU8r!AN6nNpBy+A0R zF!P&;mO@iE&ql3fIxApIs+Vt#nx7&V_qc`hriz^J&Gw1$I-6Kg3qxx|YrPF06D?qX zrJ~~|Md0_KhYUgAp4Cov$rNLzzv1a|h9w4yD@;#FDIND$|Kh6ZdZJ^8Ob8(l(IC0a z=&Zt+1ylp%p(ZQ`G%Vo&rNk$GG!-L#2!Y*b(Gb1I?7k_?ZU`ZYH$GW+QymoR=bW89v}i`WAn z_=_dL;xJSXrxr5gVsMa#G%I!V@6kUnKTZ0bnxrD`-R_z)tqar^sxgv_sn%bBwW3I| zgmW_@p8x-UFv)TeVJi;*SDj#;E0F1df%MkXa2MUcwTqZ}3Dm(|Ve}x#ST@~m!p$+Ta^=-Nqgdl(vo5+IT3T@O&LcJ>4 zolg$dq1bd~*M9a*e>7?J!ne&wqF!-&@rf zRXSp{Y&BLJ-0h&Tb=7A0Dkofmq&bdrWo&6-<=iM#R=!}!0F)t$HjAs(2np9DY9516^Ch^3{vJ%Jq zXBDfzNR%FlVBn&Vnl)je7!Z+S^n9B}^OEvJr}nSV<&^_C=DW#JNCE=#8Hg*X!WtQ^ zi`*M51i?Ww(M#DwFUUS6a9ugsN}ns$D-HVUaxEGjCl`4j+1`mr@C4IR(MjSx`*QtXY_oQWFcc=c@;$XR%w8kSb8 z71y~xjW1h06vph;vaDhZ<{_6tF0|sBb7bJK4(e58*J=5RqVQ%Sn?wY)zz_*!4Hnm5 z(Y+-p4^omr9mh^0iSU`ylVt25`du*p(%NdRaq2m*t24do`45=VE!_Qdr@#0BXBVxp zTp$rl!`U`MlO#aBQ4qfj9B$e)>0lZ}lJc4 zP)?>t0Ew2tbGAqikvNA!V#ZiZM=Xf=P#-_M2R660Uk{VEbl=Btu{3qJM;AyAE#*#1 z_ukkR!*02??UlXpTvq?|<@B4844q_#OGsFTgzn(7((JF27CChZAqrJ>vz`{TF-R=l zAMX!({ls;kEReiO7e8($eB6E;&HR9rYmpYc+5k7|&h-^Yi0RY}P(45ty zU<(AZH?=kGk7HeY2xRlbesAaX>hjeddax>PF|fG!%R=;i=HkMBGgTN-SVMB)1<~i- zqqmNJImmji(La&Ecm&at6U?hPVf`J7OuZ;K4Ut9jVdFHh@ojT5+0$I0;x@H_Ur};v z(1UP^+fogdw0^`pCP4TXD3xj54c;XOJIUfKhBVH-?v{`E9|0K$KbkD7K>&}eM^YSE z&l)5a*qtmGhM)0lGxaY53{N$kXrS|M_i4K(oa0>`f`UNkIDZPfU-`&XA&FaU;Rx+G z;+)G7pSXPT6T`;pJ#>C4#4nJGiZA8VDWw)9Eb(&Dor|tjFwO4(h(EwxX@)R$a->z4 zs#v;nH0e5J!#86Zcz1^iSE~wyR#sVe$MqEJiWZ%_$8y(;qA+Sv8=qS1lqGuh)pVq;lrau6`NV+V{~tSy6(L!207Ca`MWkba-XfZN5&< zL}zt?sKPo98HKFZjL!ptq+kir23=Uvg@8!GrAw6?bkz}(j^TBAB8W-Q|q`qQ{C0^ zjmy>eTuYwU-@o{TbL5=0H}C;X4YXB+&L>vjIvzeqo`I!1>dVw)-$6?&PU`053Oj7V zH6HAdk5B<+oxe3fYhSC&x+n7&LZbsCsYt;al@!qdW5cFHae@Zl`p+{Wf2{bao$v@P zDUp8tz6-7A)Mm0;Q_i__;{u&I_5xjca}9U=EdWZKQK1!>JmtbB5wWbPJF3jY0;4pH z*%;}Ppgu#pbye_LJ8WZ^wpX-_c_&v_f1f-g!WXGC-@ zHC2+Knu?>a$rZOo(B=TY5nc@iBNHMc&snDD;>q6h^di&2Eb>bIi#1G?eN(RNeyt4! z@ambsUSON`5b3zrzLBOHFIm(gqhZR(!0KmY?WglSU`Gs-Vt$xz2*H%9B9;JYX$lRA zT7B-e#Ae2U&3~sqv;L<+)penvp26*;yYxB!4+$NY2DleVfEXoLi+oec`0dI&Z z@wEhvVYzs{Cbf;adeV84{6)}AQJ4X=?uq|SoWoKY_Ukm3?jEZT$P2C7*APpo$WOnF z{!!9ua9pqvl-7#_e|&!a8cJ1d{^QX=Z?EJp@A&jS@E9O*M{NlA>NyqTnyDDIy~tml zzQ}ut$>BK>i~|D56lCTwnSFq_eWbz>$xcSBkMg3k9NG0Iv7)xy232k15f zkLr;~Mr{AQ)0)=>p8kwE&m@QqmI1-(sYy&VCrASGsUh!wetJUNW@={3Gu7Lrt4098P$zbrQRe+!o=e9OVkR~4mG6+&(M^%Fv%y875KZD6m) z@$fu$_)@drC3C#&ATLDui#t&+Bd_9beFr2}_6|6crbz|Mk(7M6!SJPoSpa7KoJq^; z<-AJM8q&byG!YbcRcivjG*kS=p%7E+o+QP&tos`*L~deB1DJo14P948uVHlR`$A;{qXrB^-Kbh*sk zF?6uXDH>jN-7axdbP<5=KD1)v~6-V++Y{? zAkH`BXF5vnLyWEKp%=G`MG-_ir3N}Bd#e?uc@c#4#@x-I2z5BlYc-zMe`kF`ff@f2X*}qD zAqcobRB4V)(3<8F>E7RwmFB zSD2FmkC5L;dfNn&*%mC>QGAZ)1xxf^zL?l=EICZR#S{APqhCFl(l`FB05`x-_AmX} z)cDwVEl3>%+2;|R-4Qqq^UG#%{gaDPPm54f70Al=BNAGL=8qS#PN)3uhnU|jg|rB% z$-=(>TQaGAg=&NRwe6Av-P@gF0|*!NS}D@t{_1S@Av0uq63Ql#Smn*_MU z3dU!L^i&m`S($9uB&g0S=yDF}Ku`{+yxGw=gfOZVp*!%+4+8 zKn3u0_M9s8x^5#6{`Y*dmP~fE-<&CwteYOgD&mSSi@Aax0|qb@HyoKx6axEDcI~WE zwOf9vO-;k^c5C0#7IlyyZ7-&OBcZjL`k9YGm|zH|a9{p2l=$mI>r|Gn(1Z3ZLc(5H?Uoa4o#-A(loxhSS0i^LhOUiAnt7VZ^6Di@D#_1)}sxMgDLvM>IzLwm-6 zd+A@%$M(kTQbwgeXX^4WsjyFx)+f6O8t7I=5nT`I|E2w+C?0IO#6&f+FE=rM6Ju1I z8Gmgew--hD##Z?1Mk4Mkkz7+$xMa_5Q;^sz| z&EG4MURpg@k0Sq@asT7x;fQC@d+5YMk@%29bohz;f;k&%<(SM{M4haV;%{-k&ii08 za1*rl6fK=X8!3Gi5D`zFwBgES%E{U6HxF23LcWB6O|3L+)I58x7~x--x+og1kR4b& zbv@JZ=#o09S`@i(yBeqnXYY{oIsg>RDb|l;O&D4@312?P9iTKEvRNQzhqVRucN$&< zY&o&(P8J_2AAhawbP-K`(;fPOlF#25t-}F|;ioG#fxU~HhN=E+wCH}pxmpE*9`M#|i11VwScM>fYsiPf z&!0Ad4aMteC-2a5LuFE97hr%kKxY?BziuWY(fw>+h%a|NvErlf5)@&DRAcfI zVY87pM-W85SM)S8ADTt)d}%@VNMqIsgEoIBK&2>{vQIY{{m&2vT*6of_~(*P{GML# z(E3wZF0rZT$zqb$$}WSo04lM5lbae8%LL7M@mrkO24;8EZQIbe<=-xvTV?FgdXX35 zXBucD!f+wN!xA<+xwXO_lizaWpA;Ma(%VY(zh_sZ$+-lY{gDadY2t<84$ca20Adac zvTkIgw)7YHUw6cfrwN$GZfe(xGxLB(WnLixv;1ujNksN_`^As?-m}0RMeWkg7Lg0m zYOLUpgwE2mT#!rc1hioN8;9>u5ll`YU6PNF!cgizh_M!yFZ3kx_f4rcsRkEda9X7T z|2pSCZBuD3deKk?oCWcYz3_<>6iVV*S=Yfu4t2p=_dz&a0}vAQ&8P=(3!h`i_D|?7 ziNLMu;z-koOh2eB6p;!=awRAcfe+q|f~J$v8kQHJ)KD&KniKJ%;UI;)bKico5mU;& zK>J%Rk?ZNWk{Sp7BG!%>lUH^@vAm;!=wxSv=U-1+D`D=<^)4(rP*hIDYLPL!Ussh| z3v9eR#IQCw5u4y^8n0-eH47pok)@W_5|E<+F@GB)0I(vA!m?XZ z>4}I53^*cS+kT(D^B1u)8ygl;3U)YvIWa&=9LJ=q)pLI^yhW=EL@-@_E>HPA>om-X zeTR-m;7!vVh693}U5@yjc{4U$x*~+W?fQWr7nHueS7GO{GpeY z(%MsA-Z}07Tfgw=VqBqGMxXgX{Q2XHe6RaI%<(u$(m7k+^r+zfGt1_0%2w_Uxv}b5 zgJe9!1Xn>2x9WSEA{r|fw+VMprlWOBuQeP4WLwyuibm=9fg#mez5crDvy!d}^WBuH zPSmtzWqr3V+{+!w|Jal%t9)1wi=4l~74I=}tsGcsy^r`#ui) z1QbNyZN1Q#*GIpI>pJ`M#JVy_v%^tP|IHHcRC;jQs$}R z015UAfW6I4jIQP7h!ZOy2DiQFiMaT)s#mNY=*FlB0i`l>2YlG(Lskx8j{(_>^*0>r zdD45Wdmv~sNBqNiQF27)C4~VE>d@(e`ji2BtYD^NB96YKD?0@qB%(%K#71YNGmHNF z!1hJdi52O*YBihw8TzS-woW`5&HZ*J_SOihTrHV!j_e%DQF3(kgHZBXD4CWUh!BsA zDnd$IF&;+*WIJfMfjD$f?E-P!0g@&PD?Aw z=PNT zHszcXO(I%9Jj;iT9&vqBYFH3W{g4Rv%Gj97k>^FQb&2r`lP2}ey1Od(akhbRf+z}lsU3?_&ZYio5D@k%SWIx(HUs~ zi+3eRcRK~flCRJjdg9vewpWx%NFOie5$;)p55DeIAJAe;bH8per>@E;{l|SlAvxu9 zg^|KCE6C5vMk>Pr=@yiJPZWT}{9*IwFx`yJ&gUu<_Cped>T}w@fYJAz?j0sEkB+al z*l~Qa_BTomiMUN=#TdmSg6!9)AGzXroq;P&uSH?AZIoD1uTAWi@j1_lkdG+U@N^^PaUq= zS17H43lv5JmD5~mz#PTA4Ox<8^%XmAS*(51^SpcDJMF6v7Pgx)?3~g4)^bU)mr3!5 z{|^ww!pC<|GRl{IMg7eURt7tah0cX_TF_;rDYIq?g6yN#a}>4AZ6hcqZtZJMkgmN~ z^a&9v=?(GxjCdvj1tC0BnwAwu_+3emtz=e$A-D_#fDQXPsTBjG{k<4U1E{GgBx#J9 zN2`oZ!F&R{k#DA)n%fU5vGxbfmO-t#toHZg7rJM;viS$!019p*PrV~u-U_%~f8WMf z4(i^Z&EZ>aMJu{Jp2N305`_qkhg3M4?NZq^3Y~`jY~MmTO61nByl>BvQSO?JStGBA z{aPoUO2$2pU`gaZ)b9Qd^0_1zpciw5d^{~6oXV-hd-#T=No3)7&4lnrvCLv9mB&1J zA_>cDMh}|9DmBW=bfu*(>W52N15yopMqZ5IUU`XdZ!k+G<|t6TN=|F{6wzcX>aSP&6Hn9$qrdJ zjD`C*W^QYtvv>!eSmJInq2zJ?KWH#N{0W<4-jhfNV61MHlM{W@Fam{Ge|n~7ppB7| zHFcqfAHd=M)iNSVL>7R)PQiA2=Oc({{K*mC#%RVZyA-2)_gul_`(^O&KrtW|K|B-= zoiigm=VE+S??A4ntC2NkUBUE34i`&-^4Nz^Q>m+ul;?pB(6LwsB+W=e-N(hvvO7j+g4)JqO~& zrfRdWqk_kDskf32>_}9%3j15i?r9TG=1JRRY^oBIGJ1kE1pyQ|h4mkF%b&L zf_GnA5W5oB$QIM;LYR8$zJXSjdc(MxhsAvopOZN-PZOksHXIF^WNPiswkYquTT*-+ z7`>DPaX~J!MpUhxCio2r>M)HD-p})lR+4yHU2MJM;{d_PLvK)lWzBz#IV_OSwAuS= zF2aC{{DH; z_fcRFU6Sz_2|^OS#*&fU=V4O!z@Df4Jx<+`8SKOAxVT<;I1J~ac7IPcG@g~g;cP%PeKdS|Us=tSd@wf$zT7 zGz0ou(F#xs%Yx}%ycAD+075hrMU&5oO_&;ceUf{4O*~|ZOK6H3LfLGOgKpY}=RZm{ zyGG87_T;buYpK7d2+Pk(y0lm8@EfQxbxbiWirAcTxWie&!KYe0?n~A<<|fVpn*7AJz?#ldjzj8>hKHi-*OLwLa4MgK0}S&+*`b6-bs%fq%DLM>8p0yH&`DoWG*V9tEK zQd6TDC>}Sea4x3+5A<9SlB&CP@Xw7r|E*U+aIdUx+>Or*L|o1IsL?l_{#zbx?9z?t z6BgWvZrs$LCU`cq#MUZVHOJ2o{b}c`k)FW`Ik1Mee4fUos1ipEZAV;1R)uAcQX9Nj z{w*jvitKY1EhPAV>F=?RWOo&@KHeCAX<;wS+bgfv=L13!)Ku*f;^DGLmu=@~Uh=6} zK?~ENpv{3xZn;(LW`-0VV)XWaHJ>|WxgZ7yJXoFcV8I-q82Cm-!(s|yj^ghKdHQcm zwzMQQj;BY~DdyDivy1liySv~nmJJbBLKZb5)LLHRdzyel$d*DSD9Yo`v~O+H(<2Ik6ETUM#W^S<0j3fuq1q$~3I|?(#SZsJ! zF*rp+ARr(hW??WeARr(hG-PEk`a#6T@z78McGrSASZzezOA}S3bW)?}Az5M}7IE0H zNst^6@_M7lvq}NY>#2$l(%o&sC*&&1R#Uz$jj(lx&!;BjBTb%j!Bud!O z%jXvgwhk(F&Z6X;WY+5gd9J3K4>V>|WY;*bTN`T!I6Ru%p^W}k^AR^!HV$#kyt#|wM@Hx(hYJjU*y9_3@CuLgK1(eO56J%ez>6Ojn``;9Xb`a zBf%7)v;4H9_RKbVH*s=8%s3m+5Be-hGKn1d|Aj;iYuZT$uIG)?0csw0yGa|vy8(|% z-?ybM(_0`&HTPc@lmMq^>PTu(3A`nI3M60{=LY>d$I8%5yWe3#AMZA#6PJxvFv9pe z1^7&paJ8Wo*Podrqn-rQ3*xLkE;+FVoGwE7?eZtD9UA z{_gEl2juAu$TzW*@wxrokVxM!#nvZt%Dv+&gPU3dy6IosHETl2Nt3tmk_nKnjJ* zpi{RGdHO!Pt0HEyB+jEiE(b`1AL0{6aSdM7S_j6H`vTcHc(q<5+yJ#!a%j0BvJ%%b zz^IpeQDZ_;{D@oG=4>WhfF?e5xtZ$&0N}yjMaswFlAi%?IP&UOC2gk+~L)zozKr-NRv6)Dx7Bu?Z|YtM(M_{mJg26ay`e)hdp{ z&sD6Qr#z;`F3&j7>!3CG@JV~9!oZOLEA&eoqL=jypf;#yz`;~(llD@4krXUM=86~k;L$W_?vK##+w@SgcvivRW=w&x+M*Wo3G_>aFa~@iJ*f`pCm2|hSBnEn2JxX)(fw3A;ybXuEm5^?FT z5?#??^%;!g2@-_*FGOgI-5{P4ZBVA?^q*u_nxHs61%;xN%c^DG@>d^n3`4lWETk6_ zY#2y7xGC5~dcEOVxK33yb ziU2{R#KZuhbB=$ZKNX@ewWW1eHY$-imdx7dXyIf#eG|cz2GP-&D!go`Nhswn>81>a zj*k3?ziyK^xs3z4?Ic#0J+E`xU!M-xk`-NGax2!3qu`$A%JR?h^qSnyPN{XH*fhH! zVfgIN3w(ktn9!5fzj>%?IGHj^3oASan1!r0zxqp8s=V1;LFq zIY`X63oB|`VLm3Jmlyce$!_r2ByEdgP>aDD6849iNZc%ldo8Z;g%MGZgZ29eR3Ov= z(1IR7eAg=SM=Vpy<24*bBxv4Rl}R;&ynMU9m^mmYkbRQX_&ho|2L@Qwm;wlPD!Yc5 z2T8tOB!-C(NkMXZ#}UbPML9l7%46|@_^6=+Y?cy5g9nkV>bsAE{p$x8ByI7(4XdTf zK}PCqKlW-?WUgKE^(rs;`5Z^n=2!7X#bNpcq?#kOw*I6?2@wGpb~&UK{1+22n%Q<* zfmJd{&17Fb;|x@k_BT$XkNWPseUnBQL_JsC1`+D>Rc{E`v|_myp&Dhi4YVeDq9!HZ7rE};wBsqjM+rRj!B^TK}kG1^ki|< zcnF;P<hkl{56)s)!VK7H|aU&bxF=Ce8(pu-;V5^TMf& zw%Om+OC=z%Od6fVPTOe@{nJq<;B|A=)At@73Tyxq{~-6%w->G8hD~8#3ud zJbMt=Lg66!REIM)CmIP0ofJmDug}$OglWghLnllU%_@WMRd`JSbVC9LdxsD+;1sG` z?2*?ghdtSuu31A-tUP)i1{s$~-IxF^*D2fsquofnaM?h=RBl z`KaK212?S`>9_i-vIdR#=}|K@<~}XrPBWP>B2_46^D{}JPE>;O0fECw1!yoR$JIFh^^h34s1=3NJ=SbTC;v`^+OpZ=%>< z`_~$OD3LklS?XB*3-YTCHLYmVYNAy3!lq}+V(UC2_$M1p;Z8yH` z9vIttUZQ%N`i_5r8}ESfB?&EIo;PQDK}TYKU;X0KXZvm8wCp$E6-Rs49xBFB4vwK* z%+43>)JmBp7e?Ve;8n2m+(zd<2GdA1>R(*lPZ8c;jHn@Gf&C=3?$28MA0o!H4k67k zt<^T{A&ALsjD^tTUThjCwhycF+7(80xl2GbP()AIXJ?MLlagy{rC}6AMdryYClVU) z)Xt8;g|7^*Tvquc;5f0 z^Xv^kbQP4O5}9I;NkE-d`$mz!!NeY3ADemT%Z@BzgT!tLD;K=nio_?r_wos(e|`wo z6hy$_FS4iD@=?&X5!NmoBHp*;S~%5Cs?0M})}wjXB$G{_k+sDe3)tDJ4pNBP*EVS7 z4R;f^@C{EO;IkMnQd_!&Vv9K9+|~n1==D>q$GQYmGvnpd2od1fP9r`t^>Uf)i~wng zD{sAD%Ml!t-?f^PWFMi(8^+1@o z;ou!pqyMe{GDbN#D59E&#EWu9wFjxSV@c52mVnyUZiRw;*-a2^lk-~^*an5!onf(3 zWjX^?{kn_v^%Hq-C5Ri%5+M-d#{djK^S@bLbznir`xw@}cAKq{OxS!*g6re(wMuq~ z?imigA3ush#im)|+a1{dJpzvvZJt*A7b)tWM-@e}E&Av}EWg(dy4Xn>p6*Xs4pz}` z@%F+#X>p5^?LUK|rXUPc(q^S)U4$iPu{z6r+ejXZN?07e0mc%$=OG(@p^Ri0V2#!! zgkAblU5*?^IQ@jfi1(owdY=QWR#EJZH9#(ZP%QferSIoSE_0?!Cf;r65_rb`!}bxM2a9$1vMIxKf3yg}y2+}h#;Ndo zb8)_Bnml+g|2>eaA&h@%$GXCu-t5*`!QQ_Vt5&WEJ4eNX)&CsDGEo3yK%~O$qrn5p zgxvayc+2)*={Q^4a|%tSoAy2ObfrWswyFmXDuQlTraYLXXbX)g7dxMQJZ?=_4M=Em z&VBa7v`qj!6qoy^VE1;kS*_dwZUJNXbYIH^bkOp)d>36DdE4;}PtEu{E@oPhX7p4i zd$R^urJnv!nM{Ud#jn63l6~eSlPFcsjdQ}gNsF%aSOB#MPa%ANV5m&?n+FV|vitvH zw_y{916sEewLS%#8>Ne_uMn+HP`eAsE(9&d|rQ_ z>pTp@feP---yhI$f=o9| zI`i5G3cyTW+xse$`7u&?@~OtSvX>=i*wnx3NdX$%LDQi|*Qd|EDixEmFzAz(O!BhO znpqI$JP+`hq^O|@e9|=e=$2nqepZKxI9fBml(rx6O2#fvm#8i;m(ISN*%LM_1v)5r zA1jTq22{w^?H#gzh?rf9hRFW~B7|B<>rOb>yKK$k!(BK@BcpL!=P-Tb&XaJ+-KDs_ zA`b34B1}>0qW5vKv>ZYza-$_{IH+QE3b?V09#hlUXFb)IW({wwyrbCjQpvdX&6Ogz zs*6kF+m1Qq=s-cdRHjUnLLq78!C@Fn;p!)P4RE+$MNK0*qLrq|lDn|>Yby}|?mG%R z2`2Yrg11Iy-gaYZR&Srq+gQGb(K=F2{f|Rs2K{7X|B1h1bot5N&O7VN0GYFsM=M5| znL2Ohq^v?X(O2)yaxhych&ZTsdK}rh&J)I<P2mJ?0i zu=P3!rqg>_RW8qC0CjD?#`6)P{45k17$F&*`A!LkI{S)y5{o(SXVlg^e*8d(6(0p< zbAhIZt*u~5Ynkx1ype>9Ir-|h!lF!OBKUd`S)pAM?t0P*5#Nk-7RAf{^A-6o*ob_+ z&FX;0o%hFkAS!3q94J5d@3d$}$AENzuTCy%%as!J5$?EuJ@hc@(yd}g3+>_;;tO0ZLM1ul&Y(uotGDyq8AtkGm<=?`e@zyF zHVc~VVnvu#spcn05a!UCvEQ(RDnxRMS1$;_?;B!Dxwm7$6t_;U01o?P{C#jJd70QI zH~?(`$-a{vOJO)g%1MfR9K5beEB_EaMn@r!mvjU2w{r_Q1Q&f>_)+n~0CbFaZvS-k z<}2Org=x)wovqH1h{nb@Erw85U1b;h})$h|5z|zMq_(5ucrl@>S4Z%Oz8(4ml zTAHL|e*i{W0S2O{-Q{pvorMWE3Za*1$fcLu@wCm89?k`LN%k&I;eOE9F(F)kXz8~y zdElf%TZ>7i0k!-7KO2d(ZgAD;a709(V=hCDOl@^b3ZbVsWi|Q0vM56?O0eGRiC}&yngo_ZoiVdK zr6odjOF)HQ8^~gbE@WmJ>v1^Rj6=af#d3EkW^6VJ23ynD8D~6X{qIv*V}v2-Zm+uM zIxxW+j@dgUu-&h&7bvfff+~!;q|_c+kGYk9zh4B+9UzB0Pv-EiIVJ2ne8t=f29kit zrS3=Shbpr%XjE%*|K^?a#HJX)8%o^XV)RZSgjkm&t2dliC4*M!8CyF-%zp{9|5;O{ zu;!q^`R2{!V6nmKRO?8pTh_l4xPkDn02E zeESYattXr1oKve|OHc3uu-Cy)!`fa4jPsU zF6OK!cSn!pyg9GSkwaW(EQ%2tS=3`#JVzui5e$W!QNYviaoa?e4#X8-VD~E|{}Y24 zXl}#pU|o_=I$&-!vk0}C;UKLEKzqWe$a$ld@8Bvh`u{bq;(3Mx(o$@cTX&s4&6rb) z(8yS{k_A6$-Q=P{K7r}fre@n|;U36PvM8a{lLUI#nS7N@Dn=ctak(IAxmgnGmJlw< z@tnq6UgCB!7MWrnv!-M02^Xb&tBi~v|29$2iHCcFu{BJlLur&g-rm!3sps)WViLX+ z1lUw|iAA5Jk8yL!oqwt+U8Q`iRT%X84w~@qj_c9&7XVgOrf$9F#VzR%@4z4-8{|6< zq>d5VQ2Y>S$TtqHuGEI-M4x6Qm?YNNR9|*p1Mg4&ZRi$H-7+BZLWYq^H+`co3YxzR z6~k$z`gs}|Cu+2b zg>UaDgH(hdesQI6_C7>u+7Fq*MF|)jYOp*xComMuF=1$`&Im&cbAF%=C#@W8%IED6 zg*lKqeo6_w?lXEyT2{(i^}cex+a8zNy#opTnj`P_78_< zgr-G54p;SDunm~-d+F?qE+1&w$aWKOh!qD+%_U64ex3CXdKc&^i>@uWy`LL*qSd`L zGYkBr-*dx8XNYX=vm>kBv#w`_`8u7)-m=rAY^;fYXq(ICc78R<+$151mH-%cr`~Ac zX0Li~JC=yc6Hwh(S3UJJLa3P|Y&(mX#Dq2G6bUMXe9{Q+AbT((#$fq@;(D1s=tVYp z6xw!^EyZIjKlCEk){p7{*Z63FABS?Nl{_HdJol2r71L=aG9o+ipScoCZ)kPMyN5umiZSlw0bL^Jzg>T`gr#w1*@BL9XeTKQRFtV`qX6(enLVGr zJ|a`KfvR5?*mS(R=W|Bp#g5h?B<=|?hLUJrxfpCf< zf7~#xGaN8^yEW}BB(u^p$sI#Wl^u&Pd1}g_P?1hTAcLNCvdriSEA}$bduRkAHzyA( zny!+8Sc>?Pu@jACnEUlu_Q26o+qzrP18rR@oZ6da$eP(B7%wmrb#5cfFmSEyY4Pdb z-Pd_E6jA=_(!Hp0tyWh;BO6hS{`j^3fLCmsEs89lUpzxV7tu(;%E90S^@kx#mv#i- zlUTIShltAufXgaN1e0bjM#?`cDQ@(^d)!MGS<*-?aYvxP%fehE&8I2HzPC*-q}%N_ z_qcQDccS3l$2`K@DF}D7*!}`oE4zWTxx?I*{p2FRj|X}spB@^$4&$ct_%5R>;TP%|kX3p@RK~u*` z%J>jr5iv5+F698{LHk@00hEr*xo)XEnQ66C$JsSauHUe^vGC&-&0lF@#eH{knK7bE#a?r!WRnI!2fkl&JCjeGm0a8i>! zVhtw4n1G8XfPl|O?JdFy(ex3QCpGjhjmE-P&hG6z4C@WA>Ib7fQD=Up6(3f^=gH3*+ zYf^zP>ft1beCEhHQqVM+=O8pLOg}(;2K>Z0Q8LUTeYtL6tG$Nz2S2#yQ5qXnN9Cxp z>}{Llm%G#JS1bEJM6R6I(%uT?ltW{st&St`+&(0XSz)*yZljgj_|V8x z5#7>z$!Z}ZsYsrd?pchslIv6Mk`hZ3c+=QU{Nbp6uduLKY*?0^v1tMMUuaSpZa z`PP!Wx-G?=Er0V1YOG4|ch68ggWUPJEgPJxa^@|z zEM#JS_I76*tLS;PgNJJhAlA^rvKIvMw$zfoQoKG<^d~tnzELbnL+lAS{FUV?{Q`%` zu(0*etfJO?gR=pYP>m#s)S{4%&xLYA=5;;7cM<%ZM9Qt}NCmnw-&J5aCUuWw>Jg_O zgub0(0J2f`+5_a< z9$!+B1jcUjcq{cp)Nxo{LhyyO(jz6+7w9 zJZdxd&G$k)90Ah`fSHE1xb|t_aS2GXJ%O&xlb7zZdFL>o9#^bZKGE?sAg4YE-MyA=dg^kg+B+j7-lBlE;gMu@-}AtUiwR8 zBv!$u$coF8#Mbyq=K$Yr#ZMGgf1@`IyU>A!jZ4cUKt({X_1z_Ef>rKlfw`e_Nhyv)oBduyaVc9KimsWIAFodC{=3e`=k zsbg+h0Ax2xgZN@M-^S!!m(7E zeeS-#*9V_x<4w3N+?@>y$@JjTk7@PVA2rq~EX@c=INeZUb}nKLExF{yluyFY8OTHe zu%Sbzka@B>yKDtu!xGeeU&ypEb9;msk(``%v!yJ$wS4T@(NYo*r^V*a3SB6{+VMn! zLEf)svrwe1vq9Lk+2qw^osHx(FSZ{5yzg-*I)T$mN2!=Wtv8tCj|N26(||jPr4c1B zBmZFsEFe_A<{#NEXSGffeU6pbxgr`GPIgzPhs?Ihf!!-?!2i!Y97&};IHF<52RnrM zI;m}uT~`UHym0n$&reHjZbBLaDs?%v8}HnD{WFtF&x#Ci;uV*RQ7QeW!l7Xh~8P4 z;U4uLBNL??TZrD83<|~X#w!M^_U4k8?R#PP z*L~jAAy9POZdd>UNF@tz!aIYoT!DEzg(Eq@Gh;nROi zoq>SV`>SYriVlh&HV&AuLZCgY+}f)#_5tSLYC%@`Z-Pr%E+ij(J2lj7rNIoWq5>IK z2b&;V30HGJE<(ON=?}n8zG?rVMZz)iq0kN64k`zEdnX`GWh;d)cMC#@&g==77yDr> zpJ;RJbrHLi1EsEoRf-W0ogStl3QEZ1_a~kbojAbQA6Z)2Io1vvz*>m;ui`C$KE~&B1<7#j02q?fTWLL6E_Rxi zCF3S$Zt`5k7S=|^X_&C`e58jiUL_e(zI3vY`g;`RupU{1& z4xU82h|Hd;{od=9qb0+)ZSCtXmZ94Bv=YyMj;8IYEpd8w!})_(z8}J3^)g7K6j+X3 z6x>Ctq&Za#Q1{q?Qg5z=_q!Q}bgrMw{e@$`G{%G_oBMQ{m}tSlmdnYOAeJja|0&Nx zz|GyOs-J$Xi2ySqTe;>GkKYP+k%@#qOv!;N7eW}TBH@mTuyG{=Gd>B&32^akR7+(3 z%QQ*tbE#@*vF0JC;Z2v7?>pXDrSbAkFL` zulGi7`lRr%`n(VsJwi7wF?6wWfMV=fvVU>rzZmbP`H8U(!Try5HR{nr90uNQ5w zl??8uzuHcD{El(8Mg$8ov(D+Vv{S>5(G2JmOFmmcIi0>j?azsr{G0O*^Z=VGpm?4- zFe^b|t_A~*-yhjoCFcP1*iPAq!Tl#1XzayW-W=mWS3;q(7rq$`{)t=jLKQ)pFxO3p zc1R7#hHmBUe6DC_6mH}wBCfqRwob;spcWt#0hFWkRl+41TmdfOX1n6;Je_Gb_E5p3sdcpS`T6?Ai3W zEGrkao^6T3lBf?EIz0Bh~IO)3;b5= zfnb8*!6$w;SXZG&RA`h`6Q<#!=Dn^|LnqN%Mg#+#W7gn_o7>|pASfArULjEG86>m8 zeDTik`0jSkx}E`&n)edLacJL<;`$I0%N`WN)bZx8Cl^()jBTk`i^qQp?^4=0f?YD_%E8o%YHFB22v=Z_kso7tm&2YT%seif&TvLwUX>bAe?0rM|oe^ z_P>(W>Mq$6)?$s1Z>*(SNWDHv^?Y=f0bJOGe9-6Cs1lNE>YxiDw6hxYN`td>g5Z*S z5b+8T%HyC^Y!qZ~x~JBrtyd8tcP+ElVdDhtjTg{7z1{8>HCIN}PVt+LBTG-hm8I|f z2(}!eX7-N;^8>cT)dXvsU0QJ)2fRXSNGmIskVv$68}L9`Dr-3M!I;#Wy9o;9>a6;! z#sq+(cdE>P_AV5II0xoYzxGMh;t3t6GRhx3*G9rxnR#b^FzBAIJqegZ%8;_FPHyXP zQE*qJ+1l8J)@gq&Y`G4l@}rxHg9Gb|AFKfT?qWn=C%QhD80{ z;{j4#bdYCTYs(&~3C8n}RH5sdX9m^PPT}YLJiAxUsr~M zCZV)(mjecURbqw&S=Q5rb9^z18m^~|(W9tj=m#6oS%4hYqV{JR;a``9hZT<<(2BB! z+|MnagjoL>cV9m}Iftkf!zZP#n(2c;SkB=553S0!nWzC&4sZsz3%{UlCnUUBu;X7V zATmiLI-4;)7l1X_E?tXY zIcsJa0UXqp3Fa_>r_Bl`K?Fu}Z@2_FZP=3_f%s$5Fu@-wD#w&X>-CS%eh1_cABMvo zU`;oq8Q`%X--#tp$ugnoJx$hRzwNjks=%uKpg20$I%0f$6$(zfO%vc z$I8~I6;rACb$4*mN)g;J{Ssd(GvIUweW5tE2*V8yVuaC<>9RO_Qxv67xl1RK+6_V( zox)bhLM!RIA{~VhNSu6*1Ji>YmYMCQ_w`Y++%_mhLzA4)evt+hgxplTNn~BH_GwjI zBuiG)kB%#Dr;-+)7T^Ua2vA9P>oMTy=)*y#C=xWpl08vQ$M;E;0Zl2AU+s@7BU*lQ z=IUPyzuL`c1lhlDDD?apT!RtSXpZ3yNBC*5~8@>HctXeB)c3sH!rJ!N^TIp zyQGX-3O#BGLcvrVs+#9XGeXCBYFgsjH<$dxHM5W)D}dYMxF)z1=ml+-I!w;S1~Ndz z#B3;!%u5s>p+6=H&?e$W)az2Qx+5HVT-50GT9pA=t4A>ypL=+IMwYMIh1yIccyZ(5 zQVM2kM(LQAmet~Hy5`vJqyKE1aN6360R|&bFgtY?QTaU_q|w~qIq}^eXuTH46Yh4! z`p-r;5iA^u8gxE``y}kI%{5h=Vf)EcZnsuJHB$d#=s%g`>WBO2aF^=}Imm=l?25!7dsK;B-2ZfOD zqi76buT_8tE{sunCX)fn)&%h#b6Wq7XUU+=O#u+n14x5;5O)of{fZjm#0TRfJeJSbC7tC=h4ILj)H9+Q!D2x!hx zP_R3yz9X!t7-o$&Bi^k}Q+oj!@#n!xiEDln9dR@O!Ph?EftoiQSF92X(`SXE#hi@X z*SgjwBHI91K&QWkEl2xl;Cba-xzW_rW7fE|7~^=EO#f?r5jA*jit*c1JQCFKlioQlG(ow6#zh-mmc0*N3{>JNImcl0sbG(>?1Io&Yt6e}l7CEC!_ zVKX=4IE}XCDl#ogcQR)FP!=yGckC|5RX@a#>-$eCSrZzuuRNc!dE85Lf=ukJp&1GJ zbh3$zNz@8s4m*1NQa3s3w~hWN4b3N6 z0qSMIpja=GHbio`e7WW-&cQAN#4UF_fQNEjKDR=WoW2d0K{QuzRy;A;=+SwHZV%2( zULBv~*mM5+pUz#gA4EY9Xzem=imf$3mZH#z#gU{}jHPFLm1QAP=vRv&z!>8?Lrq0a zY$-QLKW7gZYEg4pXRZ1dzlj@bZGgGt(qw54E)dF{7Oxb2;F-?ZJkEvh5ghRU?s0?4 zoi<}=GFnV3{*WceQR&ccJX0)cb1>8V6POE0Q1+IZt1T#M-4E-t_+icTW{;6-Tz!>gNcJs9k03$P0MbL)yko?^< zM{ot!E|41|rTum48%j0Q*(3)~OcGr?+69N>-pNtJ4Xe_+l&%9auSRZX72%@hpx`3R z=L5#6nc@{srv5&EQ*q$0=6r4ftStNG+bXQJ@H6V0Q7h&5Z4ic;VTH1LwP7tkrtP6D z_R1(2SToBMnf>Qu0Rp_21bDLlv9;P1F2v4Qdfwb;C$+IA-UPhLl!8AJ6K7#M(%?sTT(zF}%Z>)F^dw-&DV9hgFnl$^9fC^g|lTKe7jAE_Rai z4$b<{RaxbO{aHU8h-E*9+{;QCsNks?mst`z&i=tzhHEGTgq+g1Sug9SH}7=kAJ{q5 z?7OV+=#Xf>Lg^9QsMN28u-#P#?p;zrLEPzWwS<#ZDcFE$6qJLx;^)uj)cAS^V#4TL zm5;7#u{>F-F}@Y5P^)*Ho;g&Tf!yBkpJEk+PAm2Lk2g{HvJisfzC~HjuIJz4U}~cu zTcMXCaDS7+Ow+GZ-Cgn8xh~uVNhJV)G<;MrIEjwA(qn(h!eX}Ki_1EQhy^dWir8CP z9V_|YRPB-fNQ((iR62_C%uKITg}pAY<1Zh8aJ5;fDB~2TOZ-;%2`Fe5H)1v3%x7cY z!q1 z(75XNWnF5KLU-^k^*C-z`rH>jYkj;oBE+pqz)+fYD+276iRA7*FM82v?P>671Jdrd zc`EOac^lvpyl`b{la`7AKlH!f*)YoCSbBT&*j0q*&`->5ZTlX)k*V6%&3;d z3ZBf+KMkaMIm{OM@)@tUPU4Vut(0q*8=9M4ehXetynK| z29>^juYw}tc5Llc%y>eXh8i;wEs9lsj`ivw&x0aX zg&FB|nx*dp`wnkXV>mK?fuG(&5=>Ze4%MsS_s2^c?g|XY!?~D8;=d5cZN;@9_p2j^ zSg!11mtAUINV($erks6n;ydFV%2R-b4Iy z0~ZL-Yb&9cGB@?aj4b5(_F9satbVjKXM^6g-O7l*DMJZHMQ8sDl6B7zUOJ!cg$`?8Ra z{9`OZ=(X^?sKf%~E-{eRO!JIW)a0hMd4W-#aHDXUzw*3S0JeDPT)$Dzk zR3vV&OgCERWyOMcu+q?&=@kS9q$&#d>=f6(lX8kvrU*rpn^zB;1~l#zEose75+A@p z27(vkkR<2|$QKh9k87X-n%J?gIT?74B6U<=DX7Rt5PZGFAse!;*Fw{3yKSB+X!3jh zh?5jc#%%n0D~W!KI~_u@gC(O9AC7?XUsQfY-i6)Vfd+XCSg>m;gM$rPJoLZ``0RNdbpB1%&ZN4ug$`z_lc- zLdt^ZLwM*I?UPmVVf+bv*C_$kYI!SNGR)zI-^96NH17 zP%yu{Py^*&G|LY~QLtu;Uu4bCjsZ-lY*h_ioBDu1EkuSSZSO&G49d$8POE~W$(8BR z8hq-o69iOR0pUs1pX#;N939!TTYIEnWZhiu+gI@^k4BJy(Akb#=h7d9GDWXfZ6S8> zg(LpCuyxfE))~0rt>9p8`fbSnyJ`T-kTHA|4+w)L!l2Lt1g?yJK@(yCKNu0m`id$R zq@kOejSo@lFX>Mr5BL42;HTnzTpPzQ(D6uKa@nNc5i9W6v?6JzCW{wLPnORy@*J1o zUwBn6$X}+G+JwO9R5#>Yph3v&ljPkC+L8zo33)N>t!FI@@91RE+@Qi5nKUo>@A?r@ zdz@NVn~cc7jl*Jhi(i|OHl@0|(veTMB|>KTh||D$Txa*F+-BHqY{ zgC;PtV)Z`ynVFWeO(UMKcXNoazM8MGAqssax~?f>pWNyD(c9$U=dN(Knqhb#DI+9p zo4t|Wx@4Ip#OkyTP-GdDng?msa6D$ns3ZFDle2Ojhn6fqM$#t@wUA(2uhjxM8`>5M z5_5Mf#Y@N@WCy?J5j35w?{|K^DhII*yv-1nVxwEE`Z&twPBFc>-`CQ8NZ13qY18}97Y6b-o}W2Q0#$Q-7I`VZTbP3wB9A>wIQ|3 z>^A>SZp4aMsI=`z`q|c$EJ*o%sF@<46g8Q0^m&GS$DU-EVLu?B%Ox%0tz@_#3J%JQ zVSrVs-*t6qD=2sj@&VWwP{U{yBHk{5q+&9cJPeI| zSMzkcxd1fOdpxBH#DfY}xAV>bj)hE?f% zTqTyDGGm6|O0v2r1^~IoF-3}4%z2YU535e76l=j7ZwV9%CI%2=oN&syS@g~7uQS`! z2N5yox}EX)KWY_N7Sq2LUPmhFHJiP*HP;2SNsP=aIK+o4k{jH3&4>38p&NPd zRdn9Yql9eC&Op4lt){B$+e$t`sFMe|`@PXKhym&)GV44y&~JJ&PVgx$8q%LCU)%!#y@qDCd1O~ZIE`Pc2q!a5dGAWsvK{|9RvBaF2CR4Zt}XUZpaXrETQVxnv`@~r7|z)gei`F# zPZR>rk51uKdu`CwZRZe5+NM%IG)a9bJ%CDXoH_x({*1ZeWJsZ}2b$@o1N2$>jJ8wc zintfS>%ACHlFuRkA}X(W5D$&e*V#Q0?+ra-u>t~!;}F^Dz1Y+~4>Ii_^i#Q0m(d2@ zXZGKL@OLlE*bo2z5_Ye&H8|bA5iCbo>=sT=sq7IFyI=-Q0U<*(NutCgJ5Jg#QMnVG z_B#<@d+(;4C3V$#P>VW`A|3*9WJ|( z_y?bN+Tm_tRAGK+eA%s!+%48EfYgzZOX9qfS7j7HGXpp4(MVVX*9!nSd>YhJM6Q4x|c!RzN= zn-MSR9FM_L!q;0zGA;sWdsK9`CJN$RK!xIt!Zz8<M+ z>y>r8eX!5pL4XSc*I*CdU-2ZqvrAhOGkTDw2b_94 z>*E-fDxAI=p`eb>4|H13o^_aZ0YF!D!QaE(5Z*mof(JUOp&1$dg?d?Dxs^`e^RO2m z{?|Bi!bv5FDF-=< z21?E8GJjr|33XW^g_7@JQSY3Oi;mC+OFKDEBykzMUs&#cmKdOxEk>ghHUvXS;nyTd z>QvP??kX%8M3F%Ql^G-rDaGmcxPI0J?S8TH{qY7(WUHk27}FMM3vlnBQKNsJ@?7oAeN8yGQ3ieG#J3%7xr z9iZT7F^A;e<1<8eYH7{=x$#P-2dWGY?28rC2&`lAF((W-WsUN{RB`apcu#9%gB7f-Km=spnP6BD zdL&29o}2_BjA#6tHr9<*y_yNRk$?k*`fmPygVP=Ot)Z#HB2Ee=alK4GMeC75Oy^ifAxME@qn((Ac(X7h4Ut4=)7LoUU+T!zvnDBX^Zsb@& zj4PPlrCKRuXavetVv_8P&w<4=nw$_nSzDhy6xG?m#=-Rzjy(+;1ZR1d;18zE44czBWC+?g*H5OwJDf20i9A#Ij@5#q z7drL?`Nd-Mmt)wR=v(vSnP4+1>h$QM6oJh)ZT<87Zx}NpVSY?~{~=;0Mmx@Hg^dxy zOOzY#@u<)`g;n}C&HY%n`SS%_WJKdFk;n$(?|MmZPxgZ66l9ld|>M3&&60q=%=KtJ#0OaF12%w9FO4*br@_~z7Utbr3k zYwO=`IS8URIfcflpV+%+W!xIvC?;6ExNrl91+RcZ$tjOwRpg2OXH^GZzxI4 z3REMm9QVnS$Sxf)g?LkOSp6miYr6F*B%UzSa! zRl|$rML4=|MGAVe^TFxF3c<>1fQr&HUmJYqutN^Iciq{9oOt?nh3=+<*ct0De4oBm^`3y0nvh$+@vb#R%9%1 zP6C})$yr`4JP2W2X7ZxU=3Lrtka&~9ssLLE0R<%-Tzmf;Sf0X7D zTPmP|Fi1*B*S4Ti5_=!#ZD`tmt&&8=b*McAOo-Ylg0dVz=O*l`N($qp8z4LVDfgUg zy{W=y8WWlWzjLINwN_-hF?*}A*q`A#S4*B*p(LtEEIOm(fPY)dSgKV*fTrP?_JQZe z7G;e3ZT*%Ky-^f%C1da64qB_|PVInW#J~o!?B{H_=yG^BO4FUY{vl*akmMGu-c`ew z7Kr5yV+gmh)0DQ9t{&rK288af=A)2IPn~qSb&x0vz*mAefCDtw9hHn}hG|yalBgE( zmIRPkTd${7|M*gUgu}#3HUS{Ei5#E2|1oCJ+D!O3^DrS7=CVrFrGwO}B&vC(CiBt0siLVM=fk&7>i@ zi(br2o04b@FbiW-6vSHiB%zEp=)4eV2~i=+=3!nJS)-aZ!Ie#%5cEi`8xT11JGxB} z` z<>3?+$`cIca(V?)C?%aR3k;cce{hIxMi7c(a%&9rRvuF+WP-S0+o(Y#1^_1&x$yt! zaGZj&&_*Fw)J^BtNtX?Rzo4M4(M`!fL0Qa0?k}7JcRH1qWK>eiX=kWCtyrfmq(;sV zSp&y80>dCL>KT-QihO!B>=~l!F~OWG=^h2mmcC7_6Iqg{(LXBlAJayi@JT`}9$0V> zD_`(YP)L=oslyT*ZNObhAK&_|w3y@zQjzAbUz6YM(ZLcp8XC zS3+{t4K072#(O{PaQ0| zR}0l-_SZ8)z#&vlD|x6*63%Yzh^Tp&Vg*6lb@Y&QnZW*r2%5ag*Ml6&G1-HGgvAaa zpvSdhru|MC++!{@Xd(e-Ra%M#YWz=wCECv@0?H!D=3=_3!4Sh)5cM?@w!~nUpG|0t zEpicR$#l1w;DFR$Z(4MVw`qM2-HB%OjXa@*DE4ke?f5^K02j~x`p#? zT2ub)BpTcky--r|@zwW9v;mBIfS3is6p>-25hrn&%47`YoBW^0}1|(GLuX} z-B8R%aZGe*mz100Hh$P23t%^hg@|*x@Dzhp<32aU#pJgZxmBX1R&ogh%-6nm8Fuuk z;8TE$gCdSS1{4n7uUo~$t<*4x*%2<5WAw^)S+nndpe)q+mJu4=^xjtwZAQ<-HDp+d zVw&txwB&a|HFnS#5L{XqbR;HO!=P8O34N-dn-SpjWJu86U)bR41Q|n8X7jAH3PNt% zt64dt#EKA~Igt5&pXVk}Y#7PXLMTPcq6UgY4Pj8Uw@L?iZgKO_sphRuGgeAng(v5e zFw6s}UPEY7r_%kjyJ|j-?LucuAA_vWz}|Y?T;;T-XF5spa4pVkA#p&>N)Veq6%}z~ zOv!{q?gUgP`;kP@5{NzMcoXI^_@bwwcSs8$SU_S*eN$j!>LxR>wJtIg)0Rm989?U0 z)b@bRX{*}_!jh(_yF`7}16Ra%e9#zVL!a#JZ!ufONSHUo_gQc5)A(=`59UJ>QMg+7 z2@HDBM!k3AC7fPnWRCpiRv2^os~*A%>@X*L;h4d|qMJH1SE^O|O0@nhwHPqn097 zcPqbp5`9vjZno!;SkiP+YIO5yVlEvbxRRX<%XJW(Ex#GB&cA)XS226nWVs1ZVRgZ z4zsWH34YKrL(Y-u7@P+b4&fD#t3@N(PTop-vugp3!WsSdF@Y4^f5YA*n{#{>=q>l( zXKA8sR7!%7sEl!I^4h(%&O8Vbf`NNw3f}`*7h!G97l&HGx~r?NlF#8e*~ftFYSOZ| zTcQFZ6-pYZ5dNfWxQP~!UUsZ#jt{yje`~q*w9Y`1@V@}6S2&s44tFQJ#NCXb>^~_K zEsfJ^k%lfYIf2Nkjn4qp9K&i>-IBu3#mu$%y>#pE%FkyeWUrA3q>SMke?4|i%;1f+ z;wwU0n75ZDTG5o?i^V<^BNnXC%$wv9POJyb|6{l?sHTVeR*!!(HPgsIR86wv{KE3~ zCGJqUN@^31Gx@a1B?Zb*T9{UU*68AbIAsr)LtqLwLQZY)#esM2KCjB1lw&}?di?c|#T9jTe;c&expC>cljKVJ zJxK7%6lds}*>GSjht6Z26qgIOfgmEnG~2c3%i*W$P^qQ_NXtgOtAAvSlqk=(^H+$| zJJus)C+a5A4Fj*f5&DOu#`m=Wc+-4mW4Y zAs3$t=%P}^<9$I@T$JhLQ#_iSXz8Iu05ue@>cPETp2OO+x&6Y#@5=SEQI+HMTK}UK z7^~mT8#F|cC(_xH(Jmr?D)3%7jx@ZXs=27SoW7y^P zh&~6?)`Pf1TSppI8^Pi1cr%O8M|(}I_HPLmEt4rAx@o&f%{?IU=#!y4NY_m*AEYW$ zCW%{|t<%_LXOF)R#vyHPLg_-7Tm3?~(Fx_oY>_xq3r zS%81jO}FBS2k*+&GKnFDpjN+V9Bdzk*8|y%hFu#t1MB{x)!$-_{DLX!`RoNvzA)JB znF^uQro=^b2h+REQH3$d=hVaXN4)UCCDF-){tZ-oK(@YtUVA}#8fvH5SmyZr5bbFR zUt$^b5%G$R3B)Lh+4YoTmQm8amHa8CQPbPI%0Al+fOBZ8e=FS@SPeakK<=;=d5|@j z*zmL`s^+&R*geF-ZVnSfV;=pw*ZQ=N*{$V|`uGsfB@eIh9qnBOX&%=`l!9 zY!jcw1(>XY1B(WNhKTCq`yZGwcQQe8Kyf7s3_?+keZ6j~ibCY#`DS^ml(}SL-CqZu zS!62%muy|YpJ~B%bT@mcnSXHXK+S%o_0s`lA+qp~BM_DIk$eUAn4!dfPaExUl4;jw z$X?y$diO>xP1DQo66jD6@^jd-mhTav>Fpdg+@#kbO4QRO@bH!3$`g{%2<{((Jm*GU znr-?OD^bUDlWjO~P+-xWGwSQx)_Pm3%fFx&Vbl7hA#O~$yp4i1!MBO461Sc4qj5F& zM7PJNNJI);m|!Iw_%T=pErc+Gyt@Xd*aYe&`{Mx^jc8O?GP;sb*Gb6+HH^s8TA3cn zAS4mp%fIs0np$KG&Hor7f62lD>zH;GbfS1mH1&Xim!yk6S)vD*!8)N1F+_%cT*NEP ze`p{GpuYjf7?dsCmP9zF`o9LI<}9S4<8*jznsALkr`Upw9HemODab^eT?Iwx-WC#Q z#wvjn^1s&NvCg_M*lwY{U*nEEIUnh4V zVfQ`CNRe-n)U{}E5Dn)z^FMWhyVj!B0p7}660g40ZH}RpE_mwL`UFgMyn^4>F()!O zv!%%m+(5IdF|gf>RJ*T(IB#+nqzcIxwtt7;zS*cYdhJMOT&M+#0Wme{lkGEBhre}1=fhTwV1+)LYF%US8&O;Y0Jp<(;l08WwoD^yI*A(n_w#`x`g4TnbxFt)Sn01C{~{+29=9}}RPX!ae+!r^ypjz@&Xi0=a) z;64zUvehJE$Zd=74t%YqGMy&((Sz+orHxR^rskHQrvTWFZ z16fw0h6?uxg>zwb0%O zHvKpK$?O?x5J;fEd?n+E{cf7QYK`M(CqE^A^BJvwL03>Vx?eYs)QUOq{0F_+s5+Et zt>|BfGC>5jQ1xVrdS?UUF#+xwiT(n#-Z?Ll4hlN0Kk-5;5I!6X-|w zLuG05*2Q}Iy2bKIr#LIW>ncj9SG@}gh*fRbsRjA@T`B1Q?Gad4=k23V^kU~Al8+)k z8(lMsYoZ&r%?8DZI4y*w8Ddqh5xFEL7>KGgB4$cFN``E#5*2noRGXY@zawLcY8eB4 z2zOB*AT$)2?$z8jpNb<*GpV}oO#eB+MmX3#iW=h);m5b%bBV0LwE19wq?e2=>E_xd z1q^@wYSFqvr%NT58!G$Ctjq1b@LXg_9VKF3VGC_U@(t)aDh{ut9k>90#j*pN8kBO| zD^)=BGwak&2NBw7K{=j-QoKd1Z`zFa-U6{~4q{GoGdnRZPZvyP#1_)iE#+uxwq9A1fy8FVP% zsC9!p>r7vZlACzUBOiPe6J50FTcKMFu(For+N6G9M8Cl|zbAHy4_tHgkg~MxvBw%p zJuG_te^t|p*YGX}%dpGvz#kJBrRDHDln#kN^q5F?-?JP2vk)!(_{njRwJ{0u?%<4L# zO&cNPk@H>XC}k{?3Ylkh9Kyub45W(QthLJwkY}Sgi2W#DF{I1+fNpcJqMhl{6Z|i7 zLuk3f0MZjLem`QMKHu$$b;>Q+$;C(s? zk;ATCQdF4v-fL!^7%}KmafAtkoSo8TKWK7Z%FAhpp*nD|6~PFPky!|gb?XNiQv|G* zx$=>L7&K)*12mdBKm$mQ6wrFI;;(@c#6D zK@-XwWx|H*i(dmDk*l?8Nm{_i8NI%{ClJiiMb+>&KK)4lk9$wsg9CuU-xrh1f{i>c zG)uX1I~*b(?d;8f#Lv~O3tAOcSB4c=+j4HA4^(=htMf!Dk7_s@#?2$C)YwmNZ@Hto@ z+MgzEeHK@Nym=E}p-|J93qJ0P1XG?hWZTSDtVsbqvlmqY^6_fOQ> znWH3CVKFT4)ab0kkes~0GRyTVIPH_tY&8*P)udm+i*B7o+&^}-C4`_?=hRocF_+vzG`Oy0=2%skfjNcKj7d*BN#M?e$+$Pkg= zJDOiu+XB0G-?M=}?+b1cBn*-NCS=tM(8+R}f;9_-3W)~i8q%g=TU0{4IquL>4({>e zwxX;7mUlF83Lxc=h4sVG7RUfso=H`a?SHLBCq`5?AE9$HRBY+>)&7=se*tW3{OT4( zXWpaEX8{NAHKa6$qs|@VY`JFsj)cIn*xB)@h9px6&_YK)7922cZG47@M>Gy zttmb(VAS@2D;qu0Exed4eb(nyy%mO&rI*-pyl&OyX%?Dr5A!hsML=if7dOlM9D zrShW6j?gnems`+)>k6`Z{b6lA;MjS2Ca&KSfk>rXm`GUIGneS~AELa5bT`t_q=D8F zY*h0G*+CIRUR?(5C~nD38&){~#6C>zz*q+`g?lQyKZTm8o<{XUW;-Q+K*Ti`YR1S{ zRa0IBxI8Ck31j@0plN+)5Jj(M{JWO=!7JqSumybl+)|5k7U5bciTJ7CGffmgg9~K( zhv%v|T@8PMCUah$KlSm$Rf&~`-OZP}HlTp2qS*S)Xdw7<{Oq}4!Z*ta4&C~S`3%d= zdSa2#Mu`12-1-uv@r4KQ$$r9klUU73l`3Nhha~4pxqF|^ZMhP^LSgwJX|(7dA|+E# z)gd=!b~+l3`av)r{m73KgW)ontQ+W+Gi$F>PC?hb(NrKR&bI*O=a>eeAtf-Yo6ZGDc{L&2SfnNsE zeiZbwyXWy2Fv0-#!X2Kl24S^VJ;(5Hg$ZBfEcf47``E9j(TIJ~d&zCX--rfcrbS35 zr-CIbVgBokh^jrQ7XQipD+D+6&hagLQQoqIT6Ji{fc=r#<8KY${BXhOOi<=;l=zOt zg0d>Vw7mJSkMero!Un+1tGQ9c-v;@RwcK;E_%^Umqhrz5f{{qo6I$2JnUBO5!=R*I8Q?*rlS={^u0{ zD1@1W#ybv6ot<4Z@b)FcOtMmkcJh}VkjY~DvbyLAQA8>0jN%>O*q%^w58uvTAESmb z`W*p9zsX4N=Lfc3ivm_uNPnuIA?W~9TC8p#?}FuA0CR|cZm4FdGwo4rtkb*Vp3HGY z4BWuz(fI}I7Hc>yfLWxLQy6NKGZtN2vepPKnc9jU8dc&JylkBc)-x-KzT|?SL3>A* z3s<#%i`ReOIR-^`(%wKItnb_Z&ISAFEGhc=!cw<|rpfwm3)CH*TT91Yhpq}vcB5%> z5e`8bqjh1~%ZM0T6cBslXu-bYG>ZN{FpiU4AfsT4fdX zy7Qu!>7)3*WOOuih!RC7c zoZsNO`RL@HJXU=~1AN0jYmDjU?V2HLcS#N`b`3?Aa|rH%FJsdwGtYcFU&PD92>H9T zN?tcEB3$PGPHF9-8FuV0Ipa+z5qIkwILITS_BBPN@-vU9w9wR|-bFr>rK|1@*V&fb zTdX%?he{5rFYZErP|3a|BnT`$-r5w&ik?SC&QA+oZIzb#FN`#=HHheg29sLofY{V= z2i;Jc7I5Slii7O!xo>xPD~e={y>>m4$3L@-aJEC zjFoL^1Qyj%%;1rm#zYGRNndN6CM69{D_-mb_a_6}ywCh>lM2C3KcLwhJZaUbt)8sA z1KWFLoc&tX5fUZeu&`r{N+mAGUYV3htDteiJA}SkOF_YouvL5sIN8B3E;<>qUp$c3 z5UMaiLP0q5>dO^I4xi47gidv&GLL70Ivi>VPKxA=Iqn{0m&(wF#>gp+d(^TQ9|$a+ z6WdXJ4-y!>#@P6p^N=lk~geeRJ-@8K&s&$=+R&2D4TdehUb!O16{%J@GqtVdO$ zxG&C{q&9b8odbC#5QlV)QJ8fF#z9|o6^+esWyoc|gV{YwG)Wkt%kgwDGou@C>|ajd z|230QyNhW{<^loRJW;Ri4CoSfd6%xTzL#>I_nT07)s7bZxnnO1DM5QW4f9OfbvQ(F zj-Cg&=uyjtexKmaQ_rT?U#C{8rNng2m5t_~ByM(s~|a`Ov{7+i62WcRk*z|K6Ed zblBg!^daOJ8Ok5iSp1|vtK5~O3Re&WG>=frJy<@YwLB%>B}nUTzg5IB{UfTi9r&t! zB%0unTApr8(u>ye4%aw-wbrb~ys?`t!tw}S8izuzNX@WvjBNmP9#JS+Yx3kayN;KR zX=|)8P2@VAU9PaM{6gk;@j@!duJ0UDpE`Vr4pk$=eIE^#Y0o zFWG^>cB9aN?y%ap@`pBE!ys;bloVYg@sKB>E2^~WXW!}Fi3MYWc)%{|=IlOov96;( zRDoxHf~Qb9!@t=oF4{Wl_oS0MjZCW+0WaD|n}CUz@idy_%!*&*x5-6#N#+#i^gU*A zL@0fXLjfn5wu74nqGf9HCoWCU^TRBFPqPnp0Y==7ekZMPk!qSJm5u{(xhuou3jDa0 zCZ<>Rnv}2okSx(qX~=E-cTlGz@BR#|`!6*NpC}v6R+JsrNWAA1z3#GVPr^#(<`9bJ zo1wstI+fVE^{6UK4@9_7fl=qQf@zNCtAv}oZ+5R{+86wQiPj7QpgunFDneUc5*jFqb1;s3ui3yE5n^N??_`JWQ)0Zm$H;^2;l1=q5hzxg7b{ zG0UGUwWX~wA+;zO~-J0 z;b+n^G+J^q_+9CTj}PhDAtRMuX!QftWnKD^2jor*j_GhE3H%!1oWs#}?dEj)=ow>@ zaHz^X!(88B@7~KIG3heV$cidPk)=Qg!^-*7YLAS&qhL?$I6pMk&l`c%KqYqnzV*p=lQT&QpMWU*dm@UxL7>c5>aBH`t&({ zGw$s71$awqpeA{ef~s=4Db*6}MqqyKI;zs9XkG=JXwG<6?a+kxCvds5v!2G@>1xc@ zh#<9aKVoOMRmibjb#aTuqmrp<0X6E(W%8wKxJ?Uo$DhO}t=?WlXX#fOC2VgIfE^S~ zDnOO@4Cd_X$7P{-PZ>{5IQ9yGXuWP|Nih^*0w(WYjiwBbMZz?Z7U$1(&PrrhwrzRy zEuZsHv&M=JuV7QfaVESr^qW2?=#H(j3sT%47DyZ5m);tiziepf>#s*7xts?HJ6(r{ zOs5Q&0E(T*3}lG!GHlYSjhJh=P-xsx)33&Z2+jixt0dD4 zlh?gU-hpAdm>s0mLDYMpdh4)sJ0G&^{nLZFdbwA z#V`?0Frr1B*Te@-CF0+PU?iJrboOKueRi1<7s9z4Y@XSu;^@4!G789!y^7i@h0E!J zb@UrSr*)Fi-S1wiFQy@pQIuV|K4vZd`BZ?=eqyikfklM3)x6OPctQe9aPcj{h{qQI zK|sF0ssc^24mlws8-3@Gq|kd+-{8WfckA0Su1oLEk)Bj-CRSl}pvF?d$iu)$w3Tr| zahNhXNu=_&jo@)L>JoJ;)HaXKVD%ba9^Ie-Z`3>y0Ll$sNn;$c18P6)Co+pp zYS96+#t7`6^60rwn{=rV;8bXk#zk6OVT|jpCM>mZ4>~MO+^L1jf7dejGx%mKIr^QFA@K}c;o$C|I}qt2Clq<7^cm=`%lYt!VOdY9u;VkEDT@`%bFq7}ecmJDC$Y(y1A}@%x3f zM=Y_x=S=;NcMze%2!M~r|LOlfFT&r+nsz(}d}Ji;A>CqAVwUZ<&H>{e*B7rCFl2y> zwil-)ef2{A7e-v z>xBR!Q()HtR3Ql72#+&~+!ah!HvCG<>P#NO(mqjlv~0=jS8e%6S{{sjOUXb}8?jT} zzh32D-ozMv4uKfOVtEcl>MKdCI7zH;r?&5uv^v>$;E9>{+@sPeqp#}uMyPH9u3D!^ z?YDOeDQ%|$H{KNhsS43s+q&6(prFHRk|q3$NZtz#&M=pvcfFwBMF=HY*T? z8jPe3D~#LMSMARK%T&=)+Q&iXZEO_9v-S>}hf!gcH$K|fl#l|d=HSV|5=WnU%+vD5 za@ba>0~YVK_fJP;B|%<@5WMJ<|2teX90Ow6lZ1LaC5-!COHyS0o19h6Isem*^G9D4 zU*{^8hzADN;9UxU~!Zr_4&;V z%q-RihwX$g+}uQj=F>sLJe#p7&wH-ve^lHb{Wji(6#9vHI1fzAkyn?nr$-$jm@gh{M21y~pg5Zez!ZNS&G^>rtz8?ue%ca8+=Z&wboo~Os zl@Gr2mNCs2gqyz_#zao_v+q5ms=0oDT84VizTlsL&i2ursRCDz=xR*p6zpS9vD0WK ze+jyW-;l5#e35;pZ~&Um&Ggz(MkSAqjmH;^Seq*i$!in7npDhChXtEwvzeQj+N$^zb%qxE!u62z_)0+&ja1ZjBbt4_Id`tw88WorH*c}vkZObmFm$>kM$`* zQ;tRwJH!{1-&-l`h$Tgx&n{TUI+}6AVC$w;6XUNN_~p69NoQ!wV8S*f3Yj#ry6VHn z7Ydq-mGK?_5$f@&yea$l*mMfojP9tlG%SwF8&?<|FYe|S@dUm*f#by|*^tl>wVP5S zHAO`Hi0{O>-i4c360t|?2rQGQur+K$3@It3nTcpIZHadK2O)2$&?($ej4MNa$#AW&YWH#3b^Q+G2$mSFj<5{NOmdiCL}K5A+FE9I-R zs~GV@57=+0tU+U4)r`+EqaHKXIB^x@0RbkaAOoQlrTKi^npLw+o(k6tC>@Rroe(1( z(AG<$&>9;Q?JdmOlgQn)2%yJ^Lf^3rHU%>>P=yQe)?HLRfos?m>o1}m@7R7CzRH4n z?hGLTW!RU(B?HB;hAdL5r3(KhEjt=*9A-MrPZ& zqyFEGXK7qUuFI_^29-zNd7Bmr3ANE=vx$B|xH58$F`Nc(4Q`^}ZoU|%wzmm#;6lWt zYiYDWBs_$Cph`hjVn7SDGw0jNs+adc!Sj2jR6Uk;~Z0B_kt4nT%b2EMpm0)Yo0 zGZ|dBoNwJMt!vE}w*~em$j2@ezCNvNKG82q!Urw#) zF|op=gZc@WmuQ3`PM8$GE;(*Y88OjlsoN)q6%)~X>2BhgJFZ`0slDzn8+oe|75X5A z1%8mFD|nv#x;b2~a-3YeyJxI1Z(^l6!}_Saoj!`prF5eveh&a_fkIM8Ph#p}w${XI zZ1Y_bv&v%M);3|0)mymvbG>F8%g5pFC67zl!2pDY`1^{eQd9JpL8F0zwzH&MaiX3fm2s~#SddR0QxJ7>ZGR zmxYS9O!0crhGX=lWMuamJV|jFdFZVuC#6u~hggxvaPqm-zJ~LQ1ct4n>KUpg>e({w zq3x3PzI7>VdfkWb$*ciqOq}2-;``=bYAb8}(B~o>{SuH{p))G}D`?2{M!v{pqIwMv zLE2u#A8_np_!EYg{N0Rx& zRDxNQ)Bq}~r?_6;89DTeN|;TORI!Ls|6g0jjckd9By#Y|*SMnI&3xoC6_C16UooUn z8xC6}s_uy$X-EAf94&GZ!auRM}-C5A2&=F9axvuAB2W zQHoN7`)kVhTLj8pr&NR!yihGKT3!eb`kPnW0;vKLZAHqzzgshDv5q|m7B%!bIlI*$ z@17_H3E24LV9T8G>0%+k5N%)7bCsZ!4`v!?v@GA02*=0n6-j|_CZgNf%?3%PP^N%P z>UDt`7mlQKC+NUl(LE3DT`@E1b3$&R?SuC@igkQDKU)j_%=@Xg4cPtb0+yDq$6)T;Z|(1LoYOE+h<2;D1A0 zZ4{Qvfj9OpeJVOz-t}M3aL6nKdfHLDv``ylhOCgkJbHAWTKdHm;NAdq@@5=D%>&y= z5kvmpPtylk5v`zadv{Zed%;HEm$z%5P6G{!G^q1AD~A<6Ql>=+9A4V~yNFt)j}b-@ z?1{iTr6AEAxZ9xdr;4X?vP@3{jC@=!cF-~?(p?(yk{@6WLLec1 zEw;p;B`nu(y2{MN9OJWglRLrEim%?xI7(1)S$sx~R+4aJWtolM|CPs8Y885FU0HZ- z4p3|39xfUKs+Ex|J2ruddtJvzo@45Z&~sH-ThoqQuDpom+LHMk50 z>KQYozZi+Fq|%PD)Y4d))ePq=V_R}p23*5UFfpvF*vjKUynRX&B9xfqoz^FXt`@NP z!bUs=*dSS^sfYyad677iMB~O)0H9?p&9+*r%=n?)8Hs@cDEMOq!|+z-+rto6a$o#P zw@Yl&SRp>wsZVg}e}2xeEi9=26Yd}4_DXPGMck0E^-2L^Gpq4_kA{gWEF*|wRev!} z&(Tg_&bj|I8JBV2ae>YwtR9dTM8L4uIV=8vE43nl$OKcZ?g;CCB%+!~{bgfE{zNF1AzK{B#A&rjXJu-XS0C8oT23YY1(=K>G6x?Jo;@S+UkU-h zHHbo;Qg-a9T1at_MRI|bn&5mhSG-UJE9NVacm4YUJvWTY)|G_ zF{crT8P|;+%cpf`^++MThsF56ikeiXXdHkRsMuF ze44-=I!mOCCkY;fgH3^~fQvth6GbZSvHGx2?SaVD0 z1q0wBk*6}kjP(aY7bS&SllR~!Wqzyt2THk+nixOid~2HQmL~f4(8h!zs&_lz#7*5J zio6i~=~d*HU*Qvd10#6}r%`Zm<%fx4SESTMUURl_+!8Oqx+#Wxz@FPOR{h}&ZP;jH zJ*o^S@lZKB-4hpZD48-RX|BRZQ1*gYbu*0S%r?tXTmc)WUs7R2e0N`_5+eAm8_<0d z1G5y>6J6@l*)?{PujdsoDFRY8LpPFEdM*hE;b76u^I^d*r#XE1a za%f?8z9Qjl?^i*g%Go^^$qPXnGzqW586w4`z}}RzK*e82MI!R(A6v!i^PI;?waVxu z#!m1(1;PIH&?bG>!X5b(5zev}2;UW@=e0Pxvm5~*n(3;c>NuHWYzNO5RQU5MJ~Y|x z82u_5$VUN=P~%}0^ykFf$UXL9K(-8<0@z4(W3H?LZY;%6g=NNdq-#Pk8QW7Tel0+w=SdX`DNi!U@sYm@kCDw&x8g8fEBjeHT{ zV4c!UwBmElY}OJQZzQ1!YZ|~cOKO=LwQR3p?4m*24W6?XdAlOvRu*$IwI}?$5t+=T z=verC4N#c001P<^m9o9HyG*!M#~q*1$<( zlWO0y01q9I>CDqCDSR&PbHc~)!Ya-e?QQzTF?~~I@}@pY=yovO_YvOuWOr^SH-fb$ z5kU3kGvDu*>=RtP7CXOTXpE;h70|Gj;F7lo)ml>W0tQ+E)G$WDlcr9cIk_T^8(}P1 zsqK$}WvoA|W%rpr3221~KY?eTXD)`l1pAA@SCR*C6P={2q~T9vh8Xw|W;|W@SBg1u zvE%bX*lf1a3#Ya7FEwKyO9%mPO{hMhF2RWdHdx_Ix1`kw$HwlfJR1)Q>N19#8702N zok9&D45#NMwMbPA^k-|Yoj;0)1D6H%DX9ZMaf)i8cdg?19I2zH2WnCtR9BB}AYoqk z{GLDDxDAwWB2R4p`O%4BUuCsiJ0lmylOhDv2It{QR6R|iS}q&b2}rDBBN{<~Xd$S1 z$xmyn0=k~NjE5btNiX!#E5V#CWjIaPk;`d1jnQH1zCsIp-y%D*RGOMMiP6qX*JQ3W zY)P#@+-Kslms>~;Lwsyf{G(H#W&9l60k->^cOfWwr-{PgL_{bW1Tz9M4~nb46o5Ay zqvzf*vE*8+RiB6j8?|3!zaET46eA+e1y; z1_~^EgmvjZ9H3B{ruD}|(||m+xaxH6tBToHTgpRq9KCOGss%ZVx<|5jY~siu2ifT6 zCuHltfxtoAnkx=d(vQn^V1K&LKL*83STi@N@-R$-4;ZqmGOpH?x<#x*9ZwsA&ulRK z@cZv@;73{@i4Rk2(@|~gsw(W;)(H@jNwe+;k0dco5qpFZmo|#wVK&FCRX0R!t=pIU z?sM7Mf~l9_sX#V|H6Z+B%nsaV|LZXjXX>;lo*T!u37yD9Cf#u>{E|+dvz14Ki@sRI zeMa%BU6ll+u&*LPy%z5S-Wk%wm1G0aPH}!9T!J*?1HsV@(DEYs4?;_*_lC2;<-E;7 zfN$B2;J71S6UU=XIhUX^Y04<*r#Cy(hCh?yp2?F|Lt|*Ho0m1JmCNNAU^d54AsSc- zU+&ow-oi*8FaHK`P9!d}*n4Lu-?id@yj3hJ;Rb{ry-&KaD7YnS-Ce1Mb7wHQZ4$(J z_-VMnRjgfL{(?U95{<{rK1MaF@+6MVP zQm`5H@g+;=JyxQ}mt?K>c_l7JU%ZLz71gtBb{?Az{ES0SOb4{+Jv!mKjAzXM$3n@_ zW(?H$x1CG+(vW~0cVwo?#t<>TI^})t6`exwn=&3rh*?LEoHBP-TluF&VyHzf;K}=e zp56sXMc9h%7FDOPJ%qoeKQk@T5^gdOcCaz?FK+jYx4&9BGgM&Xe(FyfrJoHfhCw1Qx4Uv-u5JH1yTcsKAYRPSQj?! zUeLn02?SM_2VZ=J+_kz?7e|5O90-Z|t@;gMoyB464t9kSvV*Ukzt)=}uU>YIUl4v( zX;)E01c%J^iPZDF^-TO|_;diq~7rPE`AF)mN;3t)OEcW0Px>nF$ zGe5Kxle^wtuPi|3k&gp?8vr9^K=UCVcSh)UxL;v*Pk~FL(hnMl&~?vz+4cK~hzYi* zY=o=q{w1F@65nsL+Ss?+Wv!f^j~yRj@g;4@2BxT)lCa}$s_X?d$-czkiGDRh_JsK) z2bL@HTvs!DS#NA8mu-PhWdxtXy5m_QoZN8I!-y~=4*|`!WXmEs&`|Je$?*y`6kwXE zRDsU5T`Wr65;BK!@1|g`V(N^B@k*< z5F1hg^1iTAHO*B+Hf007@-`l5X>l1R@|7B8=ImDXC^SZI*}2FGE2YOI>7k3Vuh~~& zgMFy5*+;rg{S-_APk;(K)frk@#cW8q2uIlkGYa%OkWkXglkq1+fR#KBROma&dLyKB zj0j08R+EAT`n&~_?Q48~jxT>QT_28zg!B&oyn^eix zV{F#t5(aMw$PQ!(teH;QLLPmBO^*v<^M)_C{j(;=FDp4GqDR!j594FeAIG}a;lbn0 zeWB`%F=0k?m8zwcLIoyvUvC;2@nN%*r^DPirxXtJhKVL5M5R+EO}>cwEC6_(m$~T_ zN$AQiYIgD>P)O8<{Ug7TKH<2U4}0kY$XQUxmUNh_59&hJkAZYbkLK>; z0iI*ofDPvFas};mdD(3QzccRZ75S#D1Ki(gQGv^4r@?Cuq1|q&a(+^SdX1IbZx?qy$(a$-b2zlh5ont zsv{eU`|b|q`qD40j7I>%lF?HhJ|pZC7rLTv@S|tQ{V|5GH!v!tKVFM24(}E(lDB*Z zt9;t!9ot~3wqxZaZ0d{o$H9uPTnNnD;^${z%Twi^leqGN_T&$$~ zR!!mbVzsa08zoYEcJu`r$(GSe9-GA|<@&@s?%qx!IC@4I0L6$EZq&e3G8r3foQTD- z?$oqG#Jn5Rg25|8H(bAU9U%zaaHFR$vB%%j#cX3vk4cc#`++!ZK|p>zIG9e?L57}w zo9-MEl%7+UaXWTMtBq!y4&BDW7XXg=q;eiW4A|C#aNM3J#~LVcSPGbec)i|^9aXGd zRK;*yEuRhIkSm+E>y)*$B zki{hrNrguUJ3ZP;aS8WQG3=n zRU>Ra!u0nGX&_Y8%5MYSyT=Y@F>^2mg}Wv!$AF+iS<2Jf&|0oU5#7139#d=JB-eD@ zBqqGbUOfiFUnr(7KFn3i@{is=3-=!BJQ1+0nD1Q68ooC08xP2l-FtZ{ERbE|MzYj3 zXED{_iBM;RO7}GEVNK5z=4c8xGY_=a__t4r4-ORu3l2;{1KOZ`m6>DfvhB=JLz(Zf zYUJbdZt2#zfqN2{j%AMA)4ZTd=#YGAS1u8!)&iVYqD@RvLuPt}S+Mc5Kd=cyc!Z9( zuox((Kq&r<9;uTb6sP`$9%R0<3uB|ay=vyoEn#4BxcmO1ID9HolUy>?3R%+v?7w(K z+asikkU;KnCf^(jZ$D`#bF7iajPQH0A!%8vRc0rygo-!{ViqW{8j)#Ock^)t0||If zphSx?tx)-r2qAthFQjgv%Xb+URJbj8WQPeEJL|DWD_!2~8y(X?N`oh?E^rC%MmNDf(vJ79cIY~9>j2_l>i)?2 zS|$VetT8S7vKRO~8Tx*8}`nf$V7Q0?Krs`Sl6a@#SWwkHik6(t|wOXBX?zY&6q(S`mJKWRl z)DBJ3A}SF>$P*IEcs#5Tz)I5dpVZn0lK(4viI%8wr&;R3ptx^lpEX}ON!unjE9)v_ z-7Uub<8AW1gXcL0w#FQ&jfNd^Qz_|k4OeysekS&i?R-sveVPcgQ<^U3sLv~oNzz8Y zC}j1(k=vPM2y0QYPh*pcLg_S0>r1f$;j!~bbrZ)Fbqc!TGa$|4;bv#8sJxFu&eG- zWZ;(l1v}v%1WE_coT)LEX#5e78qM53;7-O;yvA59@Hb5cx>*N% zPpqae29ofP*7$0>?JMG5Wd)F()CS59%sp-b@6~ZD%eQ20sK1yQ} z;<{!X^;KJA(O6&4I}|2Vv{!Y*zHPCj^nSS+)fKUqGWdwKMoV|sw?#ZZG8zHG>BHyh zJzP0B+`>x6f$4t*PYIzg#26=S?Rz;jzf)-w^lH+rt(P+zlWa3`3F#|mn2AH_#U~O2 zO+sQldL|Z7Hw^^VlKeDyVT=Xu4nrWHWP}fc9+CQ`OhC!Lvxzg^m>-F3%VlWWe!7~) zsIhW29xmst4}p$yuxD)E>v(Q;0fA5wG9*i|u0Qac7p)_z>Q#3%T;+dpZ1&x$?n`V4 zM41(=7Oh7lc6Zq!zYVFHvV&rX;ic{8ge4ZgqLsSY}lgDaz@KjP2P zv!Ae|MV(Gs+Y@ARD+kh=`jsEgXLyRCm#6)>DMBZWf`+YBo6~}uv9O?NN~GvR42c&^ z(@R6uNZ@B}>vHpbgEiKeSs^R-1TqnrLPX9}0F4o&N`NW?|Fj@*Pk0?kDtUC_I*aP2`NOB5P>d^(nWpvxMx-D{6#2ndIo=ANo z);6=fi|8ufdK;3y>khP$L^in2KvNl~HkVx1#*=S#81a#iFd!eAMXj|MPS_+f_ZDv0 z@Gu7r2=-<2c6Pe=N$n>}Co#QE3*DtCI7!)x{37z0%eYa}wh$0}uv4If>4AI1IC1*I z2&yOcrlk|pM8lx(4i6}{?dd_T9FTxW+??gjRFU*GoYg?Q{mFqZcW(z1|GIkfQ=U1S zQ;%)cN<56Oy|z99Ac#|clRl>LwZGJ*6n5&g$?qf3KT+~=NiGBz$n8qnG?jLln!8Cb z%Gd!P54w4DMEdf{F4_y+s>N zW@57q1nEEMb;jwOP9j?2R+@^cf55Bk4=pggZ&+-2Rxvn5LLeX@AZB4OFd!fxAT%~H zFs_XG0!;?;Bc1W0t}*R%OKkI+&TfZpRY+mP=?H(NAcE)&da1lC%kaq4k`8zpbv;B^ zgt$VqJ@#?iOdq;TDlp`Dd|*>#*|AiSXAO#p^;ROcn;MAT_aT?_n;zABR>1IjplE9) zXR|$Q<-FC^7U5pBu_{e4cM>5}<$h%GPw4@Kl?lLNE%-+~FBI;^Mj)>NF3CNhCE=^y zpSbp%UxPL!!LP5Y@go0$U@)8`E4&YXx}*iWcp#r2Mqf*vDe*o*tH>{eX7jJvCIFQy z!;V|1*}5QB{2HxhNBjlwaD4NS{O&4;&1VTCT}M?CVZ#nRTj?r8=1)J&YAg!CP;O?n z+}~THqj^cS*)u^;o;Fk6s%(czKy-n5#;hnXf6Bd^Xh_ziDa82N6f2}Y*1kAWHB7b& zrq$k?J|!OLbj2^KM0}1ZZav0Xn*dO59sP1aY*hWMMtoM4i^UY0?d|?=@`_}g&q>n8 zL;Pjmle%M?)C%RKyN6vosdw%+{nY(+tFDwsgsxM4j_}FIRw$nT&F6Y1s^eH@x zipN553*N>UI#l4Sx|irIs1(aO&Zq>?M_yR#*;AP=zh?s*Xgb` zD5ka|UH$?HuDKIcFS;=s!|HF2$JX%mnY#lUa8li_(ciNi0BmjF?CZ$?f1RXj zP7xR&g?JV$ahYYj8xfvG9t&L{=%N6&UWxO$@VjjNlpy2guJyhnbFXwDqUaEy5e}}d zbsotnd;$)S-XGxYp*z&F9#|FlR?Vq~*2~!E;&3ILa5eNbcx! zRp$p4-%UK==x7Z{QQRuW$w^)VRWE5q#m7JCvdMN#8L*=oMXUeThXR~xoBbqPo&y{J z>ur;E2B}{y_!(S{DmW-Or%}kc5$y1(eOge*my%Datf6(EbX z44X-NnP!m}_k54HP8V=F#ELvLpUx)DxLEh%s!2;ITgTEmeq>xldp+wS$i*s8z`d?q z5Qg2KoR?CPa!ZJopZ&M}b*Sld8{>o3xqrn2)a>AE$GKl;c^{Z=@5ZUJ|Kb?b+%kNO z2k@7tjC>%pK)h(D0oK>3VA-pJITZ0>-H_au{L%9A;R#0a2=`XJvZ;Whr%u9g_%Qsq zI|=FEHjC!cL>^NjtIX@IFJyT|2eU<9yb{u)651N@>Z%2SDILPms{`?#U^g(Xbe~BP z#!zi^5~Fs{?K3;3pfVNng0$bcXkToh)?@NvTT```ja%Y?+xV<247rWr;(wwUcr(X7 zT5OWmYi@3)?_u^o_53X4!DYOVDAvq)uR}=(f2NyG>KvB#>Zlw5n3`JYJWm4wx@b+y z#iA!GU6_Jp;Z2zaND;r#)$n?P_9+k$A}TD?wilewyvI&Zgeh#NR=>DTa-xInRy+yj z3D2m*l~kqLD@#uL_%Fe zo&rdYA_{mqos*$e?D=S(Z@B-$!MaN$$}g=jzLqi zg*8)yVChfkYlq4kuLR{Tr6hUKpQ@v?pEZFy9(e7$jpLcq&)0q((FrV&v(cq%RtJ%7 z6StD2iTItH?McF=Gi5L{cV2dgt=j;4rowjK?@)@cYO)t#Z>VAPw>}jL zP@xXpii{Q6Xt0WvWmajqF?Wwo{KHGsspv`_b5xhVPbQhsd>EX*^5q3;Ti`|aL^@=| zBkeuu5qZW1qdqjTvS3x~L5?C4c+#pS4$2T=m%IJEuze9Nb*|Fs!dF!jFTCQ!AX=(u z+9N@BQZim%=w}~TO!TzrN>*m92{U6^4p$J8QUuz?eze;8vFFkx*XYK9^$Z=Bw!&d; z!x#hxQ=f;_pyWR9l13Xlkxoe-HDxb+WY08PooP@$1qr)oHv4!O?Exj|%bD18W*UBi z0v74j{=B?RkHA8mP>}H+DsVEU?IW4cd07%$kj{$mMCQLR8ptw)XGE*o2e;TFfHWOw z$|8hdO1No&y_F#e2J{rQoy883=Y2+awNnAWq?1kZTRqp#eLqf}Fm((zo5!ke(qN_y zq$FjjwLaKP2F1_%hpA@mzrwH8Ay?fa+%!UB`0_Wk<_z+(9KCvF;tPMMmvBlz^zlq3 z^(N1DitHrSd&hF*{(JLhJ)?vIt*-ZDQ#D$X|OJWbaH(VI4;$)V!N)r_g2r?WgD<_CeeYD|tpCS+2%TA!PZt~|@SYD+_sh~!5td|nfqD!UH z{jNpX8D53ELZ5*>t)vMHUPCt}LUn$P-Pp>|1IxNP`V*zTy%obdYys$LCYMbkV`SlY z>c$g-M15X%{d_WdNv&vaH!^m7Kko+d`^#{f1(0)9(U9O5H(AyVPwIq9^!Sn%0-bcO z-Qb9#cX*1lT5TeLY;o72d41$i5e)XLWiXnpeN!9?&Qf;da72}JI1d4m<-h8iR|uXU zw8eRk6>Xfhm=lJ(Rwox9hqYm;AEU98-Tu5v$N)83KnCFpN}|+7e+gjO;iX|b-E6+7wa zW=u-!e+=YZFTV7}Fyb6j1C9E_D{eVH8*qJ0_YfXb*JPq^KaS4U$@hBIXas8y$Tis% zd+^ptU7MRU!%zAV<6uYZl%0DnDAdN!G6lA5nnRbd4mlP)LzS<(xh zxt)YM##nBAtz13KY)IRt2f{z9<>pNzMhsuDZ>+2G)cNmYFh|Y*&?Zqm22~_ceMn>O zChEF5cQi22kpDn$ES_O3p=u7<{Z3jGCT*o})m2<_vaAUA? zL?LBGyzTdSt50;IGi-~nnBi@Ed?s6dggy@}oU%>~8!EyFU0fQo88er^<8Df!V9KTK zmeHdmd>HNsazf#XoYoW)VtZ35r-^4Yps^2nx#L$q-cmaAMX}(NNW9iQHhTiqPcsz? z(PpD#`VuzC7qY_wi)5oRJjrU&&-^Fv2{3UFU9v#M98phn!ia&FU)#^k>V~!LO3!e4 zZNta=MyVvFnN~ys>BAd%p?bVqi1hCmWj`b?pw=E=KN?PxaQ_A5gDVolVXYYVpd{D0 zXY8R;5I^ns|1vdN$<{XZBr^1N-2??2tZ5XW3`d9}dYl77>_gpGKjq`vW$osp1?UG> z6_J_%sxSWt-oQGqD+=#r5vC^n#OJaTeag1OG!=|;6;~%r_6s$*ef{48wLR#y{eE@e zztduv)lRWFJVm|87B9h_3F@uXu^2`FnNzjGG9q&mp-_ni_>s0_%@X*GViU;5#PzOS z1M*+g1W6ica@IXsYUt@obZo8Ci#~o)8XcUicuJSV=~!P=u>!$zy1{Y@%3z*G)OlEF zd0+pdz5FpoVToe{y|-GsQ}7BnOM1~R8whT#g1h`C(}5-G2;Ow}{V43%*|Jb0g>L6Q zH%#k1ZNGN##72VYE@*Awefa0D5@7Lm6x}e;jVFxj8CrjXnz-3hl9P4wB(J=`V?(%W z-Y5D{$i_!%3-{lW2~5varQ3kTbFn5&FcAf@aoqDVYi+{vO)ypVlY6n>e<@t^m`J=n zpj&#}BtQ$Lz9>35Q(X!O;aNM)`m{8h6hEo&bVIbb&xCP8_hwIIK-2h9zE&u({zkgb zPJV|>4ha@(6>$msVaFGNMJ*iOdp~`^W>7Yu94R?C+*kjjng;!E89lHFw1OuZe*Bah zAD7kbhBn}^lIWc|EKc9j;robVm0S67RVGhWUvS!8or}EDsNTz z*M*qJZkQb~-F`_5!=}p0RdgH<9_6R)?nV{=Sl|-`>QUlDHZLxkfM-mXpm|D?kocNm z#m_ZF{TrTX zEwS8nj{JsH>d6-;AM1mD5*Kp=i&fu~p`2| zfpg7QCpqErtn08x#;kvG^@EknFI^M#g*&#c;0A+!xjH)++TV0_A0IldF0UExoa zOKKa$I3JtKtom`2^%@b4yNpoPK1h`6u3CNNKKt;KmoqK@{&bFCcvn5~4Yhh;bm z3A>2`pk}|>q(7zcB6@&R0HFZHD`I7Q-dv`)aR}aCfXlRA zXs|mV+tc#NBQR}duf27UBZ zLH$a~2QG^c7ZX&J3kra@@OxJip_`Nk#dP5VO=w-sU$kq=@U@jPbG}m!!3s+I{sZ>F z2(6A5%0rC{OB&jW6|7X}M}N{1T5*i6$^sZf4DkD~{LeD3t_|+Ff|LL>@Nk@eE)0x4 zY^c9f{eno_UMCZnEgz3>>jqK&6G7-*L|kE8i%w~9kZwUlIzxRwFQc#R!`DW7AVl?kq8=!AZrb&UXsno+DPd)z(sBDngeor8FQ zBJONhxOj52&UmqV5~IYpehkDgl)%4==KS=WvGF&v)CGlv1#$)nocv?^=aMySTGiAM z>1kCNPMbCHBMZ~_QwZ5ljRU;>N&1^TEdyH1_sQq_BmnA^7*1PJ9J<-s1)ZEjQ5ur2 zn0LtIaJH<`UZDglzGG>nmWXI&4J~-Tt zIhLEb$+ZnE+GC3J&d%XN28_)Zov+~cz7WC}Zyzp2iAyw`hiB9YHcolal9(`I^YwGp zkzfnmD-_h*m0InPdxs%}1^$6DOd%c3#~`*8{Ashu7~+&G^^VA3hv&1*f1$WEPt>kwlw;1bcGKrSgx3$mO|U(u~eA%;YbffXO1 zkd^s76e6F}su_zB*2EyGMKfPE%iJ9SDQ*I73ApG5&^&-EM~M)4Wmm03z}v_6xdstf zCuP<*^nsH!FVkp&vtbAFPW9Or15T&i%ixM<6TD$naL<%|^w6WLRyeUw_V&&q>Ab2#u z-c*foA9NYWr8ELz9QhqV^~Soyp1HP2S`1Jo$2F+%kP{=2NEl;`>WgQY&s%=cg9&q< zhXfPGT_~HlwerQ1wF-g}r)27J@)q}eX#KSah<#2!fqr=(Ufa!vG7%|Qw?ML^IqLU9 zq*9N9!@;?qILOnuM5MtsLfH9#V;lo9c4iEMM2q}dpc-l9vQaK(4AbNL$9qxCsMdub zY)>a>K($3P&e=y=kS^b$nxPcJe1Y+B2zuZV%UEiC0E?#8)sGl%5S#JJclQFCLs)Ki zQX`gmftg5pSYR^x5d;+!)#U6hc`_T=VM(tC0Cmxmv*D_*>1`(l(H!>ytB-1uprZ?5Y)Gy8-7B^atF)5}$*gP+GXk1*iP1{F(dG ztK4XX>9mBYpWlJaFWLEx){-fCSzuLm%bIB%OXCK#j%-~*p4y>3MtDeWd!xs*=E(!T zAlKmj1s`z6$l*qZD!1FIUt93^RNWFR?>wnaRDq2y4o7N8OTLk4-X@IbaQC=|3NDy$ zexqm(6@i-$9mX4}Z$x290IbiN0TC(sK93%L?Hq9wEX&5i2)yarBffau$o>b#?f(VY z(7M6Bzo?IW=3I$=lM~5kzwpGh!kbDPvFKJ+Y`m*O3EUj74LR^l4BpQaJ+=oej^)LV z<=w_6&V2KTzlC`vX z2NU|7Rq>@P`pI+@GJ+LzvRMo6(D1<~cGd(~KpD{s^Bz5nfB`I$N4lbMnZ%wRM*f56 z56Od2FDJYq<-AsC6$4|45V{>|eQL^%9z1{+DIG2g7Rh7!>ciFF@Zdt8+d=@x=b!LH zhk*}b7COPt%c*gX~R^lW-cY_iY=Mox|pOuz!IZH|dV8Wng$}IMvYZArW1l5?h$QHAznW>f3N#E;{vsbnWz_L zdkRMhy4Ar93~$Dlhvyq96wwvF^ZzJoW%QH#Rc#~-g__oIr#ra=?(Rtn58VEC#}$5W zQit_A0Es;Q35T6TC+tY(q9U?U;r6Wq8XxVs94;XG5`UX!p1(ZgN3sFld2Nz|B)oXd z4LZNr)_IJo20G-+|BtH?Ms-?Z)c{REvcEL!e}(9gL)7PNmf?Y8&lg^`e^Ff2)OECW zgFF-w5^d=Qmo!EHn8eJi6LRld4$fTE&B5$y`cc*RI07i_8=xHrncDXBZ*rfO?x<-6 zkUwjkpaS-($xXF1=gG(;S!_XuGb+*FV^`u8M#0FR3c^(>;_f?%nFJAif0ehWslJR- zV6g5aW5-Udf1rgNMbmDXDS%vWQUEEvDnPEm-K8gfLe^`_ z_QIsLOI`Fn(}RG*sXpab4&g8pQyy)n$)_|lrRvuqCLAokchKR<=9&IZklxN=a39&tnySL)s*cJn(Lscrnc|>xO2QY;8+edL1}7NDCSwEA|PAnsxhMv_cFp zc$Yuy1IqYHxpEh%UzD0X8_rqDtMPmaCz~kFh}|rWk3`s9t)^EkhN5|0U2t2IG0YzV z0YMx{&{>X(h^c3quWV8ZLeU!sS8x;MX@rcsF`P&Kx?mpn&D-+kX&5etErLn6*!A+q z>a~umJ8&#w=b2jaefgy(ZuK3(wfKz zd>BE5no@Xz;PQ8Z!rW-a5Q<|Fah5Pm=JP0%F6PoiHEjvW;6nANZfqeo z$@KkZz$(YpWl70&+-PbD3*9Z;hG)$)^32=?p)ZP@YqvM?A|uNQucI~w&RJ-TYe2vG zdddH@G3V68WQvy}I)imFUNfpf&2G;dtoFOdmDQap_LJ_e#dp&qJnOY^>BLsHCi5AV zCJ_L1zGS1V6^@svftCu?$hHKi2+9)SyX)VWjuB9L)-@gy|NcyEGe2Vf`&k!|9oXHd)kecgK(`?9lT zg!p8k*_;0t1+#`>=gYrEDny{JyIPfdMkg`#9@)`Ij$|o^^24ZJ$Gd_}bPVqCm!;)h zuA^o?nflQC*7>G`#Efbe;K&uT+Yk=|50i+A+z+(>oDk+fT1rp^t6Hz^Xn)5ll3n3C zlH~ZMly{ljsAbJ&LbI}v5&WNp_TVcP!WE~geQj%%vgHb5KYtC4-&iTY>0XL8AJP(7 zyexE-NtPztR;avy7L~T(wI-~x#D@E*zK$ua{!jl#L5-y6O=FN!p6qiUu{fX*7m2^W z$~!Af02&MrP#x<}doLuyK>TG(K5}==%QpPD(_BUR^dh?F87QCubFmgCeF|O~Jhqh)9VX z(Pfmo8hT&*U%|g6SK)(oX*<1@EZwV`>cAyGQb}~~=z~;dg;uC!^|%HQYcwji?tX9h zq;R>*gLv`0up_u0kwDkoX_4e3P;Fee%XMI3r&;&IP?RLu@JlLM+SFaR0cpm|!dI36 zVTXIZO9mj*B3nT+6oFnY<+>Dqr{35*=NltN-Huzi7DcS3?E-3Ek>#M6nuYn{$5rn$ zW8Tp1NbiU&ID9eig@xIn+TdKGN|Co*jj* z?y-#3s~Z=VQnYKozI+LN-7V{L=e(^60XIP;m;m2FonB&~wkY24r7xbXhD1B=K)O~| ze>(;>88X9x^n18CFX!b}Dm)%=V?a(Pq^f^2xUszSpOoIqq9N%JgXMO9r8f_uxaIuf z6Q>o&&u@C0d0oVDefP$pm`R>@?Z@5{CxOxC^dM?kRwgxzqrGuXlQQ)%^yD=Qwk{s7 zzAP(aE1f#OR#REkgqql(M5#Ilw4a<)o-7Br1PEl03@e_FuYHwyiXMbv@k6++_0u=8 z%ch%w^E&7l70UBvX=G|tK=h5S{(|tj9dmp{+q$+x8u^e_Yv8K2E*!)qB82z)`b;!Y zC?-$a{r5f_6J|S^{GHA6-zg_5i8kZfM4_U83YhCQtM7a*p#>T;K2qgk%o ze&|WI!OnVrT0Le;!r{thjz9b3lG2Rd-G51{5>wDkwQoOV-HG}T!W2nccCNh{!3-KW z5dnLi=GlYzo>vWR{jm~l0x>7cb#!g=lv0`yhH-hq*yo$-L^3+w8F4#OaBT~bY@&L` z&c5KYq#ukuBNE#}=pDy9BpRW0lRX5voFGu&PX1puKUCvw!C+_1sB+b~zOg;ERpOx? z?Ck5LQfnYT>M*_?;7e+p1~*mCk=NK)pWQaS%tsb+PBcA3MK5wV-Wv-Zv#aj<@BIcR zS&HBgRYk4=h^$Fe0hM{NbTeDN#0CxS^!BNA|CS#YR_^VqN;v$dtb7f0R;84T2HiAX zn>^MuU5J4mnNeM^NO0-j9$Uq)WM(HQ2$`x12j_jxR*d7mg+7wniU29>_-%x__I}?z z0*%k^csozWX=qZnNPWQ>s4F>&i{6jg#hj37Bf0&#dG&_d@K*C6Jdt^Vgr^Lr)EhfO3_Z0zzXD5Pq+6| zp$qK_*C6cqZX&oL3anwwagIep$jlK_%Aw*e)*=A=ZQroKSS5$Bl}yMlH8aLqAdx}G zeR%QNyca+AOqULJ=p!n28r)C{AlTTSVNP$$byq3eO`HQe8-K>|1NC%5BF?-0MrX&T z`3@)U<`GuI`nPhZl^8O}v60ekH4&Ki7aydNf7F*U1k->OpukAEeiXNjlP7 zsQqe}c^4{q=qGt7zIZEGC1LpiBUa zA{mL8G=K7hWsYma z#pY^CqxVd&pV^J5Rw6kcflCC)n`(95DcEi6R!VphW^q-oT%l%+AYVO_B@4y&YZzuu zmaZ=TzYdnwlEbZ*mYXU2RTaj${4fCtCsh#<-A*HL>pbHJ-$rJ>rM!daOFnMvES;0G z!%1s7N1D7MZLEYxZnQD9&cVVc#~3kXKLK|}xkbcz5yQqV-`)Uf$V~zIL{1A`VSVG$ ziT@I2-s=wl{4jOXW56AW9isvKEyO4fu?)hD?-EoOScmUpsU8ee_9`ah`l81Ym0yQ; z;<`gOPO-2}fydES3UgURaKgR12x_CvY$FC!44wYXz7sShc=sbK8ke++J;!5YZQW;& z)Y@gqTghcK$7bgEUeRwyvM5vIVw)=9-I<7o9Xv&?DJ*ppDG15}RnO67C6YXNVzc!; z!h5UF^}5i$ScjD9cF#53Yc`})_Dh6*fTjVYp70SOSsGznrb4rHF|Y>TOY2w-8C4kq zs}y&cd&i`0{pjT;MWws$V7sLoT#X-SKL*_2Sel(cu+g<)?EcQmWPLkul{;ZLdvPi&1q|IQDH!gV zdP#&mUzbT?uqH{X?+@|V)~e}DWv9d!aQuUFolY9ufy_rehS>1>f4l_K$Db6J$z}dY z1(k{5-^(&|S4UpCh@Hw3B1eX6^<9m%uRPYCp;~Z-UIAoP)D7AzYtYw#{)q`!6Nj^( zh;K`GWlWu3hkSbIg>-xueSJBS<933jRNB>fcut6P%EvC8K5T!M~Y#8|GD)woNn;g@aDq zw^I@#YyM50RMy}K^B;9)Y8TBGDvx--Ne?rJod8l*^kn!=@d;GAa+aXrA+1R3ON8zlJKPQcJO_&0N%%W0S_D_%lv?+==q%7 za43<#v9j3FmTIdhn)OLno1~o_AwcK!;Wg$qiH<}ayf5E+Ygk-=GZLJBjbZBjoQ&7- z_lRq3s8T`GMur(QSuttq$VTK2;n-ORn*r=^rWQFr1uULqUlB&aQ2Z)UmAyqV9XBfo zGIHiY!aL30+$KR!s0*u{hqlp4^Ud(~(J|Fi`7@^bc%Cv&A-pZ_Z}=hKX4zQ-aC3uh(rN7V9MG9F$kbNT2=Z^Jd6*n*crZGgm zmx4#MVJ6chl82cr!@T@);^sCkYV~MCoQaa>RUC|PNgfzAO z4pb^j(%k)no*xD0tZbeUx3f%OmL3n-on18I9O zY};%g)p+k(bm5$jdahKq@@T~&mm2&r-mb^WiGYHSB2A34^CAG3PCbrjM9@{LH)jSv z{Sz0(5nyQ3IEodHaQa_QF3(#*X`NPK`(DzxyM>OnOe= zu%RzJ)eUB7V~nu%{&2iV=+0xC@s|1(Z@x$oYrFqmU$GsEKQ8bID(1f}NfX5KQI%zc=u zM0hwMddrrk_%S1Xl_^INKqn+v%0E7HUUdl>0FHHuZ^!nSjvLWRDPM_9G0pR-SHI_D z*PS+g>|RbI0nCgFLIcehKWhH&7!;DT{G8|iA#DiSgr-mf7QFlAQ&=!u)?@&Gi( zIc14g&hx^TUtgp)O^TyrdjZEULHieQf@`r+yzj}~DLKXe$AIAe!Sb)3BF(uUwz4UlqAxc?1_BrKotbd9Scf6EO1?MP z9rrRw44+{KgDmUh!V>iPj1+CJe@_|sRtyX*Z6!X*AxoR&-fw6?Ai5h`fj4iHLgwBY zX#KAcEmjR-N+qW;9x>rgnI~cVUGOQ9Zfh>DXy$s>GYwl<$wHokCOM*;TTXalLA`{} zM7EQa=xPL5nv-Rk4oPPy>0(RJTcWP-XgXZWn~HdSs1x2@NbKqDsDH$@<09V$h?XGw zEeA}Q<}7xt@-vUCjcCSme%5ad}7uHJ7B0XCWn z1JT5N%l076l_im9*gu7!Z||6cAh!1q!ldCWh&@xM4auRpCgz!MaG|>zYH~VVI^ZW~ zi|hanLY6HlsgfX&HE&mAt9}W-oD-Hg6CiAAbb9GZ^?TGchGoggf6e`;P*nt!*{lHt zL&!nlBZhOaLkEnd8kGKvxRZj6Q3;(^Mec8ZE0$avZ<9kydz%&P67ZZ-f`Wmb*?tfY z(WJbyx!9`S8NB}06K^&uKNeF9QNbGj?m*G*n0&QQRIR_uI6bELwuVXx%1$FZ6u*{k zM_6RRAAeZ-$^emZqI$6voMiYX6pZ=`ZqB~3>^j_j+P3KDnB>n4kDGPvq{6;Qw^7=l>-kDSN}!b;r8Q`LWM0Spl)2d za6cc=>aqb_sw|+)*!B3s2#CdQdp*+0?$Xoe%Q{c+zA*z$ad=*@PyRz!8J4q@TaD4o z4&&RX$OWb#UQTg3D%s?&Y1(KY@}z<`YlVf1dmYeF^Bj|k3;wNJ44Gj^NJPQ;I@`?v zq?xRLrvM;X>^$Gh^mFC!`-UHNGPhdpyWaxka6ca)jK?}S8UuTU8}>{M9L_ql{VP$Q zGd|k>uKGzwp6AhPB3suXK(AjmwzY&ea(xo{HmVv7XB&30#}Gl-9<+5T@$x_)@5_g5 zeNT(qw|CA59q0M=y_9{p`LsRFGJp6UfRSlG`3I}{YQ4*7&YiO;y>P~P-==jZc4jbg zrE!b-QZ|&C?&lTGD|!UsZ$+@Z&h4#|on`}t5q1I?t?%Tv$%}@g^0PMPtzTIS>uBoT z%FSvYD+wck=-~PBF!NyGl0Q=U)uGels*jU2`HuxT7V2&8ZYL3Yj6b~VYG!EYB@Mn9 zm8HcRT%I}X`?N7~mzMW~)Yaof6sR-tS~;DbgE`V7(K;%9q7(s0Zl&1~E@QG> z;paL0O!P6K0c_^TtbHT<`jpsBiDo2W3qv1VnN%d))=SEi-{Pb%N{K|8+7XMgt@swq z%!x#=f(_T78Uft`5VgDct(u{6&ZT8SsdqU$&&ZW%b0m0NV^#Gai3vZt2`~Afv`Dpt zYO8#PJ;Y3cZnQB7ApatqHFUe14|+SrG;M+3WUGOpcB#RB1_PN-8?!VEE9D}?JU)ZB zwmC~#!5t?$zq|cOF!EKu6>Q6vw6Ch9D|+9bN5jffl*bNovCw7r<%=mW6C3+Qm`{ih z8-qX5)yPi{)ku97M#EkaVUUM{nbQqNh9r&fLZCY0FFi{%}zD|s_X0Yq%4 zjJ{FBt+EX^uY-{1_O%t3O@klc5Km%qw9_)^Rx2UQ2!O{E@xlN0AKo1B>g~j94j;LaovE;XjDR z6z|hl8uwXlN))U#cF0DQ=+0iNaL>*Vmd<`dgcm8Ltw|>RWa*#1sax{Ee zdbGZgc}F2VfJr0rFa2Jei%^l?LSf>i&K&=uf_Q_7{Xl1oEseM3)~2B!@Z%Ms&Y2x=N1T+euhWnB>HD_mC zfkaN|sSC#Wq%=-+c$V<%AJ+#CB-d_~e}uxarao24Z6RjSMw024eZ5Wt>)PAy5t{6T_KOKVmsDt{#8l zb5Tj@1HPa&!PIPR1)+G}I5GGV7>>o1kV+pCfzWwh$P*sTJ;QndJ8(Pt=Fh&{r_@hQ zmp3JEUK$(dpF+?FW(|431S}bN8I{SB#oDish|{aR$9El@N`q@fh;WVG*tb~}q16#2 zV&kTMfJV;6TZe+7f(tGGK=L_w!mP$Z@fLPG+gZGp&N&aDeI2zGB?gFP`Y{`Ij_3 z4-!VO*^z%3uJkUn40;O8hKbMTB)=`Ruwoa*&`u@)+;duM{8+)~Ze#o+o5KO?kc-I= zZ}2?&#R?Ii(R{)VQ)#Ct08LJG{g+ z+>=ZP!r`oBBmK6Yx@0)7PP(-!ejAzqBS750KRY);Ca)9+nS)uxZkivg-u4Qv#`sHK zcU?wE#VRhG7;YJ}es!G5=VsO9@*I{0S7AQEWiM8~aA|m`fpkz`2mI(24UO`@3myX~ z?Ctp<|Df5D(!G(?dtWXL?^B$=PS_BftH~2ZIMZDTOusAJg1sFr2FN^*+#ZVXsjNfE z35*N8_8q-^>z4}(%uv=sszaiTHtq-`U6S>Jz0JY>YxOio1$dY8#UysqM~*kQXl3n( zs>D9^ym-9lQdZyBr!q{k-KMro>PB?do%9Sh5YB$CGS#bUp`PzxLya`p?4qByXw#3o zTZdVR9+=*Gf?UN>ho6E8`qcM@$ItMxOnr?e#HZcjMm|E;#=1-owd2p?lYd*vevy(| z^zSx=gf&La2}e7qV`6r@tlJ-t7m-ikvc%#nPjD+*T&79tm=(@C;Xp)pn$xWEx2GCw zj+<#*76Y8 zCn8n6)RVYOuvdJ{E;;`Zq3w^=HGGAStklWH`p1%E3a9G71g(AU3(tvn-pD})8p$16 zVpr-ZwPaqalzTwVrq^a(M>-{Y87&dLP&40gRjQy3H53y(<(I9FBdfd>-2z=500%sG z8ut(vTN(qW$Dn#|DF=@?UnYAAxS3P|X0QDZ$o-UHHQh08Pb9#a5qyaS>_^(dafrp= zrbX-0Ibc3pK?yExgs0u`;YyC$%y}$@N@4&jO#s8hx4^*nzs# zF;?vCui@iS+gP$gR+)`>+J??T?_9%kc;26`UdZ19NtBz?DX$}nFQT{!!k@gkd{;7I zpG@3&PLf)Bv(N`4sR|d1ATf{~E!2|OowaSL<_NIrSqM+m&hounbhj{leHO&QwEMO- zB~_`#Rj6LrGChr&I8gg`28k`I-ydW;BJuadO!`1f2X@|#2{>2HCmRuYuY<&#+6kI& z0jv)T<$MU9u(I*X6Tb~-v*+Nr;>)&xblv!}nO|C|vK_dVEObmhBlK{@mJTK=@&3!7 z$fHce?&KWAnzn_)U+4CL3v5CA0&j?3-ue@xjmbg(fyD97|6q=G_*SigCqu(X=m_Ap zMlGfLjA6jyk1W1HEKJ;muAt{B#Xlq#(=v4p zCB_*vqJw7X-NDX6VmdYq%pch@DzF%TbD-Z3{lIqfcNLRFcBMH$^JqNGWL}@s!q=1= z-+3sy0|>m2w38*X*6G*HZ8>sSd>(-!6BzZ7itaHq=j)J8;>n5-CEO0`XDRb)4&>yK zJZgVd+PzISvor{k4=V@v{2finCBJc_QsBh;ASqnQwUk$}m-(d;0N!O7A#PTcM!*L~ zgGZPfJw(9WUE-A>5`5I{a)`2yt_X5%#VnE}PlP`0`1=Jak$2QOBq9)JIM}2lF2GZm zX7RLi$DA7A^~DcS@(*Qk1=4K~nu^BcW7t2EeJUW>wmCqULnTV-{y|&YC(G(NP_{E| z{g4d~lzubNN&ZuOl-rv)DEwckUrEl*U0cytaoj)DosvhO0wIDpU$&7BMXrd`y9#vt ze&gJIUA^<3wFt!XhixC-rsc*vnmPzLoC}4trk*ev5drNuCX~J47UzW5R;AIYQ0)WR z@jDq$9%-W{f>|Okhci>R;Pz*49|-VbXG9zq4fu5IiI zM}b-_Xk3NIcwiHTECQDspteKpW$Ir8=YFExFpmVRrar=A|32~;mEKp%$cOxi?l^2G z;lAOsKQ6y;^~o{j+2EwIw-IT9yoLI4?|@48_Nqp+8oaM_FCF-+u)h6pYRV*e{ZkPu z+uPP~s}zn(!KZ(%F_ikR%txtT=k;EdKjDB?0))_qB+p}iZ5)~}ceI3^=(plT?~buq zcoND4H4~3@*#58m$N^bv?+ZU-1_3@u$}vVV2x@;B8{xuK1^W{rkDqOwA8^CpsVcCG zFJ*mEeq926>~9KXGa51ORtk=DYX>E2i>W%2*dNb=@LsaQ?e2FC)>)XIqH6! zfVwdL8xq`QiM3X)Z#9!0YOk4ejfupr3u1oi5UjW;M^rvVN@-ayH2hTBu}~T`ZCBx% zX*GA$7n0BpN5MQy1eqZSD>AHPXk- z+e!IZ6eFLSe#h(5BNuMX24e9k|}(wf+MnO^4FNX34{$eZBW0T3KJQsbo(jA786 zjiW^PJ+qS@&tI7>$fcj+d0j}oZeY7-2#C56kv;vn**n1Ii@CFO4*#a^lu-W})l*a69CKi$&=e1&j&kcmxw z4Tp<4TwV1gAS;r`Q`0#5Bm4pWo^2U+x?W8GVz|d$I~;wcuTVV0RW@U}qHHr8R7crg>*NaP6$ zMxx{-@5D&G*4KwKKio$>w&2Lg*GvPoQ>>HMb|bFBqH$mM^~nA;1AYGp=fT@Ehm`dC zMYHe(%3Xm^IUeKFiiGWhyMN+ctE2038SXLct5jpYLbRh7-Y!VQ1tW;TbrKJdKjKD% zZ%c!_ezMDq@K}29$47w-CRqcKi3~X<6`tle15)UAm6D}B zE37}h`kde)Gl@facQg?2aasr9Ja_>Ber{=4&tVIVHlp~a5N&-QwGryR1Gq@*xs&Z$ zZc(sTtQE}1pcKi6#;u_$G+b!kYE|r)J<>N_%xB=lG z)P{9+X`9}~U*`RM36NC9uu|OARN2gRRi9Xz87ayVqAFS%-W1k`r|V(TeYD^y^qL!# zU*m>z>6?x%8;Ir!;zNxMzVAlI{1aZFX?1(n)}FOyIhDX?0~e}IM2=0s^Xd^}Hmd)n$#B+R;r5kog5VV9PCBdtl7YOYMLW8;d_Baxh0bjnnXvvxxTP zbnse0n^kL#!MJ;8Lfe?xj&F*Ez}f@y>O>60Vw6pNBT5o-!+6z#Z1~6ykKkcl<&lkq z^yqk{;{~VR&wPbI3C9+mxA*2lX&_D~`^Vfm>Al(jcmAV-W?yAAx@`sW3+qn5Y+zDV zKnV--PGv>pMPSa=CDByu!HJr6=Q5$8Kf@wL-;h)5jjFHpXEz$WFe4%UBA}nMTNL6x zd6VDR%Kl{-K%h0U$x(0bKau-Sod9$#44XG`11a?NI8HQFSR16rV>7quk3K?KZD$kb zH1DD=PzcRl!1CSq%8w!5rESK5;^Q0L6Dkl#tnHz9)Fv+Sh>&l@fV=0J?qqKCbUUf} zQG%CHl(Lmjqz~Cc+fj`4A!7dB)XHS;r>NS{GEM)`>eS3>rjW-muQuLZ9;`W`J~U)m zl_QH+?=2XWb=PH-Y;(oCU8>5rYR!nUciU7OwToMBB9@13##LCy9iDfW@o5r~IhKc6fCAVoh&LXW8LC$I8$mpqh_h;GkN~ zLwU>p+o%s%Y3c)jTddZrl7!S z#Ys^YV>-DH(0+uo(bO~+NH;%1S5s_cC{ymkicvoXoN2_Twh+5>&699QcxRFwOBB~v z&Tgfo+}@@=%vv#-)NDAYY1eS$w#hS8M81|@(GtQxt#ek=@uRIu@$d9qDW`Q}zj8Z% z@J2t^0$~BcjTb?iu;h{ycvrJThVJBv1ZCQ!<@WvYI9m1m*nG(zXz~brGVf%Xb{3rOtnl6iFe#L9Tf4D7MP(9SrlNbSZ7(`4BmAiEVNr|HB6fT{ z4lmJOQBEwR*0`h{`(&F~8^ojYHsv>g1Se`Aa&;W8&&KYwM?*o-lSV=30E)B5z$%uC z^Nr=0QzV-}Ylb1P(0bSu-Se#?Gc2v7-h%YFKWH%{BrrocCOX{YL#FNxjg{MYQ?$1s znR3gRO7G|7KJ1IU;~6-~9T;*bM)r%HN}iTVtH!YmEagq=E2Le># zE#@7ty~4P$Ez0SF5QLPek>VTln%kI5Evf11WQFok%ZC(zo`gjT%!D6=Az32)=sy^uzt8nJ%mH zeH*wmy@F8iLWINUL?*0?=lx&p$XkJ(TJ+~~mJKm(nh~#t1QGROjqkT+<`8KWH#j3O z5yy#RBCp^R772V32N93~$7heXw-Sy8k@PNY0AT zkocS^O6pNp*SsJ)8ASm-v=R~^`PUsCWFQ2)(Il{|QX}VFJ;1zko@wY;KXOh^`)W!5 zu)(b&QQc6{z#X(2)k)&I07l<)p8HY+zPw-VSQ*N3)D7+Ze~tG6@yVAg7t`+XluA|^ zc^1nfgea!Fa4x?r=EMevOJAI*Tl5t=jU@tj%g)0_aa)>2cWk{hBf6XzX7x7M8(Y3f zVeR$OOrC-w_Y#lPjB*^N;JSq#14|z~oZYL|jvC|(no~}dG!mP`T6355l5<2Zc7tme zAE79iqEvd=>se!TDUb?-6*MG((2X&Iv_8A~wZX9tyMEXqY91e*y3Y>M;wggVu`DN- z^P(>!F2>7Xe3W87)VkL@^I4aa*J{8VZy`rgd6iOQLi5}@e7MiHeUS6CJ6zm??<`8! z8L+Oc$XdD3iJCi%br(eig>*(WRAt3%Pr#wfr`i^0Dz{8C`YoyQh!MxCFZ~38tyTBy z-lyl0x3%Bv4Nsk8ovr64KwiMqvzM^?NOnVUlbkMmHwhrL_j5qZ<|Ji4!L;tbGGSCN zb6dXMv!?t+39;8PjLBkK!1BVv$?XD$cm%2zn-xr!L|kPbL(JcF(j)B)0E#_3T^ z6A7`I#xwDlKs>~qEPXyo3usKv&r=#>;)KWHpz3WTNOeg~b4viyuwoMeGaEQJvkdCR zgi@kJEFvfnRIo?<4RoB5AR#d71$f2Y8>%qru1)+-X&rZLYYW;6C<;Q-$u@BTDihjc zf!TSRd>`N}9j#INp(|OPFgD4n$9<9Uu<|s!ru>h#0CW)HSIO5@`HMk(hLWHqxL=59?%@LcoZ%cXc*{T(Vhyx-d*TZOIrs1iN_3!=n_@b zKhCQe1=R0ZNsc$lP8hG&V#jTFQBFZ~U^+%1^~Ef|U-9=0E^djxJR1P>kX()LCwJoX z)k=^&0hqMNd9Mp-I8~}I8^$ziVWASL`)ys&kJts5Oz1w;iT8t1s#xBSm^*Xu*Xs{{ zx+xIUcfklzL)kvoj;Xxj&g1)KKduB5;n&#y!Mx@5*1BUEb^GI|79&2o5)(q;}YdcT*3P8QwI-A9vUGQxnhGT-EX z-UAk-u*c2oGq=!Du36uJPEtavszlN__DsBu0M+Af41ZNkV%qJ7()$kR-HO`J7BW(- z3=yb4zH0mRzW8icNR+yfe+&0!vEh6*D)2tpRH8;~pL+&zMdTIz9A`lnYvF=)#vS`I z4In?g|7)QSEm4FbGjOT4`xyZ8mf{VltyiSR z+SYWq@}3u+{n98juD>J+(|(-~6SCL*XFyJhOR%04%CoekHY@2 z<_3Y zVQaq*pAKAa3whorQaOJkD(X?%eDoV@)LR1!d9Rft{sCv zza(DSxailEFD0D#R*9c?CzK&QCW6Wz4)7cIV0nr}JB0J;bx_OfQHRUGr)s$Zh8~TS zamjZWnBn@hwWdO)`ygw`{Jve?jZ^j^jC_OrP;G>|+M1`k1jL*c)tVrOFw}VoW5i7v zEwHmB3D4;zI&AaPwK-xZI~erb0OedNb?+@XD!3G7MmADh&K))!Hkx>~Z>A3p;Sm z1cfm*FwIJM!p}QV=$Z}F$J|vPb+cGssgd9*IOkLFI>-fv8<;d8qfdkH9v~^W;Q{ZO zi1;K|Z5L^Gkk`?Hk0ya16zhJjfV{`+7SL2Jyi`2C{=)rj37(ZBPZxx zk_dY7wHLzqsS$SxhK;47rV)}ew#i)mbs(@z{Y+37{&0_h+{RE5*Qkf#?2{Jk)_aEv z(eS1xuR&Ej^7L%`0_~^jQ^?RdhiELIufd(y zDJT-OO@zFQ&HvdHH05s?38>aDNu1e%^}Fp-B4 z?P=Tq4`X_1Etm-v&-FU~P$tmM>1^aT0ofum=S)WF#AwO~x>AtWm5x;Zsw_EQ-7y%| zBrfZY<=qTgMq9euP1|8GqlRarJWskBlS4NDG40bpUbaW2a=FA(?X zHO8R#*oj~1lYc+hU1{t3O)8gWhAB4e{e-}oz(E$|hit-lN&n7kWbTgQ*J2x-Iaf77n3rtDPR?E2`?Di_ zAPIHfD&&|!k2>Jq?VE=Tpt=_v$rdK!75u2{G^j2WLK$v|>suZ;V;xRb-liJSfwnm^ z!N_haGIB-#uk$KYcLu<3qB0@i@nKMX`K=-GLp^wplu(!~nZ_Q%rr0cBx3D7`f|4AD zxBz8^Gtx_-5AEW-%I#Ibn$;gkK!IVoh?w>kMF&l(lnwY;7@|>p4v3Y7m4fZ)+SyZ) zDr!*X1eLZ?#zy>mNFF9vd`o*zPjEhWw}`~Z*?;eP1e265EcCDvwJ2WLpNA0qcY2l) z79ZaU)rH zQ_<#QXXZ@ukN6VJo+x{t${4>aHZ8QX`FsC*8~!@vqH<(eY5Zbnid$Ufvu`uJkXZ6S zue$Xm7SG@}6bYoRy|GR{KfN>@HiB(XyCsjtXp*l;8i20%Xq9o@yzQaAN=?K*%7g>_ z0@NjM&xm_t4ZF41=_LCJJ}&2i=OzQ{b-U6Kdg#2pMQ(u$ue!6Gp^fX|YY_$1-d?3e zhwD66;|18o`_|wNIWf;CqP^rAg})JyOFN}Lr!C;0XtiDl^XMCx1ObNCo4C|Dj}?wd zepb8JG77F#nW%gJF_&xWa`Xj_Ae0kNbGcHy4;l0X5b<~Ux zlANKX*0eQZ0;5;cPfZ$=)1%zhC~iapYwKT?<6wd&1G}Z~q;&-2kdB*oPPase`pwqN z{=!?SVaP`)*-C+msQ`{i(yyU%^iDfFKV;uSbKAPqTh^yq_F~Fm0`*C3HbXUbnw+7t|0;NyeXF#Myi1(DBHYp zd=yZRHwhp3NDOyS6hb`~XIsF?uFN2q?NfZbcr=amzs#iBJ#osV*YMcu9@H2r_dped zn^B;^By5}$l`KQwg;FFjpmWWaX+x)kV7=UO*=c}Jfq^x0ST9uaTGZvHBFENc6jgn3U$}1N!C7GesE(;dWAe0$ z&s`xW9H*F@Z=c7GM{2Nei08~bes%iut{B~)$wc(TNE_RxL7XXHws@8qpbU0mDG`Hdfe|3t<6 z-3TS}kfwdQl~`_!ll2Mocw(uTDY}gO+W~1(ngRJ#wSsZ>2feJ#ZoUFz(;2;X#O#xzpa}UWu8^f6eH0b zMY9=B7X$qewP-SOBX7)%@3NRAO;8Fj@q%lCM<*P=3Qk4oE za#9z&KJ;3mcG-Zk2xWoTa1*g)in_GC;?5t~$O$x%--2GHRt}@`-N2XIdH7LUMF9es zkkCty;N*l%K%_W8NHzd8Zk0WRL*k*W02T{(U(4F+mzeLu7f38!Ha=c2?wY}r>hSp0 zu^fhzl(cBH^g!v&SVCruNQ_!0hNjad0=yQ-_z%aALFnB%oj^tZxF?9Wp-J;n`>XpC1hFwD(=laInJ|m z`lqIdcW0pIJs5Ok{3*o0UGOkIYT?1^7iRK~;RPh54E~+$C=)*TD;~;wal(~;?kJx9 zlk^i%quM|f?TUU;2&d;N*cX5gj1dkB;B$NmH}WS~yhj?IPT2TnJhh7av3TT~^}c0m zlJa_{-jh^^mpKk9D+v#(GZq97%~hM1(|TGILOVsW@Axf=bp7O_X!yS6tA9gqWT(LC z_MVTR_TC|-2B3a=uCaBz%%82ZRId4TL^W<>>rjx4fMGWC)+Arxb49hWc!JXGk(jPq z?cJ@iFa~9Sm#bO(w`V6@vTW<=)?SlvS8Q(GU8Tb!Y25NlxXQ%;yzR+Ats8iu_DeyF zpBw?ZNj0ZkM;vsVozQ>Wtwe&(TF>Mr&DLi#%|cd4S|IN!Ud9ku?N$I?K1Ux5Dz~e> ztKC3!=+q0`qT91dmk6O!ai}oL_WVP8zDETwe?B5#^pH&|6)2y$oQMM%W{hw{^=u(c zA=GyV_+M)%UaUZ`P)*I?X?H5nxLAKHW)^wh864%S;CmB_giL^}ASTQPTgq4<8Lb3b zlJU-R&jk?TL8`t^*4c!`<0mpA*rp&AVO5}rpmCDtgysl3j^lf9KS~7Ui3(=34M^qt zg1QXm^2vu3%^3MP(?)wy-;+P4LTvW1tr$S|c|<;;CFLM?XJ&0zblNsEs`ddwS0IvQ z!bRk)ym-~zLAscwfM@@Wl?wEbQ~L|_8Tu4x_{N5&lF}11XiFYO5GkH`1Jvn@$v1w!IW6 z4mL7`*-fx+0YDxvVYlETiTU{RAcnVk;y&WW)e<}$vvcXy6Wq%dkBp1Ll2zyGqe$Rb zW!vs8t9wvZVjFW3@W`dxz5n>pCSeFx0{I2o&LO%GmT@1Tt=A^}dgyB0Wa1Ph1Ws5E zr%8_hO+d20cRi2dG^OXMLW{6K#l*QKtjJ@PbX~)!VQ2?*#g>ZN)yi@p7Q8&Sy6x6k z4-)$=i2;XZOiZ|?pY_9wCO2+28ke7x_AY??-kkS3H5tRgB(bkMoMF=b}t3Spz9X zYCI6}7y5E6I~1RCyaZZ4PrJVGg>8F#TfB*P^Q|q$<1NbK`^e}+c$|`OdSoop3Z@VE zp9(3>cK{~_^Sef2VQxbh^8thvn14*G(;D#pJK#AC?89XHSku>OW}M=SeUy=DUs|#| zp+F8ND>DUoN!BNh7w*$envhi!Pz$5C)Lx?w*q-p25=Z|%lmV=$bVYgn3AP09KY@k% zAlZp=fcledW_yK$zgQOjho^$Kn?5&2FR@f9a$blDFJ3$}1cC?qZM1j@#A0UE$n~ym zowEGCoC{8mx7D41zz-lF#2{Y2u8^vpdoIz-huDZ$wX$}ZyoIZupAd=n`gX{6r@Ev) zSQq_fQ*Ay#e$Ll=n=B^xw@E3?jM(-JpSobb9d$Dd1WrQCeVd5xZjo@S30Y-wv`ShC z0JAh$MAW6wmJF5Ga>j~W2n>b!_`C@w!GP>%8`F<%i1UcL{;lZE6Hpq_5b?Lvs*67- z1P>S-jfvx>BuotJdj|MV#4nyzC+4%yeWw(T6Iw<{Z(#h_gX*c?em0uCd^`EqM-p%^ zCyhoZt|D<7Xa=~ORpK`+#rq0hER#Ve+)KtAACu&C{&%7d(mDC{^Gdnh6e*^l#n7c? zPcp`dBqK6U9)B- zq>SVjjDhemU+sGZ7`?^ryb^Lc*W1Yfx_484YNrsjqSQbv$(RIAK=GBi4hemxMUy(e z`kIv!pL0alhPYN}3XFtFD77RW6&|#O9EF{MyRVY5VS~Th#c7s#B2d>V%R!-}Kqklw zJ_1X-*Ae57c7>#m3S9-tG`Yd%Ke;U4iNSM`_0DhErpRiln5vJ`D^{Cc9h(_*)3-@U zJf{yZ-4{;KSNjRkG~gDtp($AkAdt3zn}Lo|O*bt!yKdiZa!^)TpwVurMBc(PFYoHj zP2^H5$Y<{$T_ty*OJ|g@o zzM`%2gVYqP-^1eTwGs9S5Nf^EV9o(qGEq84p_bYAQ@YBGiYrQeYjvV_7O@GpNh$AD z3$Vx7JA+>#Q|pPH(;Wx{w5Q`2gC*n$Axe&HMKhD1CCFC)4;MWv$V51XgGbC=j+YG= z%mbQKofx(|iPtzfw<3 z9(qJ9unxzy7yw@QeBu%ZK>>4cDD`d3tVcjhpVvmKE}xzAjSrT8{<`yir&Qf{9OMq` zk+0NORUddpHbzV;{r{C=vmB{_|7wqa`7bDorZDWf-x4#-nOQ2tR3UtB0>!v}g$tlZ zP(_rsBUJ(tp!LDs=Oca?l!=aaR41-+_m>%l&eKA^|2QS&xEI$R0WrBS2vRwjbX4Dp zxn+hyYt{AS8?otxikT_1dMi98WGZBi_oeX~ui3NVIip%DHzL$_uW-Yll z<#jA3RhoV4ga*q+7P+JA1!-DO*+8;6va>32I16LEDfofmTWQpHh1I2ZIh4P|yo#o= zZwai9L|;={5KMaQOo*)9UI!HDsvE|DY=&M9hCYyQB8@jnijVFORFWe2*Ec{)?C5r8 zI^)wHVuYN^5If$pq;&7ktQElA2R1>EWxC(h=Q57t&t%^L^>?1xPs1S-Q#KP|E#$j4 zKcy~EZhsNDv*VFmvE}G*X)9D%>8cHOwUmm9pVzM1Uw?VygcrDy#{P2^m4?<*8)cqU zu!QR81iCSlX%^ABI-p)|TSe?JbvY&@Bc`p`uJtb0PyASoBE=l@Xv$ZOc}Uq4)E!^;i$Xc9)q^*SItQ~{WX%48 zr_pE7E#U4r(M^%h&hu;Nw<=H76JSIz!h;sR7Coljw6FW@rb8!FNFfG}gG zg%*)9dj#oatz-rXWCgm1#Gst6igdFVpuD97`zkhOs}X5Ty8Z#n8S}(y?WYG+xaNgx z!0nT<7eejJ@s(FWOeA{i0w0efQA7C5G#!@43>+poe-Hr2-=2;CY7`$FHAqg@x*^79 zm{QknY+}=Bws+}np~~zzJn7)8XA_}l-bDvCEb7yAZ#p>_O#PL{w46EdRtN87NykV^ z-4MsD?1oD4`3Ws51R*JErEZfI?|KGIF@w@A=!a@&nX4*9sBTWLqFG3+L#yWo)^=I8 z0?X5;qK14Hd&++{Y`65{)z-=$k!k;TV=VqPFs3Dt ziJdu&j`faWXPONdg(4VSSIKP=vk6XvruRF&Lrt&I^|ET(Ze= zyUkS2#ZwHi&o4-@O3D0DJF3%g!DxG2=%MEJ1B_Yj<%OuuSyX7h3=0XKZn!UpRms|B1wH7Sr z>?R9wLh4_C1t{}@K5FuK9hQ(q4yY8Z*OW0kzgOZ@u0k-51tF-#HU9o!&9{Fgm;5)l zSozD<;wr#zkf?v{*H73I_b@Uv&{Er1=!oiofj~%uJ&E^4@-plxHVThB6Y|KTN|B=w zTbFz;cE}p`Du3$0gG0_uXOW#Ld0@~QgQ_iC(WS3OCg0l@H?gO0F0c3+2Rno-OEp>% z_{oaaS&<8L5T^WGR;T9EV zmvNeu_IPU70El746#z+BoXJ#w?QHozio@%Uo<3fDZmdD(5pmPx~7U7 z{HxT4jseeTS;fM#Fy|2&Ps9^tW={hEzgW`A!@KQIey^*cQ!CK~RZ;5e`w`JZagO$F zGsSw}hjy`M25YagTwS?Huo1!hfx$$&pjNE?td1dy5rlrYxI`=uncpT%ynuDwMp>p_wYsV9Wo zAz#wDtCh8gqm2?$dctV>xm8X+>Xou&Qg&yBq=`wHhIa1Ct2cRJq6NUK*NM}Zti$q4 zL7Vz#>ZEs<_o0ug`rI#maz>mb447O}+@A&YlbhU}w%uurmdyeM?$?f?Dbufl#zOL= zYT;E8jjW;oKO<>XT{cZkhxh3bM>qWfKyS>l!FyTawOJ_*8T1+80SO~JRimxcNP}SY z*>qdAV0Qn{5SK`CSgRS;V>w)dTkW7b4ik*x$Cb1sdb!#a{_iqS_aSKzWJ&leqir=+ zYJPq-G{8qc3Qw*>hsuez#+#J++iw2SXHpLer;{ko_vn%FoJ0bLKWpo`9x$SCv!HVG zsh?EeXU0Bsh9+S!DsfB55N@h^6a3W)5-h8Dgi+2S2(bGX8*xJpWHA-{0hVhnK@e8$ zVh;aX_hnjdYlO}uuGDFYQh}UOzD8^0g7+6NGt!LA4Tio%4x}J)Lifi{l;P9dVLN#r z)S(@`a^u*)AC`aLT13=F13Kmoh^O1FVx}MI^k55D{e9Q08cKONjk7DUj!)_sz`sb9 zhbwvLjDmmgoM2);F?SvM&iT0$=}|w3uVtjnKRP2eoTE7MNJN>?I4#TgN9u!_e-(t^-O8mMF(EB@iZ?S7#zQFnz0Z@Gk$c7o zFIUTIgBr1$`9WWk^6zCH&p;fcQ|5DRNc|w`qR~`c2GO-pasYccxmLT?YY;Wdal6X? z_Q~XO2$0fTU9^v2xMV*=sP>;b#@ge4BlY4!G6>Ij>k_r-yKmTX&J<6QgR^T z&m4(a#Wn+QuKPsR!3SD=vx<8~O=+ngSGX=ysR9A16t+f#k@{8%lSG3xSBYcQ;KRU}CYs55Rx*F5n%2tWH5WD6CW>cP^sq$`-qN6u*lTFK+|3IhmEr>g za=zkn=&y~7=c`tA730{Gp^&)@7e_?Z4kCEBzpHA!WN^c|i@4C7rd0g-td8X-3ikYV zTrS%dC1;D4;*(+(8iuiii9m+yHNC-r;>o{=|ARs5Ar?xh4 zG>X0_J<(0IK2iNf`k>*=c6LP4JS%zqLZN+aTDiSwT%%8(#-n13<3p6N!!j(AE0iBi z{0|A^tkfToVfxPhasa?>AMek#xG*bwPaCU(_lV5CZV`C0h*&=8G-1BS-Kz%Q>-bpY zco|~nh8h8*vu-h$@P9xwD>9i^kFEYMwV12j( z{|pJSvvMNGjo5DPMp|)`vQ8)(Sd3L-;;O&~arixGC`+nZOeO2p=|aieI0!uQv+fak zJg1~z|MLXQnp`w*#%3KX|F0YvLE>ZR1t9`Ns9OdkA48H{5G#PS(J8&xBsw0;NY7}0 zmqgWiJw4+)U3#jwkmgC6t*q!9pH-8G(L8`>)^*2732wvxesPw&Yb z3#PMPk{Fy~;Ql|eA~D~^`jF83Chrq4fZ+WF+QAbEy$l*{iWr1qQ?h|ANsfJ-|N612 zKOiCU=+=z~)nZhRDnvhC`0aPZ->Sq;))mVc;vo^7e{?_J(`=s=GWD#ZFB}ZYWY0(L z8G;ZE{DUN5_n8%2*^3kSCK+ z$`|wXW!(=ssGmm^K1q++IC6>L2!-%zz~RXmdTYas5205PU_OQdlPA&p-XaP@WeHcn zF-@yC1!+-bC(#kX*_^x}>(cSjj~2ZeqxB=_TqeicZ7d_NPgY5Ywb*SHJfB34DBea6 zAUT9xkTzcJ^^d9pkDO+}~-lX-tp2yiA2lvtc{kKw?5P)=^i{W(Xig zPvmE(83NlI9BW3Yh?HSiFskBJ=uC7(C|12%;mD$mAl3&zkdDO^d{_y+4+$)qs#sbf zBu-ko6k8aaBvK0jWU`_8kj*@sJmv$iirZFqJ;GOw>LE~&s^FcybmHR&DgwaG(c(+x z!5YhZqfMe4yTx)v=rp`kD6O;MWfB`#Tf73Q_oobzFQkkCDhx(W#UP0m>L*wZL5Jl- zS=xY=XtU$zNGUUm`mhidrV*3~`&&kXCHa0`GDt7MqowdHedxe2^2)%h?v`s315^hV zlp@*5rabwkJb9B)HFLA;Ehe?4QkHSI>l~w1p`hI)7gEJm%G*KVI*5qXW>4Q9tMtNoS6 z@LTBX#=%cHJZZ)S8;y7N6&#&%r{Ex}lix(|A$3iX1~wr&CHi&Y@Sgewh=z+Sl6 z8Rp#f+r#G(M?A=FA%q!3$TGptlGNsg^zOdG!fn0ehYdk8XkNNeD(t}|03$dAu-jwS z$4C2tGlp?n-#S>!6rda%dONw7P>X_fUJz4|rA$2Zf7JBYo^r&G+Lv(1Q!Sa5#GCvB zARcpv5K((lDB`SUfb2m|6b^(}fjt8;V)jUiQrhTx`p&Tz==Pu{0A+^rS3hgB_4+z> zVS@I3mH}}0lB;f1EdR-%Yce=}g~$EK85k=1tVV(S{=hxFTah>?EhO?VOPmLVh@ZhA z>=3D_M(Ld9fA~J8u#yCvUCjgZvA%OfHGC14*HZU5R_O4iV*TJ@W6=D-n;V-`g-=06 zy0Q!*gejQ>aW7)>_CShhzlJA`J~8Fd9iNBK@-UwC8}d?fL2PRxho+0a7)o0W$Z$D8 zn}VN7nk)qQ-E*GtAi*gCrs&{ifulGCm5EVsTKf$ zRm7{>j1peX$fbbUvS!n0Qtczp*G*++f1Jj;pl1rr*do1gtw>W7rMjm*=()3bJ%AyP zaf{?yaax88*Pcj*^LKZ)l?Yg)>CjRoy(BG?t<3#55bkzS$^;~+V&kE$a-h#9Kte;< zb`^RJlfz~{h`MC*O^TpdE)kUrX$0=kE!FK$OrpE+TODZg#*Om#!c3= zX8eg$a&%nO7B=z2SHiOc3Mv4bmyE(4^|M$IsmpSUBX?)ETYsEtG|uGG1!*(b@eZ9M zb;wHxHNpV()b(p_z1W4Dl_JBoJX%NDah~vi*W0GRU3QAnmZo}t}0AH)|3lAV^GwPx{cY` zVIR$(1ge&UHF&CHY@^mc>;0N7k^)!J@J6TH_swJg@Si=C0QP2cSA*O4lA0O_2uE9F zQ?AaQ)VEoIM6-@yc1=4Z_wa#DY#~Nqw3mb|C`k3OK2bM5Reyz_oLfYycn7(O&=$JS ztRq&N*voxOc;w%B(IyDMCjId{J5tZxe6Z`lb;6$+VlZEmB(;)9DV3BkHjIu~K(Xqv>f$F;bkg`V)^OKVzj4~26C>Wt&NNaYF zOlegaX-#`?(6EQ^?KA}IU#aN)xJ#XhVb{qwD&gEKB!A2@x=Y+z{wb{PkWP!5m^9qg zG*U%I%;E;~{XC>^1BBNO1ZU=NbN?&g>!}{U%gpj3e`&uq`4&+A#t=$q#6kgOnc9hd z3SMSKogzyXRpSVyBPzgPzc85%?|mM?tvMp9kKyMw3t5!1FTFnLflywE9(?!57*fRykmtdW?; z#1Xw=s+aof{Q01QgtG=rif{mj+rmK>{Qf7qhTYrSzg>mnR0}ke@d}X$bG}GpMja=Gz4jT*9e}j~ci$}d{QVr_Pz7BZ z*8(gbEZ6Q7XQv6UMXb%r0Hmhc^;ujk!E}5AZ=3H7sNfAOYE^ccI6C-5sUh5dleNz{ zofHeB=cHajr(jFGw;bm~K*>@~a$C3*MwiRiQtU>b=DhL+%#jO!%0^J0q~j~O^z|ObmJjzM+-seR<5s|R|BHrcFXv0;m6Z&X?L7E=a)kXfI-InybYij& z);vA{F^@B6lioQ-#F?Q@K%i!hXtZhNJJ_oZ{7o6GI>ZK5tGH1h+`92Gnjq%JChzpm zBa!BpDn-Y5IX;5B3H&J;MM9g~i;N9!?eaE3RKPHjfB4Cc{%{1QFzr3&x-mty7>H7; zFQdYy6nF)?9|uow;?Pe7M%J}41aD`pRWrLe2y+0R3s@JY34cszI*OK^BRb&0XlUh# zG?6h5yFNShiO#HJ2Dj-nT+sk5&Q+j{EHzdfI?UdV-P*{btFF15l!P4foX{u@A^3h#}{MBe=q-xRTt0o$y zFC7i2f`%(e>1Q*pA2W`hYe$)Ak7&z8vtcgk$kx0I9x7eyKDAFm=+8jVb)5OX;(AOO6s>jZ}|OF2nCpd7i)~?6>+0 zlsNSwE`WM0rRV8acyx@Ev&>n_{Sl0DySCm3H~Y+Xi(wFW1vbG>dzL+eV?_d*|11A< zrGgJOrMn)s6YYdBvu%CFIF9LP>axfe01V3cITY@1J01@eOBVr@Y;~h|6&3`IWORam>Zr$Y74$f-|yxwU5u` za*c;bnJVTk&zP)r2R5>)`>0$G{W(Q#NhAY|@i^Ia-PA+%h`TU}kA&HY@ImTtzKmo~ zP=O+6kfRK(dajbVLYwr530b;m03AT$zma0@thWy1t7}WHYg747CxU}|*q6LfNL#%r z(IMDv-d27D_(CAu<z>2qKM2+UNed`wBezf1kSl@JK>Uuxl+0+RaXj_v~##vC*GhAheNu%9s>z;`yL0k&9HU#@@(9J8*&q<- zq!Iw}u!h+FBqGQ0bvg3srdsUC@Geg`h?Q{eyTv!qh5ua|z8zM%9B5CPtuu}zdO|~8 zYE9c-jo>9JNG*)b6j}|bbp;x=mLI*_!3^Zn zvePl0-2^tMNpV7vY-}IPqX~Sa=yhuUVkV(oV6+}Vz{lxVx9cJ+w{#?$*~QW6^KALZ zz0)60JpQVh3r0Nzvr2g6$M~_oo1Rz8$u1s~yDK<%qFq|`S7getF5=(mynR6Ye&SJv znG7yn?7S?=maO4`tCh34iEZ#p1+!|i4{_MsLD%=*RHk-@0I~i48MQ=ZXH6l?RGH$d zFLY`w|Hc_|hbHoPl4wWF=FP98AI+#V-iZkPa6Dc{z5;#xDFp#MdBoTaNK;4*#C#i# zkICMWT{f9NCp?Jjot?pD@Y&veuU|)aPA_idBR6WHEvY}CZH;Cyj9-y5cfI!f<(SEL z`PVH)k-z^HsMQ!w9!;W`0>;stP-O{~6T8%WG0OX&iB&AHo@9=SMp28r6Ez8pFgOz! z?_kFOyYnsP%f0bMUjE|U(*tNbWED5<>cJ`|ci(^joWo(+X#B63v{h2rgP({iJ}2s1 zBUeX~yGs&s7HQv930TX*4 zH!fwyBoETGMz&zNZ|S{}g2sUiO9X0R<<&A&FFF9r?|bw_X#{^TY=#6s#ZM=Xb{5E< zls48*iRB{_fz`DTAcrLDay|ki#`c4D=4NV8z_;Eipsj*0J7;E>GlsR@fC_(T_g& zTVsB$+aXe^`9O6Y9{|r$Hz}UWsz99T4;yOo5dNTab3px zy5g@q+r%Y0)vbCT5;W6I;l09}Elf%~aE9XtoG1ai@=wnrJKwH-Wwdhq#?l}w9rlbp zG5|mGGH*343iHW{B}*tMCd-ru`TuZ3bE(FU9FlVWbOoDjjP?DQbRJ5+L7)%eNFb)r z|C5>zv{Cld1Z|K)$4Tx6j_`3(QuhJYKufvGUbX{DtKX8~)NMlt5=|0HmNW8dESG@) zYmSx}$Stz(SbhwN&~wG83h6jYEV5n<9nv7h@!Ea7r~lE+(c*!$+nu!he5d%9hvNs8 zZ5!?QKoi0|W<5`9ae^j&gQ$y^Zf=Xdl4;Rd|pizWNozirv|n7%d>Pe z4UzHHZZ(!?k77unurb$h5w+C&)lh*A;oUYx^9D$J8`FdAdBd%UlL9bJjq4Wf^|rwO z63@dcmUr_pmp-EEC6J#4X&GFfLDZDtqezMhw?jDionYFctJA44*dX4>zSAJ^+Pg9P z@O}L8hufYpjl8wE_A*}xls%J^xtbFyzzbiI`M`4wYt&XTEZR>lh@9`2%f`7($!1ur z45_T~@S#x$1lExc4L_)^;uG;~+0MLgZK02T1h)LTUf7i}K<3>nl7u}iV+Y#>hI>fk zL$#C#5i+!Ab>GgvI4a5t!5a4&`aCdVE!wi0YbCDMs?sb zB%6utheRoyB3(oV=|MwT))GEoY=(AhEsq)Z3a!_oxZrc4Qx~!4Q^OAur69T#V$#?N z6uGZ+A5{63k=D9tLEJA<<=`}C$Q|>nJek(bhU^NWa!pOb*7);SvM?7ISpOeO99#k9 zbjq$2^M{-yt~~;TCtCy{I3C}WqQA(5i?DOrXBzu9O@_MQ{wRh;Ruvnp~g`?0qqbST+nAN-@*`C`x76d*T~Xf`{xia3@LD)UTfeSv44K1cw+ z1d7x~9hrU83{(FoFbE@(0&i|6r+)t}FVMumrM+XTNzGN|N#w1o`S}!yZBilWUYuef zmoIG(A5{WsKu`wBkz^FJ0?r)N)J&ZCywHQy5MJgNtMaE1l z28_B;-N)yWce%X$1{VG$-DK^XyUX4p9h1t4S<2FH*$s|fUTCb)sLLO`*txfkdk;TE zmwn@k8&^0dx;j;h<##{kKP}%3QJkE10=3|pMnCi2+ZLd(0{Lk=*|H~U9X7uB$9m7n zOVxl)MZseG)OLQ#@$2w-pFrEv2~~MpYzKT=JdaJ-$@c{-+)zvKhtQ%4(AI-VyW-x3 zkpc$E{bX3%?7>@`wbXgtp^VcZR z__}SVn7DXw$6NKI6BC@4Ss+obX&%fQxI;@RLgU4_@F}ME_{ggk787B*>oSnyI~lR^ zf~|Qlg(U)0R_|ginb!vYJYn0%7z2u_?Q*JAH`PlC3O7c6JZ*rsLY_fh+UEP?26)!6^+2m0z^t zl=w+67_x}rYE?V3u3d>H(a(HWY+dOpR;6B-HJ0>m07!-+#DQObG<6&BU% z*WIwz|0-8keZ&`o|9i0j4Ht5lr`HQF-d~dcqIBAi_h;?j)1)YZr<5V8LX@td+8t=$ zIv-gM`Oe(liI95J&01E<4fX36SGq_zFx7|!2QHJ^^PStPp9(<+k-BuU8k3en@&EoF zpju}bIj4Jhdj%2J|DHgCy=4wPr|HG(T%Va&3~nC~XO>1RE~2;5iImmfe8g6Qy>-J|6%qN6_{%;(n;!s$TUl-BxGz5@zz_Q5a!d3U19DXN2~QH}O$^cd zXfYxgJjN3I1JbeePRwnUz*GF66h&(i2Oze&l`Z7ue#y>2Fea{#m zY)x!oO}DZ>dc5baGsBxxAn1iR6QtsnEd;1xiUamG*<7mGT94!ys;hvWwPeH@5&S}( z6pU;ApOngzrlT(U*SpR&x~E(p?=SQO+c}k}>q!SS$?+Pzen`J4M68EXh0iaNRGj9E z6UN^+UCxycH1OjaWe_Nv2o5xQR%70!W1DJ?evO^r-_8Vp6ZRsTc!9JmYbA6F;#XH_ zC$glRd4K*(8Zu|?|HAc{HTSPky1TU-MfA(?Ccjixd7726=skFmsiw}O@nCLiSPdas zp0YwmmDjmHA8#Z_Xs+wB2}8iHQEBC;^I~B4cHpYwiTji;2;$9zC95NQCI0q7y$gpX zb3d>V^rGErqG<@|nL8q_M3HC1N{CjhxF}6BBat-w$>ohwbP0c?kMJv0AI@-hpl!zB zy|%Tm8EfHvy&3qoh13mgV7|uSWforfVo)_f!>P~i7JQ+$usp?fJsd!}lZd0%PV%Bw zGUn%Wdu11ZapA&(7wsI4x@%VLh=WilA`2vx9ElzkI1sX5$OVKK219(DAlhOSJK7Jp zn7l@5AzJrob876%U@CTzaC;+H{x7v=Mf(K=6Yg00`?`}l1}55H=?^w6W>%H)>Oxk+ z2OHXnUMd2ONI_@1?(sQA>OmwG;W-(yQXjQqNr1cqcillSPM_xBJj@8|QBvg1Qakei zrqU_pG5xsq7i=DN*&P|WS6c$Q zxCoi_9Bbo(TN_WJ6(lr^E4clmgm#4z=8Vk?jTMZaUQeRkbTP)S5UC%Ez0-Q^6Cf3z zThH!}XF)B+5Jk^G&O)KpI4;lYO9>OW9}@1jqO~;I!@8RZBC<4p_Jsb--<-i5duyU!26vT^fCDlIYKjB#*La?$U%Ol*dU1@ zD>uKe-PD)(Wios_0Mmb%f$YwnPp+~hd(M#U*d8qhnuc{bMeh+h{GCBy0a=wWzshom zJpjhOTX@(~&jm30({yQS*QNLPPF>{`c;Ll|dRnSzwDvZ0v$r)nl8#UsjSlSTVTe|~ zks@23)&zkz)!@Q11_cGSdA%vcf1ep$=b?Bw6udtPB#>v$jfGg2YDLwZgN%!4o5PF5 zMoud?o6#~iF;3;>;Nn4GNYI|psA|6wc!E9bR)3r$!G{z*TJO|rBz>V{LY~%rzp@o9 zu7`oGaaCB_poBjrH0meG_xk|Y={()h(wv`X(BTf7U8_r55IT#*a>X;K5N4u+czm zB;UV8E+Ca-4~0CFvdbclnkwfCOm_)D1qZ3rZ;iQ9#exkAe)baeT8hsQz=zkY11HvZ zwfE7h#=mKY@wXDZ;C#SwA#LrXb|DFa28NM-`CX%~8qGue9kPvSXiin{UuaG#BQa7nVY;N@;t#D0*_eQ@le9;j6?HGgvA#n&ode-B5Nz{VATbh8evPBmy&s>|RA zw_aMA@1}FukB2j!|0YvMM)s=7zX<|J z@lY^2Qbm$uUTpPXgJstN-9p$SVqBxda%W=d=7GGT-%lHX2H;(2QSs(ZAl`pU)CD}- zQd@-~T_g8r$4@+81FSkIWA2l4-XDSIyjPsp5Y9DPtyqiJiSWAjcq;=HaE&R%j*e>mH5m{_-kH-|B(ED|TYecPGMzjtHe!cN5b9RY=CT z{&={y*yRwPu~3C21$G8v!Q-8P(gdKVq6@eKpjF@NMI3JB&ldIQXD+R zk#uKGm`203Lwgs(XV_SDnbKE0`L_+FHy#eK99|+cY=E4^?C?>#T8BEP)$+3yLsGp2 zrK)g9b|kM33NE{j(N;n9(pC3lqgdgvToUWIM~;BzQbU{r@(cbqo%ObM`|+z|^Yp9f z^I9Pm5dZ{n_QhupyEd?hJN^=|{Bg6ePDAh|K>|LTOJN80#{r+|pax7K^SP3_f2quS z{M>27tEsq{a=Xvhln_7iQZjmj4?L5i>RI7=vnU_itE+?{EBaqd-XYj_-nh^JS<=O% zrQUkH-UJa+? z#|HcO%SnqjgP`L*YW<2#ldr_jWK)6Q_QjwL$5IVDyEd_jWVuto1ytr3`ZsBup$YL5 z?qxc4jK`->TnvpU{rp>UX-# z@Kt}3%#NGm%(1CJKvVaLyx$$q%aN7etvo5AnBH_ZY9zA{Ml8MTvEm!pAdIS!^37LT z1%#tVXGLvvFp;k61S)$c(NZMW5(m@YTu$a z=776~ecJ@PpcQS1dOn`&Yx?BSZm~GjQ)7N6C7|T*slQ>81*V2=KiqIQ4pQ%+&&Mr3 z(ZaN~5PI4TPth({Brtq3bdVdyZ?2`1^wd&ti>{!Yir`yRe>ZSen;7n_-HHEN98hjb z(;doiQRuH2CIgj~i}i8Kle4og8a;!vS4<$_{x81W;=X7Nf19gSo+UN>4P)o|WN9^7 z-2M{SH2k&#$i7|gtY@bdDPwkCfQJbH>DV4~C?7!_#))1FY_wOx2V#v#`)CjU!dwJvAW;-_&4CYQMMd5mN&B-|D&jR6w+v*if+>=@2CaJn;$G5R)BF4oO-#%YUa~` zU$hn5i`y^s1iH*ad*pgI60J&M79{iD%AKfEQW}36X9Xg1JV%2!{QwP_@BJklrPPrdZtJAie zXA^ZPpwIw{_Zu1(_N+uz&EfK#5^*_ya&yoAb1xmf0MQJ89Adgfv_u^|VD=Lsup8g_ zbAtivuzul)-7{phNppdq7Sj5Cg5@}$nc0`wWu?n`8P7zP6b;+NMaPV2LE~O%4F$^B z+9Qf(N+>#i#7=7?p?Rav6AO8i8|JsHE({C)jlee75VI{2xYn5KT(g!lX5)n5(|0ft zFPcHwjhu^1oAH|}4YY5nhFwtu2-bZH|Ift?+r(T$Ch|vlKUUqMk~9U=SWuK83yBWI zVF*M>z3bi8PfV@A9RrOVpbNY*l-O!uTUW@|U6Xide?0hq#w^qDDKZ0Kh~!+mDO$av zXC;AaeyoDNby}~vWC(3Id5CjR5)L&_9$~x#JbS&FBmL&t-yNTrkuzPl!#mt1Vlg$< zVpdgFPW@*Fj9VHkNdK`FUzUSq0Y5#h3jb*Mss>6-BmvAYFu|%rx8>qO1xnuQQ)NOw z(7O^b09SX7DZaM-JE-c_;GYR1cz26_)|(ks4qzu$Re+<}{+A7sUW!^eG;hvH`ai(q zr%(38gTViN_T+sMtCU+=`HK{YlTrl5#7i_!iHr**I}NJzSL7de-f^g9Hx{;XY(fP` ziey_J0B^}X4Su$kYS+jD%OZ9MzAjYg_`xi6| zv|dzWhA*L!3m5X=s=3?9aV%yw5`V15%!bx)9$8yAiF;1QZQ8p`(ZJM>$9EZ^D}R12 zQ@NgH!!Y%ACzp#+qvER!U6UiP_NQ`(QNXifKJf7Fqzz$_=k%uXOTP7+*7qI?tWu3_ z=rRp62#fB)fxL=5$&>^OWmDK4oZT18Y>s2LDh@Ta(UiwT|Av3YIpyYkg4YamUIX1! zJc+U{>U?K}5cRl^!HKlhYis%x77V#y{pXO9iSyCs`NpNVoRhjDuTb>OXe(jfi=EaC zNSPD*&sQxntCqD?RB8Se09hpa4Wh;(uD0Ga9jo~ZPijnqA+Ox-G$RbhN;J4`V|5&3 zG~=aqqjb^m&K`PAgI632%TX3}Ale4Tu@jzl4jLd2~6Sf?nwMbr8SmKP# znK*a;K%zh&YLKx7!e@hqaxgKL9Alh7*x9BNiftO<0exQTTJHD#cPU*2m%`J}3v`pF zZzuraH$#tm(~Rer2ql^Tvm{3q;zMK+G-(Q)6QgN1maxHldM;A0-N_tTeH@9v?Fi}c z1M`vmYbfHI3Qf^#ByUHpFS!jf4)nN?mr-sIaa6tapRqbO{*x+11Lqp8r44!;ts+Gx zuovOYzhyLHrYC(Ed2<&M0t45fw%ZZBXy(%_;T=XzqBJcr{#}MQ@AL}y{^XVC9&F;1 ztWBKnQGn@bTTwwCh`VJU6gS#w&my(+yXM;k2}^732PHu@9y z0-_el&IeVhi-NIE;H2D)HZmLjedy2^ur`7e7a19wV9fkgEFG2%Hfl^s?lVp*jHHtF zw9;<27p94G7a_z6=LVD_ahMPXl0GK|WxW!OG~+ne)WEL9*+bb*bw@aaW=?A)T%rhi zGV(A%Iw+iuAgmqb9V_MV(iKuF-ImO@zz*4*_ikH<+CKzs-n!#IgBZZcOmNV6D5a0C zdttM7er(>ggfO_8#Xxeg0<+wcpT#JgVOmo-d$t-O{ZL9)@J$$NF*?f5Bt@}9I|yDq zBuHtAYJN(h>4NDg!I)vZtY&+_6J*ORjz6qjFY+<$c+Se7rZ&FSP(y|4gkPDeCU-7u z1(y&GA%pW1J!lF>Xsb(N0~qTsHkFGt$Yqd{0LqrekmdVX^*UqpL~fVk4Z{=WG06lz z5_3tQrhLg7t%*^-9=vJD1vtXcga~5ln)ssEv3|_k(HN$X3rm?&iFMbBX zcVw&R>@~OXm1djwG43ze%7+jiNi~{v*dq|kL9FQi8^4pk&YYIO8)clMF>0Pb<}!+h z{v^0{a$FXh=@4)w+3q`jy(3DoGold#3&~8@QEglvyspJ zJfZ5QZgaioQ2oViygkZx6KxyCX%)s(O9z!_CIPqA7xX)rr%h&=B3>zMFWUrWxz7=a ztUiWMAgqD2N6ed5#{BtUxTgmglBh~l&^3pKg#eHSsV#4j$<1kl)c<@VrBKduXxU(!J z6{nu#DHTsx-1}Yek6E(Y%b>&k|_jvRH7<&;g6zGbV$O$u1 zx8#pfiGT*k7}+ZGkQLBPX1xVWz~XTNF)UZ&B4%_DOewF4Ci_z@WVMn%KX%EZM;SRo zY4Mg!W*U=J6-A;}d;ul@&AjH6(6<5|ktA~C2bj!Bw5stAz78&&kg=xHn12U2nWWH` zE6*G_9JH8+#DrxC_NLce$V8Jt}|X?x3$T zJc=K{=Wz0s7~m@t2n-tmIicV&bph-U zDY_tJQ|h#)vON!dmXY1LB2MRilSdO?6zfumToJ7G>_RsD8bmv#ZG-$D(GbbRcC}Vl z_~ZM@OEoo+yXn36bqN?MFKF@CP#=y0b3NjB0sh@vCYh-=&?y$GJe8Zpitwmr7B4WV zhRmRjf;~6+ciO}12i9ogBZD+Y%bk1>XFBP4)VuvaY(GS{aeJ0dfUbpph-}Av5!(_` z65Dp9E=q0`I_@eV%ufj>i#88kk&lvX{U$*+!1r?5=P2qp=ji>c z$`_R!efAMVh;hdGWE-7Z-K)%4vBX5K%Qp$DsrRVMZF+B&!^++_O-NK%Tt^ikawCX7p~eex z%4Tu{47bRpZeepg0vty6!Jk8%HEeA(vO8`B11Qu(px_uqRXg>%i=#8GGI5;*NrXK8 zI|M1T^UQNe(^IvWcHTy9LH6IG&%2f(j|*{WfcjZJnN%t|Ctjdw9ongt6tU44ICzsQ zVxH)xmO)!IS%)w_rtJstukl@luv6%*Nl(JjBN#H4{xQRAkkfGpi7NdwI80hR>Y)F4 zB^cS&ykno6a%rKxyJ~9x3crZTkvV4Rjb97ttr)q{D%~>)4@0+#YYGa23 zFZy|1rJQ@My5@9Y12qlPn?XMgaPawWv`U{Vf^g;%R@m)1h@IoSJ_S<3;$#hJ3-B+7 zk#%qhzvMcYW37F(hCy!YCl#?xVeAF^nVjBDWAp5XgM@`CM*vhntG}WCfo1ixgbEdX zuJ^sW`-v|j)I2_~+cRAc&4jq%GQ&)|D81+Q!8WkGL#TxldSzJ z_Itdcm8fZ0Qd&WWs~?e(ZFD-}*A2OH6DgIT>Mv2=4$%az)V}q|izG_jJ=g9s$aRyT zJ&?^31`W3culsot!7B9DE8HZIgg)raoaSoE`I9Dzvgz8ct zDzpJ_LlF~n?zNdwDSBY{_HglzZelZs=!Pc5bg-%$NVLRhLl=DyyiO|Mv2k(z;oUlp zbSQ>*<~fRZxI<>70PF8O-V2e*EsypoV}NgZ> zY*Ri9AU@VPhXj4&8tKNm0`I-b+jSzaz|kE#~C7HzWjg%X4eYWcRdOwdv*rSQ`JC@$xAap94YP5C zucJdbLTC?_f@g%yIU)2 zuz6;H zN{4>ET9;bVNqH`g=*=n}tpd;(i0di&5ojA{v9(#SL8c2p{e7V4^305<(e0e!ax`F7 zM?xRrg!b@-q?4`($w_p+6r9iF4)f8FM=-Sb77?Cy$yKA`@_EwSS3g>?BsDyeD%|JF z#ssgBlGNCgS`W=36IUojLXu_mLeiMl#5UP3?~}s^raA4UWh%HQ@O7q#Ec3eupGdW= zv^VbGg}%qugaIIsmuhu8`!S;mf)Y?y;u2w_*(CGg78>J>Ayw8yv!><7TEhGm%4%ds z|TkW;m==I+DRf z8#30&ji?H7dts2nafkS0+w#oOGT1E41M-mCY9yurq~ za-FLoQpn(kjqnzya|8mQ9}%|vLN$=LwbDqF#~WSEY+J-Q$&i*oS$<~hE=?FZ7VB57kq2>|YB@jm zSJ+L0w;Y~Av0@uAphcbbhk7q&6pzjb=Els8SQr}z0oa_tw(7Dl``tqEm*_o|WeNHi zG0J~VoGS$mY}r3|)@4QMpm-5@?WW*!j7ebZ1<#+nrs?don0sdxQ4@WH0nu*oGGdm# zB8MvPc5SYcg;cgw`MYu5`>ax#T-0k|SSuO6ZQ`M@7h+n)D!IjNPJ_xU$c zd@4o4>1~6Q7+8f@QDXHFR2Gu+S@HvFGu~nx&1#t^BYSgr%}~~(l8{jVTO_xUJxj?# zEkxq|`D#}Z4==$C#&=^;f6b`4&H;6#6|+!|i8OYgZSTTDpx6A8>u~9+in5(J= zm=w*UEve$uxWdB%b8Y{L?Zaj(GaT=uf?!Oa4=n7GUj9R{A?e@8cHb`{?P@;#oXQ+_ z2_6n#wr#079EY^so#DyB48$DJ)yghLz4r2>L$kXG5u#tB7ocAE3SL)}F9fDtmXBr~$!INSig_>?2!T%+y9} zCDs<~j`1uZ;~s9#lC7*o>67MRt#-07!2pNO9ie>D=?o`|ph1x{v2!^CD^uqlm8y!C z(%_2@8Qs4DuPb|%`y)exs=iqi7+$G3I*QIsn_p;XWk#EM8|+WLDdEm&BFg6<#;o2l z{$l0L%te??Gh&t=qhKGhaJdy?Rh4`z1$WhU0p-9?A(fyPdwbRhH^N{gWTlvh2cp-6 zCxl-Rfjklramk@H8HcLfr09k2=CDc%_fZ-{(I{R=AKe3q8TxgcwL{$cRY=wl3NCI1 zN0Kh}<0r1RXLsH(1Kc#9M6`0uS-Ymik?WE{R0=#RC!bh0N9bKStEpF`{BIPVT)$SUrO;!o=P{4)wSEhO~O_q=&MS-eQruTrgU9w2_D5$g>+sPwH|9+?5jq2=8axPv2r^Wnvr582hXz3^n$M}8wjs%x$OK*RpylhArluO9wwD$$b3jrnesjb8BX88*qCVjFIqub(KkM|sr@y+*cUGQr#zJdk)4seG4IEcHSS>C}r9}|ngUm?Bp`Xl^_P7Au z-;XT6*dXLYelI02&*9Q{W5wnb(VLXxo$k?3FF(@`kp29;BLM16n-M2CFD#>G4j?&vPVzR<*m(I>Uh!Y z5=PaT%c`$>p3$tm(GfNd)*DGubqwgfipafe996e$$oOd+IHzej(S_FtYn|1jIoa z!il3RZULBIACzpE%^0V*s1|#e(1}P3wSW(cJHNG$c)I?J=VHP9%lbt}ew?fCo%=ns zG5I~t8IBgV`yszT%!z%RkyAGiS^AP?izxYOjJ0&Tv*e-zIXhGV>{;=Z4(UpMSyS+u zvy+xTgq`3(CIv`ZYF4SxCVhA444VFl6iZ3A63S-Cjp#uVYRoQ1x@m1 z1AyPbOJrW*=qO%$M7e65QnNms6-wt5i584_(Xv~Z{#g|H)B#UB^tlKQDG{mdiPu6) z2d#k~A_E}z^d-Cux$FVis&|yi)>mLc8Lgt}&|9Y2Y6CI*pwKVC7H&smd z)I*uBsNte(v+8{u4dfQYZAC3Ya%OHlkYH<;y{``&?5B)wfecQ*(I=)ev-%0WFtFf` zRBPcLzF~l9fEBn0h@ZCiZ5kP>LeNWTlf8?d6#P*K3!O;b{_N|Qc{p0k4GlwKb}$W* zd*Uj_c^3c!CwDk@`Rchpzw}RrD(u##9AC}cTg%WD3GWet{u#wFu$ z_#_2ZiCj>zo-71SEe2K&euAZg*_vs30N7c~JYj@Q3G9@##Q+z~LG^6%v7#z2Sc!=G zk_Dlh(uz`Meq9GZ{qZT(Z2jXdt`RT;_0G-B_O>(=9_ZM8)Bp^mI~y!{dBHabl?0Fy z0a6r9Ky_Vb`x=)7%O0n!Su5wD!|+f%&2MD;^T{saz%VtI_&U$V(9@Bmh};-~WljnN z9+;|SrNK{uI)T5N(P*rU;b8~AMkx`>6YqhkC5qw4m)$A}lXf*tcmMeA!L z`_mVQ95~)HjNRmpK;36LpDN=pD>QEn3gKN>H$kT;!)IsMJ(WKoUu z+)EisrGu2*hA?2Wzr@bmLi^wWeu`QXN`&t3ndk~u3piKW1E4?Eb`)-$SS%hXVPY9T z?m_hu-E(h2pL)G`-4n}#O>`ZEsRd<_{g9It1fC)gy(QgGjN4cA4ij#!VWn@;U04x$ zRV!sO>*{HHf+J*VT0jJc1U#%Q5W{Q94T(@RT(A+ey4Uw37n>dYE+~J^AcG?9Fv*A3 zE`$zQA%a%nMM=K!+3M!nx#0I-SDZ}P{9a{ocCmZ6;*N*Od*5;&P4V_?M5z6WrytS+ zRh>d&)+U{q;vrs^z@u1jxd7y7u=vQ7jD0da)YMlJ`I9s63p*$cQteU%z9mW06N7Uo zqxVfwf8w`4AA$M7=QW3t^;Zh*$;qyUsgpBta>;unJqiQT94k}1P!gNwR)s!I(ZJI^ zjjc%#YCAatEnCk;Fzq^RMv`I7Tm3Rl2!w|zcU|=sW21~Rh$oG?bkS2$y#O3qZyY5r z4(b-r9W|cFZ^EHY#w>Z2Pnf(BGZuk6SO#gS3&wCVL0zHSn#c1qh=Hy&%p?=jbs2A&b;OnKBO^SiT>A~+&@-u@E!=q$BdGv{3$%sR(X(k1(pc%R8KDFsDEbl z+Rv{|+%Rlzy@Wi+U2>$HHa|Gt-d@~+AXvv}hWbz*G^ixzBy9y+NT-W>mbaXeBWdN7kXb=O z+KXRXI&zi*B|4KH0H{km#Cj$ebm(?V*53PUj zn>csO<`gLq{&=n%GK8Lpfh$wdL+Ivhf%kvA=ys(u;9LmSjpj=mdhEre^T(;M=knJN ziJi3Br)!XNU`6q_JhNj~-N{uNIWahWGo^19`lqq7P!^0 zz#TI6+VUEI(n8n#5=k9)c07!$->?chkPK<0MgwTR>|r_!YzMWRj8~U44Jx$q%@V2a zh5EA$gndV}(}8WERnOOn=BkMv;eg13U93Edu8Qn{3ZBx{2oo9;B*vc$R94W}2o2L3 zd&`6xeL`-Qk;dq@UYUe2wt`m~kJ>ZpgX`F;#vd;E&Qw$CA}$^5ohZG3n0FTo>h1y8 zi1I;qi@P!Q`hJQ#S{t_Ft43%Rl_sd)jJd+cjRHUrqW6sdZdSz1$0wo_b7Dbbir2NQ zSj`;RptDw$(u`!ulesX=s4NE1cO>Kjz5dva{%iu(x?;*dgSTmC?yC=eZBU73k{k3Q z&Eeap;0$NrW=v3)TMFUQwvz3#tqN?SCnj<{HNsMJ$wN1S+nTV(;-qJVz07FcD@9W- zA&O?0Y^KEBuZa?t792lYCDI2fkNZf~n%!ce9FFXUFd~r~Emr(#@w^<7m|FQ#ItKPt z`}E3NQ8IEWdQ1IZRdsgsohG?$FrMA9skfRfu6RZWsKz3_&8VKJTQ;=6P zj^QRD*jt{KL@3}G{}M3EZeFOhb0tY|)E^NQX{qeRO9>yxiaKZm7Iv3+cCnD8S%b&zfvx{g~;_+Vk>)^HQVzBH|K4A5ZlGMnT zNv7EoSpR7gHn~m~()*t}87GwKC-GRXpNvX~X?evaZ4v>z@xu$hZN+F?@7Z=OuZ=$yl?+Tf%^isBa1eZ0P&c|+O!to@`SHnL;q;tU)1c}V682bki>>L>vLYHgSK z3nVBpG-(R3LQ#@~Dd1cJ?$UEdYDkX1SU85M$eFV!t@FJIy(b7UHIjAN$z?wX&T#l& zNLqMrDzmG%g`(%F0kEQU-IL&b63`4D$?JckQ*C7<&e;dk>xlO%b8jnwp=Fg6PP8G} zs0V?s=jNvn1^NrIP+B=vX*xvm({3}^PxC2uE%dGBM*b4f`4;Td^`pVcS(;lMJ!N9z zg-4gl=UxWt!b zyBsGv28MtV5!Mr&u_f{~CyNRMwd>Qc23dcbk8=tZ6Y%YZW+gR3trDO3oj2W;L~UJC z1cjfCju7K09NE(l0TP4!z)l(%0zIFNAAd)_nWr%2d#IK0tyIeyNO1{m!+<~yB932K zBXFpuRucr;ZuqxyI|lBPeIeF$!s{T#UwFP$+&iWE&PsN1X~1jD;xFy5t;?^Vk=S`& zbV?jbalH|6$MS#K3VWmk1SO5dMdhhvs)$obxozTsM||8B%zJ}^c4DCRR!1r&0G=0e zoiW}IG7{J?Z&_Hd+XFx~!+TheIkg+YBFxdCQ@VaML;7ptBhxPMB&!^%>Tv4xjuZUSm8t#b& zxTpOfskW4tI;(v!61r9BVu~Yvi>C+z!8^;Aw1(-akPWh$h()`gF%ToB<}<5H6m(A$ z{|=m;k)}YTWItDK&zpjx_X~yHufFMY9dTtlyN%vL{ro2NoOo{n5`~@N`LyRG2D+F1 zqELgaoZZnd@#ZcT^wk$>BCGEjl|6iAmhGz1hMsPgBG5v?oBj>5Qf~=#60eFkd-PJb z-PVl>MaWg(B*mB^xM0&rHD+JXS5=XE^Pp_4Pp@`C?4w?QJmV0e;=J0keo)yg_88f> zD9u~@Vr-soxc>Iak=e$SwwF(xWoRgyuPGh^@IeedA-@m_4vmOR5nMJ#99YKN!SbTx%Ly~8 z{RF+!_;CA8)7VJp>|R{SHF8e zsj*`?6791Ya+1RewGy5*5m03Hd?rwCNftWgh0@f(eYje_U;=n7%|z*THvh|o4}Cv@ z*yRpHP5skU1}N9DR+jabE9h)Pj4}O4SBtgbr+4*+7b_{L;uudXt3lM!d=5X2;Pr!W z8W#urYQxU2GE|zVf(HZGRt~=%LW1VfQ?R0x`M6{i@UPE+!ibjxP)y8gUUKfbv%W;*IeDF@bE$x5%s! zP1IuimkhKo0M{vuQQ23mons32!O1yL$S3E#$#%LKL|ZS@YZjgeXhGO3cYsB2F9INX=QMppKjo~NH}Wmb&~9CcPJX(MAe`y! zWh4AI-GU&ult#)s-SBAqsh=b@%~XjQlV3<3I+jgKqtYW)$(b%nOI$feO|6hub7>J# zj0UHE>CD>gW+CIp&pG!T*XvbWe5Dn6Ri7s)YsDf+{bxO*Wlnmu=3L?Uz{GWxYQ9%2 zFC%3`eGZXJUr_I2<7DN|wV#IGyC@yfNXNEMQcu6_#*HK9H9)U~P+Kjh34^v@({fI2 zRqe3!=gYTHIIv>sniw!rtSIy%{GI7-bA1Qjb8?^~a*Y15^Z!WtYiO+($KB5-yURIt zcb`W9Ca-uN8S2ennh(}j?%M<%{JY6F25BYCG@+=P`7ErSHY2CWfzWT% zQyt7EuZEX3tviB|P^|Hsik>oE=T|V@*TFkTdY1B;bu#J%PnA+W(>$5_?ZhTkG>=YA z@H*UT@_j%={$e>^&r#$NO!pO0KAl{`XReO`E7iTl*UncXAMz|C({#XRI&_*t zKJBLNKatnIGTLE^HogMZ(_GRNy}i}f1F`evDANg3AEG5F%k}HwdzsSG>zL#{p!)$Y z>;h1A!S0g6JKX6!7qbYfX~=D2|0>=#o8Vx39@IL=;ig%Pa_y(~)}r;|#ZQalx~)?L zq<&jbz%ND(X?!W`$5T-Z}G_$>GN|9kj?UJK4xZvkShy3=DPt%IQs?_HX(#R`ml-GAYAS^ z+`VKU&8Hdj4xIr2EkM%01WMt*Y`0^z+dYP^;hT+6NqS^&#mBaHzedFY@4T6oNS+%9 z{pBztnQ}sNF|RW?TTy3Irn4P8XGl9ZY&VC>K}7@ERu0(aoHHea?6T4x=*bKNL2ey+ z+0Ja4*fuQ89vt4Kwbw5EW=GW zKKL=T_eyqUGpEIb+5oyyeb_7@0NNyNLs%qr3XOWCrmMlh8jcuaWbq}27|GO3uZ&cvfuF;{jO;vY-5CY0uTs@~8 z9~!UhuZyA+$lnj+ridFnlK3=^2Y#CO@DpN(%&2S6(WPnC(e@ndurHr(O*pEQVcWWD zY}f-y8;2AaB{*X$Ez0+qkR=S`><@?EfuCWG|HSgL(izie<;LfHb`_+W^&u+h`+oJInTaRmST)*u#RRiFP~oXOw@()%Hp>f_=Do?dz-)QvYW4BX zf7CrIs=3H?R1Gda2@2TYU|SFqVI>E40t%#8ERXmSC?dD{uHzAb!`95i3%qOE!7~IA z#?9MQsQRjyOnToQ&bLrX8r$&VsEAN;vflhRpbc5W`-YzP_>;hm9YPhn;DKU4 zkmf6keEM35!U2WKapEp|Lh!!(C|WHbT4%*=iTU@Qwz}?1B;bLn>aNWcczsurN@d~i zEnXGq9wHDO2VuIGzsM2ioz82i&JT}Bdi=u&agFSlg93SsEyRBbb)W9_#8Tnvdv#H< z^EzFGenkK3DrQ{<|b&loFl z-$Z|!GIqN>S)IKyA|pk6C`rn2!-VzYX!t%G3zIn!)~w9D5*n=MMXV)OfaOd%?5vAM zv7=jOa!?wVsJ%^fZbV!*cq}-FJBfKu+rCzwifOaQc*oscc|#gM>-=8iAkIVK^$0h! zW=MaSPmX+MeRo=0*73ihceaH;h9%*6q>Wf_ZKTB%K;8*)( z$*S^_^lzKxGGWQCL|CNG~)kI2+q8v)bxB|6s*x zQ%(aZdX6)A*^e)?T(OHKMGl3q$x5@(W5^)iT#x5^d)7vK*Zl)pH>(|Dn1Y^@?~j9ZRV; zy!Zrn2yRXB{3r|0!$$AXwwiTzb4I9 zJXN^8*WPt{UZ04fYzD-;+ID-oU;v^m3B$cZpr7ACz7b(5RHDF`*QFZo>$xIwMg=iHVg9xmOaz(Pymlu0^WE=;}Z zd{`E4UvXOQMoiF=HEmd_vMiW2%ie-sfElCoqW9#t3;A*;3c{aZFKvUoc;(|X4@V7$ z6dW`phM2GNNzWXK#=lh2;462_r>q|!UA`rWK=E?kpLsX9)jDd zW%*f4t1mMdhIbu^eM#F`WEB6~Lfcmx-%I zfWcCY^9?i!N5Y;|P_^DSo`JN48nK^4vdV3^O)E%31;QGmaXKeMD;fR&IV_|)JY6h9Z7yDKLVOeF zM))-c>kE=;=A0qeX2-#L5=TocWi^T&>nFV)Ty9oKS4^)G9tasuovRd;g!H4c*UJi0 zXOya}3BvC@68A5j&pOQm*p$!jUdqUKF?TDTsbqQ`fhVh%liN$Y#NC4{Jw5pZ7l#;E z5jdyNc9tsb&AHlN*9E-nC;+6spyLKj2i@H9lfKzfibN^-z3yEyZk4b9{|N{|qo&_r z+B=mg`vYf((fvka&EkdEC{43sU_PI&{<=uaPEzAznJaRrTo;gB%tjPBcN~*(oA_Y4 z0Eb5m&b2*?b{RrBCTg(n@4Qaub6@r0s^K*FioKr4C!(ksBX%D+TY0!=gbKXHe9R}3 zRz<|ujjzZ!x|W)|KibJ%P$d_u5Pa}V@GsVTxCN^KFsngk@s^h>;^78}@_iKP&MpLX z$mKaehRf4n0?hDjTgSY-sKGNsVy{A#+5!GZlIE_F@+v_T(aKSVOjEG}RO#&iqTmq@ z@~jMgETS*i0=W%YI{Ax*O&laM+NHava+S}N1?0b`13&(54TdRMX1rSAj`X8y_UGYV zz%DE0gZfIJi%D&f&z%2`eTzz6VT#mgjezr@?p3byXmQ)>;+5xG8 zL#xiho|Oc1gn~R6ZafPH1ej0?7v!ilsz_YDrg1qL6Sj)`9SYh~Lk~ODe0nf|@k1<0 z^7U`2{+ma#36~tK=*LU5cBR5rNL7gPImJa{<3==S&4b)$VH&z|>hQ@tz-cE%e7dTS zL5yjEZMM$@S_wM)m|0>xzHTFxnAOGUAiz{k=!CAVy-8xf6h@I_a^jayX5cAXV8##5 zF)gJ9b`217{Q!yc)A7PiX#sVP5^)U=zu>g1!QuCuymZ(|aA+12jWmcI{oz27y9U@2 zc{`oKh>N(Q#Zsl`o!^{oO(O^f`i$$U$GV>482uEXh31qmGOtsY;0Ptz&t&PPxkBm)vPOmCukPaubh+##O zwqnyYXa2+9->0~7teFIt6Pu*!Av(FOs*#gnAwR3gZ<`2*P7}t#NV}N3j9?p>@~e(P zZ#@X|q((fe$drDKRV%n!H+E#!h`o+djJ6C>JJbODsZ*JgnP7YgLBWl`VHGtyXZ*~m zOa50#j3&QK0QY?cQQ~d!olCoP>zPet9cS`NzCyzmOe6F)aC$LLFKZ0Y2ou7^HU0hz zfyt2H;9wt+{e{Q>x_ri39u@2aIQqSKqK&9_{@;cgYg>V#M6$60jsCgvlf=1X%Gdg8W^ANVii?)0-z4gaPDWM)qkzjN5o`oUx_4ylD~ zxoWn$goT%+ngo1ko!5D-plN18v;DtaGqsQeuSI=|g&xXTBBa?x-u+K9;_wQ~<<43@ z&X6&A?-xKd^;yJQyAJe_Tz!?X{9+r*emcd>SYYEJ$&jC1@^OR`k1Mmq_f>4aBN!8!x^?U#Rk0M62-dp!jB`e1yLyyy^V1a?$puO7m$z!NNvGhH^HZ)eOYa|T z9Mk9As`<%ui6vT=mlTPjYTM8aI)UGfFwDFx*hOrVOCEZ;#GKz0lN=t;FYb=70&s%= z-yEn z0SW-h1Gv?EYe%(mDnAm&{F;`%L+jLc_3P0vg^Q;?fnf{F9exTX^~_CQELq}KJ&`6& ziGA4Xo}Tyu9Ffl#`V+UCsm{Pn1Jsd;F{eoVCI4ihwHa$oDTmABIpRbN?pb92@eD^y zyb=P!7LuPNuS7m8{RwVay+k=S!Rw)YksxRe2&!zbtQ9@jLWC=`CxJ{{moGYKfF7pu zI*ka46GK^a;0<{*SH~UEK3C5j3;)gA)1YN71)ch2LICc+Cz$D|1(s6>z^?oR{g{$^ zu;`*a0AO0hX=e_Fu3VKJP~R#5h^_DY2Z7I+#C6|_Bz~rNi7kI>`EG!{De_=a8`i94 z?Mk47%gj}V_bIXf`+AP!ubB`e^H4)nH)-+m6t@$7Dz3I3kd%6W^I)!(PAEP!Mry1% z^QpxxlHt0)wPBnA+{ULbE`o|p4O>sDR#rjy@7X@yfR?i!^hq=!5))$Tu}A&bj9K-p z4KIAcYxfdI?$2v?-rmY~h5);0u>Ega2~02Gx-k{9=g+byOEI9UA6I42@SL z9wk!(Mp~q0+-F0#iXy`~-`oX%Nha7JTyi;G>PF2S&h|l}jVUCpl8{&RSv0%oC_{S; zMayzx4v)0fz6v6+mI$`!EBN?dNv78objIb7==?`{ccmcg(7{w-Z-x_hN^>63pw)oy z{3HU+?y~8rOvT%~Mxz^(FvF*RET_s#Vm&nl9+ntn8z7Dd-;%1PAU)4}Jx5|MkBVoj zGM$sye9{pVG%Lu1$S!u@?s;|GXZSzc8Z&bG9YCVmx^7uSby_3oR1$VEbQQhYo$z|( z$l`2_HuK~aUW_zMLMdWriF zleQH#JE#_qmxJT|utq4)&v9wB3G%79AD#ddVEVk1V!6MMOGBjQdHtO#uu}NFhw&De zF;&V-e>@_D<<{1`r58S81`%_yS+=FwCl|0L?ZOBigm}oyBNgTjaYBS^C3-fTNpu^vK@ug^SfBThjR6Z zX(z>R@yW|PX)Aa|pwbNk?WqD%n-CV*Po2&UY+}!LHk+fAIUTBbLgpLiR8-gg11CyZ z@en!CZidr3Hp~BS5#z4+7dbhvrODp0c(Ho*iGRh>*ww+lRzwu~8FvfLi?CdCNFh4%pyVJYV|$~t-; z-=9BW1h^{)(poc(#V3ErGLj)+<6jM1bTw{rtWth_9*nKIIiANQiQVGC{06hPn*+t0aNFz*kU?y5Wm&3h7=8(fJdVZ zT~ZR=7M={B+V#a|AZ@sOIz%WFhJj#T{oRBIlF>6<4=7%J& zo9k(mW_N{5`S_CgFD_QMoFrhutlzUAq%I}Kq?!8fNIhwr-)DG0_Xn7APG^%yoUbH8 zfsN#{Slh%y(ST(9=vO$XYJ}(i1NbNu-T4bFVa{3Ha~kG3vFaQ_)&)D74U;DsSUZx+ zO$K?}8SJ85b+&x@quRQGtr|U(L`BZ+{m8@rBT$NGHz==!__Oab z+PmiZ-qz~UAm!p(5Ah1+e{bRn*vP12^UQ!U(GPHp4IK@-?lRoctk&T>?xOcJ^i?qD zv*_V>0N>$7-+q(=KKRbM)fU-sa?`fmF^E=JYC+nUbM+>gORd{c zi+C9o#J`af&o9LNLtB5c2guV%|F*$pFs}aM@LY)xT)U=)=oJqoR@z2Z@+wn1HQa~P z_Vt&jDyh_cB1Nv$gPpS86RjTC@+LCBFk&O}7RpRw%2|ioii^>^XcjDVA6rcG;(#V_ z#sepT>GJjpW%m1#g2-_Qfj;Iqf4ds+EJ|%-!`33tF&?q}ONMTMD=uX!J~Rm$eQd+> z3*0tQy&REa>E>I=lKG^!!ef*vWA8X3qC0Y|U|t^u)#<}J`xBKlLim_|2AKJVt*!sT zfkA$HET(-dRdGwF@0ap^J&bjwU;;tOp~?4LLB@}YhldPl4l@k(f^Otm-FhskT}G?R zPk~AtxngRxn*L(z|H@x&X>@Cq7uo>MCmtk~3c3V9qqlU2(Yvn*%q>Et3X=v8*B^oL zqPazHs1_JpLdQQGSzP)H#||^_9!&3VRSEAna-|Y&53Zqcij8h&Kv*LT@k}dqlk)sv zl6ogI`aqdL`a=c7F-9VeL5Vh>a`EV2=<%)XA_R3Hprf?==M)m;h2d2LV8JQ)AB;}v z@T^@A+a#L=L@O}Z6n{9z!Zr%?L`m`3omrsHzu1XAaW4*2W6*=K`kHsO=J zZZC}z)twWpkno5Q(dF9>&LVH{L_PPgond}(ADBmr!ky^TylPN($2_M_Ou-C5!9N7kX-{D}!J zk=Hd*?oZ+W@8oAo(oPR<+2b`{Zs~|KUPn)u8uuK`jL?E4^k&}_xS+{*5|cV(EzDR( zl!={;B-y)C3W2A4qB7kAhtoYt*wh9#0R?NvrWJ*@9dtAniB?-CtU&^J-dt%t!TLGS zW(?I*qOHVgHwQa?dlhp;O7;=^+(AQG`&24LzyuNZq%5N62zMnG=584rv!&C~y%<4O z5hJFsd^|_vkD=+Ei(zB-+JOAy>}qasMe}NrIOP=$-3F>*rCRS$9A_-9;_nhK?u9_$?F(y4QW810_ z;f*knuui9MDK`$GJ$(H<>9Qyo&b-Ji!j9<`jJ?m#lIm4Wg4-?w8p;BSbCdd4P-tQC z(0jYla7x2xm#=2~^&ftO7HGX!EXI`4F2@@+b4E)R6ZtTw`WJyXT2M6iR)=B5c71fC;(&`uGisE5%l^ zZkM$XzBV9FFO{VUF$kxfjV6N3lIf4_jR#?tHZi4xUb+A{WmxmgJPv!Ymm2##TK^bb zSTNa;5TG8W#mK1+te10J$WI7_l9^~~T{l)Rc{R537(c%p6WR+oQX(o$F1|cGOBO!a zwj%gPXEx-)Tm9zW+Ux)Z>cb~?g*UNhiY=*CYLK`_mh{ znDXv}LeFN~gN&z4o^ahxC4-X?c}~$obx^kjvmNhf5~^Yw_{;gm6h1C8t>DXI zkZP{6Ss^Q3EqPnkJRfPph*Mo}j!_CmfLV(*YcmeV)Y0pBavw$cSQ|ic8XnB*$~0}} zle`{hDhE1sKv>wGOb&@9jnO2wSc&xNa*}mP+=5YzK0IFG*)?{7O$P1yN$eDi69{KB#sP^hba3xYXa7+IG4) zd_tO;=~>-hE@yno8Dq|&B!c}wI1Q&>OB5$5HL?ULpbi}AMT4u z+%$?0wK&&iv*A`Hdm~L<)1CaV%?sB%Ah{ZZZo}Faid$>9k@+{~if+J9yjFDN>ZKhL zV#?x-6e{W;Sg`mY+3u;_Xpf<7)E|xqt4s|)-UM(Sz~A<@9v z{t+g%`i02OO{0C%e4o$g4LvGm5Pd@RmMyrjfmS>Ta;D`uB(*y>=Vn9Jm$c(B=c z%Iu~pmX4?b8lZIm#{@&&^v&wJb`ye+*B44Cg)PjB`ZcBIMT_=g;=6@)+hI%Ce(Y_lueE3Jh##vhlr1vT$v5;wEHPm;zXQ!+V z7Zmn}YS)o5y%TahPPLl3hy2*#Iabeu4;pCF7RAMZm!2&(v=52X-cr>m@9tcInkmd9 za;@IR(U-ibm{Yb~dw6(%8 zi+cDIe8!q%S0sDVMW;=E`GAzWpuu?>InX`J!K8+A$Razkvp3?IW>%3yOTLa*jO6Tk7> z7(D!z+t`r2>;}2|%i9|uAuB1GV)2eJZRjvQDt;$9>}_rA8TnCN5*SY96@Zj_>hrMn z7o4Jpr3ICNWF;y!8Lcp!xlVJy+hC~TUb@-H-Q7>VQ~03f&qrxPC#MlwF9@@w8gt|p zQ+9{-tb=%9j$kcfuDD8pTf>Mr#9@V8@ao|%pc(w}8)qmoP%m4QXg)Jz`7x5ZTyDOm zc?hPx(5p=saPfTGTf_CHm7TM%hrSc)kx#7bnSp^}^;toP^-TA9&$!^*Ke)jTJGd+u zzIc9PtVOE4xtcG}{iYM`T4jl? zLfn|_kzW&*QK3((*lfn;qK{dpm`6bBW@JSP1q_#@+~gicoG_r@EFnpbYDTny1eRog z2MMGApW}y<-V*-&9DkQH(Pmr>&TBzU1wHKlnle59;$Ce&DLzvXX+EssapYMPfbru| zvdpi?hB>WW4Qbv$2=wh;1EoT(R(9J}Vs}MnQ%;dhC!Yu0()T&w5+qoQ^>6g}r#Be% z-J275(;;@h9Q&2{{J`JMeYD}P&%MXi_=f6;bEj>@ogjUr^X=yH`SAS23tFjcOHi9B z1r&xCpxLib`@rn3)BRtmU75eFR99%#WCEzOY~9o*Yzt-y?GtwB~N=tK7j-^BO3NDYhPi+JaRxpOad zMncPxGKl16rOMOnFF~la&?nS;JC{0OvBy{OYiDFJ*k{gVqyla3KK_OkqglD`xxuFQ zXkoU$hG(_Dxsz^_X>I7nHEmwO*l66L)|P#p1#l!g(xBVS%=Va>nR(32G-hUIW@cuF zF*CD0X67+7w{SuERS5Vs@XQCxB%yrgZcieoZ_z7a8v_Uu*yF(r+kUN8 zFu-fO?`FfZlZYEtNH5ZBO`;cSWay52P^li>Gxx{<3jcZ99#=Y7%n%Be4g@ zfDFH9)`~?JSqWxHkirdF&GGp7pq@L^P^UDv_Msh z3Z1n`uftQ#aJv?(hnIMS>NO~K^%wag-d2UyX{OULZX=wk z=IYAQY_m08HjxKuK?=jMM9yk6c#|3@_%yj{((@cO4^!W3bLc8TavJD#-9U?i*)Y;| z#0e)yFcAeeccCTNPq92!fxse1@y!>1o z#RzawOz8yvQh8mHl15lBR8rxpRtWq>ySp= z>T_f~M;K+{A+;N`8oqw_tZbB7mcVt=Nj9#iI6A0PwX)-k5LI7|CLz+?6|=g`d=#!#r~)lxhXj81 zo5i#Tnfq8B2es-U*_^fX>46w;BOw&nm>o)AQ>vr#;c%?d#%Dn%(Uwr^F&$}mFLRD_ zZ4%UHOvb_QdM`ehm48m_=~lPEo%2uW&D` zeub@5qLTz{=O&|@$nOUAvFYdbxJV?g@K%f`e|gmMn43ZXdRx;J!!0Ma1r-7nJ|^gE z{3N3?8|KwdO${mpD1<`9$lcBok_@Qg;w&bz%U@h`I?#}>YgFcLEj2(Ej`D>3AhNYI z2EAo54oZhsgvA4=X`SMFd@}#qKsDe!z}@ki?lKfocH9F>unKNX6Lc%!YJSc?~fymm~%-QeUfB{8^9UAMngM0mcALaM;ge?sWVR zH(*6nAx|~VB_GG~N`_@N#JYr6GH=Uj!g_TN?s`)`sK=-EcVkt;v<{2}bIs~6GCq@H ztI46)so(~`Eo&vehwEK>-NK@9VB!m!bwjX@c@KSF2`R|2vI*SD0yI)Nwv@(c^~sNu zWi2RTJ&z#LaRbkdhT1rlM&EJvc_(Fas^z0%^j+K+wF5iKkD#v$g#-`H=YUH5@tkbP z;J8>e{=v*?@-YVD)#M#e4KhQGvu?#V`zF|ucrsdf7T#&k)6 zrCkF<9diTAB0KJnrK;N;<-bN{U2LH_h5k0ZuNV+yoQt%cwC+wGI;5L?E8Z>}#|_vE zHEnt=_w~ebqr1?5miG8s{<|&BRO4Qe(qC08aHm)UH6*O$A{eR_>{oJXn}|QEp6BsL z2?N@-h}Do966J)Rtpc!MP`0u)Haz@r&El+0MTPKJz7wD7YnQU^Xd)P*hBvEH0d^!q zKhv-#cH}_S_{2dKxcud9oB0w zQL02DpMI{ECX&y@PGAugwv=Q};yPlT+>0Zngu&?JNPhVlO)F~Ni2=kR0G&1Bu@R_y z%*ioi2tjtqdyJyq<{yNcf$_j9cTGb%y=CHgvc;{He76c1VH%^i*n3YHlS686V5EarKqbVK_I65=Z%{(H`Iog)B%3&sW8o1Ohxhibo_NxcW&-4W2O@s!Q@DqO z(5LfbgrU^Df!B>G-Z`dL2EzV zX50Z|_j6w&#ID=z&_;kh%a|~ma?gxqN-r@b{qWHyx-W00&;)d>JoUf?lgSYi4GnV# znib-zhV6k!-;mM}gW@BNaz#?-CiRG-U1)ZaK>yw?o$WCc0~v~_BRJii5Bif0|G1p& zurwO~9`EL*s%M#Ss|!&fWs02ypsH_lQz4Sl9_+ zrcttdP<)lqY#QF9(A0y`D;abbXK<%NK}y9eJw=6gTC@X? z{?EV?uhoIYd$q(_{YBP(5i-eaoxsN)$Es-E1R+N!elD$AZ=cqHv2^^oZuZh@Z#1yz z$xAsM*oZ2{H`2m815BXn9ddGQm}Z5j?`Xz&+(Qav-NVfB1&~{)rfwGuzoDu=ni=nC zv)(!g^X3lJeoQE$(Mz(PoLKl}WZ+jloncvX?avtW#n#oP?0NxKj)X&js0LCFS_j?m%XMe$Dqy)#Z}? z1iD#86N5);rF>o#2)c@Zui--)B_jsoIiHoQN)*}t5IC^;0&+IV=_7om3NGV{c5pQC zm1jVBB5dq;anXu}Q0O|$k87topOnh3sxGY^SA;^5lMV>w2u@8rIR2egPa`(3jCvj-9V5WP#v7B6TBbBk}zfEx%>diz* zsT9IF-7fIbaYfPFfBErszBP+2gni-xLyCL&nek<0-p}q^L&1mA)oB&{)?)be+aZ3p z+u8tpT4J)Do<~+{FAv?lZiVyWn-*^Bbjf~b8+bnR{P@AB&WVYl$H22*dGKQtY`UlSIAwpuxmTfk;veswLnhOWoP z)i^nDm)#Z~wHFk`gB8mDp#!c`@Q5u5V=RsW3)YtfTP3BQh9>b5ufZ;Sp@%Uw89qr# z`5-33Wo>)xkj)(c-rsINR`M=IJ(Cvl=-ycO*8@_~?pD$L<~5eLB9ESi8dfX>%hhuIPC*Zo!73nFmWo1(;W3OHPB7Rw2RQyOM$5KQh+BoU~Wf|jAiOq|Kn(l+G z8|X_h>W@}9&TFL`rBvgb0-mxCT7A6!lDNLl>ogv-nGg3lE6PsUPKi!^UzxJaK;kHt z(;{fes`X~9m_fz|s#+7Xybo>Wjoa~QZf@|AAvn<@s3mxTBdLu>1W$gNCX38C9V>Zh zor@bIb$)cNU{gwcyV!T<6Y8MwK11v$A*rLlDp+{7dJUO%Xq$aR3~NSqdz9Ace;XTZ zM>@;^K%oW=0WyUy;dk(~J9&so1X1C9EOcoCV3(rISx|Q@@=GL=uh*$j#x^8D3=Xd@ z9A=|p6j!E5Nx4b!n|}jAOci+uN=EB%Z4Jzhd)zlZ^=2PTh-yX+Eb@)f{ zi5%YgyQmtfrM35K!^_ve#&_VWD*$j!-z0UeTQ#fD zjHYG^&K7FZ?Huw*GOd#B}CE>QNRN$Y|WmXs0LSlZs!iz%2{7t9k`x5of z3?rkMIR`4sYD{&ol4-;IDd(|t&G+W-=)4j;@=uNyOx1u=*z(nY1IUebLBH9Ykm<9a zU;TG>8jZbS>p_;6Gc2&M23Bm!$_+d^8S-OhDIiOZ5|VhsL>z5;g~X`>IXN)_xBWX? zai=F2wRFRV)H?v-uU%87-x{H7NZiMjI?bVihRhb${TGXNJRb=3{gy;xSJoU4WL^1m z3urx7=`}+kUe)76D-+|mItkSg%eOh0iy-_S35J{pX^}Nd*&vcXyhc^}nWjlqN}fEA z4C8%?2K@4N)_yQnjekV)C(nD@!gZ9+fyadz8a(Cs(k!Mx2pz!xX}_Yo!*{fxCXWk* zL7uQIhQ2dd_EG-CX#`B8FI3HK=t=_LbwM(*(~8 zbgq9O5EfmR|BO;iY!i@VpV|Il!qEJ+ZF3m8&!q$pb#>F~TtqRnWkqS^%>Wh5!L56U1qj?CEB$BO# zriWg8^rlULMrA#YJ@IT?@BV3P`eyO~q3+{VMRG;#l@N=%jAyUb0G#YCcCEvr^+0hY zsZ^~y5-cqQyly)glZB^5u#ON!26Y+v9vY)?WsyA?;Y~i3wS}9x5h8WHY>}OzX%0Mq zkNEX!4S98H*+2?`XhNq_JGQMbdydT49!M@hIgeaz!gz6Ykzr4~5SJ^jW$OuL2lW~m zP}broyfFPKTd@;%%+*dmeh``9gQovsg3j)>jJk{fxl1aUuU+)Ym9(-1XIG0?UinPyF-zx=P*a8l-R@C~Z0x_KM zW5RqW7`qt-OpOi-YSMV4nKikpgcpye*oGVdwH<{tR3W=pI*Nez)cOwzvew>?!U^B( z0aX^{vEmC}=iug9q))W87Ruu9sdWFDXTV})z(Md=F=k=N?CuX{`~L2uazZtJ?*fT` zXKZT7*WNHnX$x*B0h=4|(u$pdcA`Uso0dY_6~-XAiP5`{fqhRHy1vakLGeMVD1tPh zQmt!iEqFoJ9?DPvIhB(3N`M2|IpFTklFOuOPo!~!kRJNekIP}ifcQJyGt(2vIMbO3 zQDrlzaPLYRh~H_lDO*sJTF;be&o^YeJ%`|W36DulY!PezQ|S;5&4U4hRq9ogMwr<; zn}jYR0(wwt{6Rzpsnm^zq8{J6K2ogj8w}e&i60`A?wepe14> z?AUN+{HY;@%}3F?yGI|C*Fi0^4t$EouimMs6-l*6&uP^mbSg+JP_}*$8nlga*d)5O zw2D~!=)d7Rr6nVUSY0M-kaAs22Y|gC4o(v$0@7u4>CtHV;xuXbBEfCEv6IXVvoX7J zG@SPECu%acn~gnNW&vc-YrdQJ&lv$WDhq@`QOPkaRLqo2WCZRv7NvouC=do3h4`g* zNtji;2cyX?f#!)cTJG!Hq;7|0ni|Lih@ejo>}9RpysC^Bg5g8?y62$58=K}_)EZX; zz0IWb^)KTnfg6e6iz~sC#tFsF@iwZq1{&p{d~yS_ZiAw@7dYZd*j9`duFu8F}I^gCo@0l#Oe$tMY+ zpk}PlpRF1vV8()HY0JkyRycTdKy)5Ahzl8+D2AA5hh% z-DV>V(|!%t1FjCZ&y=qE{GoG*m^B~ICt(-1awC$IcAtXSZN>Jxs;lNyK8kF)<|_vheZ5eY2-2>4H$Y)krn=_l1q`j`v~^sT&MZ0o50^ z;)Jt#iK$fbcy=7pdYN1yjObnbtmoYw6#nRx{mRT~cjCD9s_~HFwl2o1!o(jyaJc*p zZChpxuH*q^ww3yGmAyUV1p0|{#F*hELAavdrk2YFCM64!-vyL!66HCQgg8opR0z0w z4SSbP{M!|`oI5>~Opy-vux}?&A7sh3sfI;Sig_I%1BYWhKk-Dtan5fIm*ZS7iaC`X z;b@#Val9^{scxoLx9FC~Bd$M~4_9q{aKKct2uRuv4F>PQX}MWatjXN}a^V~@pmT?1 zT8cmW*rOD&K52u9l%2L#flWIAVozT(evqZ;Q{XVpK|fF|P}eZUz_UThJ0GN!8*xhPx!>8mUw!g54liu_SxG#?c=xNk)$q$=C;+M=Y3l&Upj7VZhM^sC1 z1ba;d)3QB2%=!7TBVfvJeZ`1voaj!b@@If>@clj8x3`?e4oAP&ckO%ql*ylLyr_-4 zS1zZ%71e2N)6ws8&yqV0t`PP9t zp2+pmvc4bAUjilYQMh4#$dUNn#qSrTxzlVB`CbmmmDt-w(#E~aJ*G-6DtckOFtp_2p$gzn zC&2Hbn1lzRJYh)1-4{1j!Qoa(b}6dwKy=;@Y(`B6T1o9)EUr(EI(sQic( z{|aFXa2+Vuq^V1Yn51kJcbD-FV)bz@cFs|On9x5pjO>K|(S9VaR#Go`EEyB})mbFZ z>urj0i**VxvanVv5(Wc68JN3ZuMp*{KqZB_g%aU>Q{m^8D5^)BBD6jEdv6#(QylTh zUVT8W@aOZmd&f$TIaXo)3@yT(WUnZoj9K6Cd=1m4MjS-CO+i*~N~r3gAy}kWj#E8; z@;^)Ru!%G5n70X(I5+<(qgH~tgdieO-@ZO zQ{lUGkwMN#CMvMU_KkQ+d4+4z^<{cJ?YJL1Gj`tu3(M z+fP$8-?Er4vl4Wc?F$?6%T+dmj`ll@j%?dVjLZSq!@jQMhDEY`=N4y+lc%AfPJWrAz2Fcz!Iy7)F6d;Y^2G$AN_G5{uC5T)+l z)`RFm$yA3h77Q9X%mInDPxK_6vMwCI825M$_tQ|bh1Z79R^t^Cj4Iw>wZ!1IPo~a#@ln!~aNP5k zX=oplU{3PNig0gJ7NnG_P;eBa&DK{f`^Fnna0w1*aORTOnTI!FbJ`eC9d1_oSt4|j zQ|rQFF$D4vAIZ=`*aWiQR!3<>^x*3kl76>sb0@#c+mGi>b7!zBO$ja@ymZO?7Wck$ z8q?(lMT4s+&TR`pOI=VQM0D`PPloM!U3}Ufg}F&%LG`tIzcV6OZTeDWeozMm(hAZ` zxO4X(6E7AQgGYXU7NK|Hu%3(N5ny_>W_!XF*+sow_Qw3pJ1gC`PGj_W>J?3>ErSx> zw%reV0{WIDX_nX0leU(FJRN;G?q_P(3xPuqpWuh3Bp3W*5_-~}bWpxBqbdJ)%}dg` zmu81dz@#6WyA^Wbj)S@e5s*jDN)gP52R_gxFw(nbH$>fPQhQ_-oe%PM35LvvSN2sz z(#*v0JI)z#{EK#>(N>h+g5a;S z!63y~FRoD#&a;vq*SF9~i$w``wy4)b8_~bAjql!A8yj%|8dq#$tiQa7f%$%s+1Wot zOkAr;Hpg$nf+p1=A+AHAzsguf%Wfso*)l<B?En<%zm!-l^37;4czLxuiL#Yq>iiMU z9I~q^C3o9c%v|0{HHzdGzwgBTt7n{&f<(9r|U8ZWNyhp-}uA{?Ol!B;k%KS>w|xH5;(+l8NQd!v!w!}SeiEN=5a!Q^(q zo^wbin>4butHP@|q}K!{tq@6}!*3olab|YVRbR2!r5LzHC?RfMKU#!h99E%)O3JQT$+14ugLse+rfcJ+N-)jZ4i{!K7cPU)qCfNBZ z=wUh%I0wVX#zbk6=I%$J#>R)vZrjSV#-!Cp!w=@v9t{zq1%D3!qPg|J zfLnPf5KvSA000SaHAq%6ocIne|8=GLRf)f=0xXaWJoPb8%|F#MlViFRi->cOEW1=tMCUl<`FjR@zFKL)#d(L0TK$@StU#s zf=XI;a+FeaW>PND4(29O(r>-+~Ve^SW7NT1Ha(bgt9N!xan0X5|6l{zMl%zwd)_(!WDgS9xWiV$dG2_;iFkZa7O z6?w4g+vBP%wHZv|s0eaT?AGm0A&<6SH#Vb=W4_)1x)!Q9(iAqYTYbhNgjW}uQJbQN z`zMg#WBXo^4`wg@cc?Wji_nQ+zG6fif$veI=x9?LLkF9*J6ZjxFdD<=(h|WA@X3~y z>xdZ$LC&kRPd|_|gixKU`w0Sy2JAA^^R`2~9-ODI`lt1QP3H4o5h<;-;P?lyj?V*X zF`VvG5Y7?ktR>zx5C@UM5*YhaX3V)A3-SaWwfuTfiNk?195dzE@7|a4NBuCs4BD=d znq$plNHywF8HHOC#O*%p!ZoC->DoU__#=%<(ZuB(W|s-a#>eA(QU zChKTzC6xRK*;mk@y>z|r{KGvsW5XqsYIt`$^~<#3dIDT|LL@ebqdzz0a)XgcKKn7z zmddMJFK-0JVK%ELpCkjjQbUBdrQ@OTRrV4n6}L?U)2y(z?G$Mk4eOr^x>6J7=d7F+ zC1s_qwLh0{T*r>W`u)!Q+xpN-7+ktJQA}OnWg!Q3KOnX+PaV5G?<&uXj6bMz8+i4m zUt-1$V!-}@l_vL6w2)&jIT}}QFJZ`HSG1SZs%0>dy!BGM9ej1*QWiIbrkr0?eGATVhnrpOR*EifDtB zCp>0}2}EoYnm$TjEgB1QQ#T^oB9Bmos>4RxBO5)HCZ%%&lU|G1AT=heh(t4vAxBQ{ zw5^oE_YIJ0Mv4gc6P6UGHxjl=#XsB%_Em(dBh9N+z<3QT|#% zDlXt`Q-%~}c;p^Y5e1u4$lo%~#}FTT)IN2I+uSfw@0FqZ5s-j(^3qHZmWU{FRa0kg zqjr_+@%S3O2gkdkC2(6<+r_;lIhu2Gc;a;iAuk2=_4NEJVEpBu*-}9!e}5T^1q=Xy z_%haCfO|KFwAKP&4WlyNlEw=@1v&R_jW82*dN?Mt@ntNz~{ zXd>YM-Y7?R8z-~Bb6^XOL$1FpJX@W0Io{tc}BC*c3g5dIVO-$KH_ zQBO4gpxeI!#NR=GcUu1j<LRUJ4BSulr%Y4rLGkK#~CzKnD{mTfhJS diff --git a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.xci b/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.xci deleted file mode 100644 index 968239ea..00000000 --- a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.xci +++ /dev/null @@ -1,84 +0,0 @@ - - - xilinx.com - xci - unknown - 1.0 - - - audio_IIR_filter_0_0 - - - - - audio_clk_audio - 12280700 - 0 - 0 - 0.0 - 0 - ACTIVE_HIGH - 7.7470198351366008e-06 - 3 - 3 - 1 - -2.9643815062655108 - 2.929394527351211 - -0.96500747158831091 - 1 - 0 - audio_IIR_filter_0_0 - 7.7470198351366008e-06 - 3 - 3 - 1 - -2.9643815062655108 - 2.929394527351211 - -0.96500747158831091 - 1 - 0 - artix7 - digilentinc.com:nexys-a7-100t:part0:1.0 - - xc7a100t - csg324 - VERILOG - - MIXED - -1 - - - TRUE - TRUE - IP_Integrator - 1 - TRUE - . - - ../../ipshared - 2021.2 - OOC_HIERARCHICAL - - - - - - - - - - - - - - - - - - - - - diff --git a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.xml b/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.xml deleted file mode 100644 index 4fb70f88..00000000 --- a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0.xml +++ /dev/null @@ -1,642 +0,0 @@ - - - xilinx.com - customized_ip - audio_IIR_filter_0_0 - 1.0 - - - reset - - - - - - - RST - - - reset - - - - - - POLARITY - ACTIVE_HIGH - - - none - - - - - INSERT_VIP - 0 - - - simulation.rtl - - - - - - - clk - - - - - - - CLK - - - clk - - - - - - ASSOCIATED_RESET - reset - - - FREQ_HZ - 12280700 - - - none - - - - - FREQ_TOLERANCE_HZ - 0 - - - none - - - - - PHASE - 0.0 - - - none - - - - - CLK_DOMAIN - audio_clk_audio - - - none - - - - - ASSOCIATED_BUSIF - - - - none - - - - - ASSOCIATED_PORT - - - - none - - - - - INSERT_VIP - 0 - - - simulation.rtl - - - - - - - - - - xilinx_anylanguagesynthesis - Synthesis - :vivado.xilinx.com:synthesis - IIR_filter - - - outputProductCRC - 9:defe6a5b - - - - - xilinx_synthesisconstraints - Synthesis Constraints - :vivado.xilinx.com:synthesis.constraints - - - outputProductCRC - 9:defe6a5b - - - - - xilinx_verilogsynthesiswrapper - Verilog Synthesis Wrapper - verilogSource:vivado.xilinx.com:synthesis.wrapper - verilog - audio_IIR_filter_0_0 - - xilinx_verilogsynthesiswrapper_view_fileset - - - - GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 - - - outputProductCRC - 9:defe6a5b - - - - - xilinx_anylanguagebehavioralsimulation - Simulation - :vivado.xilinx.com:simulation - IIR_filter - - - outputProductCRC - 9:e5ba96ee - - - - - xilinx_verilogsimulationwrapper - Verilog Simulation Wrapper - verilogSource:vivado.xilinx.com:simulation.wrapper - verilog - audio_IIR_filter_0_0 - - xilinx_verilogsimulationwrapper_view_fileset - - - - GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 - - - outputProductCRC - 9:e5ba96ee - - - - - xilinx_externalfiles - External Files - :vivado.xilinx.com:external.files - - xilinx_externalfiles_view_fileset - - - - GENtimestamp - Tue Dec 21 12:19:49 UTC 2021 - - - outputProductCRC - 9:defe6a5b - - - - - - - clk - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - reset - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - ce - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - sample_ce - - in - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - cx - - in - - 39 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - cx0 - - in - - 7 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - cx1 - - in - - 7 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - cx2 - - in - - 7 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - cy0 - - in - - 23 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - cy1 - - in - - 23 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - cy2 - - in - - 23 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - input_l - - in - - 15 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - input_r - - in - - 15 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - output_l - - out - - 15 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - output_r - - out - - 15 - 0 - - - - std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - - - use_params - Use Params - 0 - - - stereo - Stereo - 1 - - - coeff_x - Coeff X - 7.7470198351366008e-06 - - - coeff_x0 - Coeff X0 - 3 - - - coeff_x1 - Coeff X1 - 3 - - - coeff_x2 - Coeff X2 - 1 - - - coeff_y0 - Coeff Y0 - -2.9643815062655108 - - - coeff_y1 - Coeff Y1 - 2.929394527351211 - - - coeff_y2 - Coeff Y2 - -0.96500747158831091 - - - - - - xilinx_verilogsynthesiswrapper_view_fileset - - synth/audio_IIR_filter_0_0.v - verilogSource - xil_defaultlib - - - - xilinx_verilogsimulationwrapper_view_fileset - - sim/audio_IIR_filter_0_0.v - verilogSource - xil_defaultlib - - - - xilinx_externalfiles_view_fileset - - audio_IIR_filter_0_0.dcp - dcp - USED_IN_implementation - USED_IN_synthesis - xil_defaultlib - - - audio_IIR_filter_0_0_stub.v - verilogSource - USED_IN_synth_blackbox_stub - xil_defaultlib - - - audio_IIR_filter_0_0_stub.vhdl - vhdlSource - USED_IN_synth_blackbox_stub - xil_defaultlib - - - audio_IIR_filter_0_0_sim_netlist.v - verilogSource - USED_IN_simulation - USED_IN_single_language - xil_defaultlib - - - audio_IIR_filter_0_0_sim_netlist.vhdl - vhdlSource - USED_IN_simulation - USED_IN_single_language - xil_defaultlib - - - - xilinx.com:module_ref:IIR_filter:1.0 - - - use_params - Use Params - 0 - - - stereo - Stereo - 1 - - - coeff_x - Coeff X - 7.7470198351366008e-06 - - - coeff_x0 - Coeff X0 - 3 - - - coeff_x1 - Coeff X1 - 3 - - - coeff_x2 - Coeff X2 - 1 - - - coeff_y0 - Coeff Y0 - -2.9643815062655108 - - - coeff_y1 - Coeff Y1 - 2.929394527351211 - - - coeff_y2 - Coeff Y2 - -0.96500747158831091 - - - Component_Name - audio_IIR_filter_0_0 - - - - - IIR_filter_v1_0 - module_ref - 1 - - - - - - - - - - - - - - 2021.2 - - - diff --git a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.v deleted file mode 100644 index ab1d28ab..00000000 --- a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.v +++ /dev/null @@ -1,15505 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:48 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode funcsim -// v:/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.v -// Design : audio_IIR_filter_0_0 -// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified -// or synthesized. This netlist cannot be used for SDF annotated simulation. -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- -`timescale 1 ps / 1 ps - -(* CHECK_LICENSE_TYPE = "audio_IIR_filter_0_0,IIR_filter,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) -(* X_CORE_INFO = "IIR_filter,Vivado 2021.2" *) -(* NotValidForBitStream *) -module audio_IIR_filter_0_0 - (clk, - reset, - ce, - sample_ce, - cx, - cx0, - cx1, - cx2, - cy0, - cy1, - cy2, - input_l, - input_r, - output_l, - output_r); - (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, ASSOCIATED_RESET reset, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0" *) input clk; - (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 reset RST" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) input reset; - input ce; - input sample_ce; - input [39:0]cx; - input [7:0]cx0; - input [7:0]cx1; - input [7:0]cx2; - input [23:0]cy0; - input [23:0]cy1; - input [23:0]cy2; - input [15:0]input_l; - input [15:0]input_r; - output [15:0]output_l; - output [15:0]output_r; - - wire ce; - wire clk; - wire [39:0]cx; - wire [7:0]cx0; - wire [7:0]cx1; - wire [7:0]cx2; - wire [23:0]cy0; - wire [23:0]cy1; - wire [23:0]cy2; - wire [15:0]input_l; - wire [15:0]input_r; - wire [15:0]output_l; - wire [15:0]output_r; - wire reset; - wire sample_ce; - - audio_IIR_filter_0_0_IIR_filter inst - (.Q({output_l,output_r}), - .ce(ce), - .clk(clk), - .cx(cx), - .cx0({cx0[7],cx0[2:0]}), - .cx1({cx1[7],cx1[2:0]}), - .cx2({cx2[7],cx2[2:0]}), - .cy0(cy0), - .cy1(cy1), - .cy2(cy2), - .input_l(input_l), - .input_r(input_r), - .reset(reset), - .sample_ce(sample_ce)); -endmodule - -(* ORIG_REF_NAME = "IIR_filter" *) -module audio_IIR_filter_0_0_IIR_filter - (Q, - cy0, - cy1, - cy2, - cx0, - cx1, - cx2, - ce, - clk, - reset, - input_r, - cx, - sample_ce, - input_l); - output [31:0]Q; - input [23:0]cy0; - input [23:0]cy1; - input [23:0]cy2; - input [3:0]cx0; - input [3:0]cx1; - input [3:0]cx2; - input ce; - input clk; - input reset; - input [15:0]input_r; - input [39:0]cx; - input sample_ce; - input [15:0]input_l; - - wire [31:0]Q; - wire ce; - wire ch; - wire ch_i_1_n_0; - wire ch_reg_rep_n_0; - wire ch_rep_i_1_n_0; - wire clk; - wire [39:0]cx; - wire [3:0]cx0; - wire [3:0]cx1; - wire [3:0]cx2; - wire [23:0]cy0; - wire [23:0]cy1; - wire [23:0]cy2; - wire iir_tap_0_n_0; - wire iir_tap_0_n_1; - wire iir_tap_0_n_10; - wire iir_tap_0_n_100; - wire iir_tap_0_n_101; - wire iir_tap_0_n_102; - wire iir_tap_0_n_103; - wire iir_tap_0_n_104; - wire iir_tap_0_n_105; - wire iir_tap_0_n_106; - wire iir_tap_0_n_107; - wire iir_tap_0_n_108; - wire iir_tap_0_n_109; - wire iir_tap_0_n_11; - wire iir_tap_0_n_110; - wire iir_tap_0_n_111; - wire iir_tap_0_n_112; - wire iir_tap_0_n_113; - wire iir_tap_0_n_114; - wire iir_tap_0_n_115; - wire iir_tap_0_n_116; - wire iir_tap_0_n_117; - wire iir_tap_0_n_118; - wire iir_tap_0_n_119; - wire iir_tap_0_n_12; - wire iir_tap_0_n_120; - wire iir_tap_0_n_121; - wire iir_tap_0_n_122; - wire iir_tap_0_n_123; - wire iir_tap_0_n_124; - wire iir_tap_0_n_125; - wire iir_tap_0_n_126; - wire iir_tap_0_n_127; - wire iir_tap_0_n_128; - wire iir_tap_0_n_129; - wire iir_tap_0_n_13; - wire iir_tap_0_n_130; - wire iir_tap_0_n_131; - wire iir_tap_0_n_132; - wire iir_tap_0_n_133; - wire iir_tap_0_n_134; - wire iir_tap_0_n_135; - wire iir_tap_0_n_136; - wire iir_tap_0_n_137; - wire iir_tap_0_n_138; - wire iir_tap_0_n_139; - wire iir_tap_0_n_14; - wire iir_tap_0_n_140; - wire iir_tap_0_n_141; - wire iir_tap_0_n_142; - wire iir_tap_0_n_143; - wire iir_tap_0_n_144; - wire iir_tap_0_n_145; - wire iir_tap_0_n_146; - wire iir_tap_0_n_147; - wire iir_tap_0_n_148; - wire iir_tap_0_n_149; - wire iir_tap_0_n_15; - wire iir_tap_0_n_150; - wire iir_tap_0_n_16; - wire iir_tap_0_n_17; - wire iir_tap_0_n_18; - wire iir_tap_0_n_19; - wire iir_tap_0_n_20; - wire iir_tap_0_n_21; - wire iir_tap_0_n_22; - wire iir_tap_0_n_23; - wire iir_tap_0_n_24; - wire iir_tap_0_n_25; - wire iir_tap_0_n_26; - wire iir_tap_0_n_27; - wire iir_tap_0_n_28; - wire iir_tap_0_n_29; - wire iir_tap_0_n_3; - wire iir_tap_0_n_30; - wire iir_tap_0_n_31; - wire iir_tap_0_n_32; - wire iir_tap_0_n_33; - wire iir_tap_0_n_34; - wire iir_tap_0_n_35; - wire iir_tap_0_n_36; - wire iir_tap_0_n_37; - wire iir_tap_0_n_38; - wire iir_tap_0_n_39; - wire iir_tap_0_n_4; - wire iir_tap_0_n_40; - wire iir_tap_0_n_41; - wire iir_tap_0_n_42; - wire iir_tap_0_n_43; - wire iir_tap_0_n_44; - wire iir_tap_0_n_45; - wire iir_tap_0_n_46; - wire iir_tap_0_n_47; - wire iir_tap_0_n_48; - wire iir_tap_0_n_49; - wire iir_tap_0_n_5; - wire iir_tap_0_n_50; - wire iir_tap_0_n_51; - wire iir_tap_0_n_52; - wire iir_tap_0_n_53; - wire iir_tap_0_n_54; - wire iir_tap_0_n_55; - wire iir_tap_0_n_56; - wire iir_tap_0_n_57; - wire iir_tap_0_n_58; - wire iir_tap_0_n_59; - wire iir_tap_0_n_6; - wire iir_tap_0_n_60; - wire iir_tap_0_n_61; - wire iir_tap_0_n_62; - wire iir_tap_0_n_63; - wire iir_tap_0_n_64; - wire iir_tap_0_n_65; - wire iir_tap_0_n_66; - wire iir_tap_0_n_67; - wire iir_tap_0_n_68; - wire iir_tap_0_n_69; - wire iir_tap_0_n_7; - wire iir_tap_0_n_70; - wire iir_tap_0_n_71; - wire iir_tap_0_n_75; - wire iir_tap_0_n_76; - wire iir_tap_0_n_77; - wire iir_tap_0_n_78; - wire iir_tap_0_n_79; - wire iir_tap_0_n_8; - wire iir_tap_0_n_80; - wire iir_tap_0_n_81; - wire iir_tap_0_n_82; - wire iir_tap_0_n_83; - wire iir_tap_0_n_84; - wire iir_tap_0_n_85; - wire iir_tap_0_n_86; - wire iir_tap_0_n_87; - wire iir_tap_0_n_88; - wire iir_tap_0_n_89; - wire iir_tap_0_n_9; - wire iir_tap_0_n_90; - wire iir_tap_0_n_91; - wire iir_tap_0_n_92; - wire iir_tap_0_n_93; - wire iir_tap_0_n_94; - wire iir_tap_0_n_95; - wire iir_tap_0_n_96; - wire iir_tap_0_n_97; - wire iir_tap_0_n_98; - wire iir_tap_0_n_99; - wire iir_tap_1_n_0; - wire iir_tap_1_n_1; - wire iir_tap_1_n_123; - wire iir_tap_1_n_2; - wire iir_tap_1_n_3; - wire iir_tap_1_n_5; - wire iir_tap_1_n_6; - wire iir_tap_1_n_85; - wire iir_tap_2_n_0; - wire iir_tap_2_n_1; - wire iir_tap_2_n_122; - wire iir_tap_2_n_2; - wire iir_tap_2_n_3; - wire iir_tap_2_n_82; - wire iir_tap_2_n_83; - wire [15:0]inp_m; - wire inp_mul__0_n_103; - wire inp_mul__0_n_104; - wire inp_mul__0_n_105; - wire inp_mul__0_n_58; - wire inp_mul__0_n_59; - wire inp_mul__0_n_60; - wire inp_mul__0_n_61; - wire inp_mul__0_n_62; - wire inp_mul__0_n_63; - wire inp_mul__0_n_64; - wire inp_mul__0_n_65; - wire inp_mul__0_n_66; - wire [55:20]inp_mul__1; - wire inp_mul_n_100; - wire inp_mul_n_101; - wire inp_mul_n_102; - wire inp_mul_n_103; - wire inp_mul_n_104; - wire inp_mul_n_105; - wire inp_mul_n_106; - wire inp_mul_n_107; - wire inp_mul_n_108; - wire inp_mul_n_109; - wire inp_mul_n_110; - wire inp_mul_n_111; - wire inp_mul_n_112; - wire inp_mul_n_113; - wire inp_mul_n_114; - wire inp_mul_n_115; - wire inp_mul_n_116; - wire inp_mul_n_117; - wire inp_mul_n_118; - wire inp_mul_n_119; - wire inp_mul_n_120; - wire inp_mul_n_121; - wire inp_mul_n_122; - wire inp_mul_n_123; - wire inp_mul_n_124; - wire inp_mul_n_125; - wire inp_mul_n_126; - wire inp_mul_n_127; - wire inp_mul_n_128; - wire inp_mul_n_129; - wire inp_mul_n_130; - wire inp_mul_n_131; - wire inp_mul_n_132; - wire inp_mul_n_133; - wire inp_mul_n_134; - wire inp_mul_n_135; - wire inp_mul_n_136; - wire inp_mul_n_137; - wire inp_mul_n_138; - wire inp_mul_n_139; - wire inp_mul_n_140; - wire inp_mul_n_141; - wire inp_mul_n_142; - wire inp_mul_n_143; - wire inp_mul_n_144; - wire inp_mul_n_145; - wire inp_mul_n_146; - wire inp_mul_n_147; - wire inp_mul_n_148; - wire inp_mul_n_149; - wire inp_mul_n_150; - wire inp_mul_n_151; - wire inp_mul_n_152; - wire inp_mul_n_153; - wire inp_mul_n_58; - wire inp_mul_n_59; - wire inp_mul_n_60; - wire inp_mul_n_61; - wire inp_mul_n_62; - wire inp_mul_n_63; - wire inp_mul_n_64; - wire inp_mul_n_65; - wire inp_mul_n_66; - wire inp_mul_n_67; - wire inp_mul_n_68; - wire inp_mul_n_69; - wire inp_mul_n_70; - wire inp_mul_n_71; - wire inp_mul_n_72; - wire inp_mul_n_73; - wire inp_mul_n_74; - wire inp_mul_n_75; - wire inp_mul_n_76; - wire inp_mul_n_77; - wire inp_mul_n_78; - wire inp_mul_n_79; - wire inp_mul_n_80; - wire inp_mul_n_81; - wire inp_mul_n_82; - wire inp_mul_n_83; - wire inp_mul_n_84; - wire inp_mul_n_85; - wire inp_mul_n_86; - wire inp_mul_n_87; - wire inp_mul_n_88; - wire inp_mul_n_89; - wire inp_mul_n_90; - wire inp_mul_n_91; - wire inp_mul_n_92; - wire inp_mul_n_93; - wire inp_mul_n_94; - wire inp_mul_n_95; - wire inp_mul_n_96; - wire inp_mul_n_97; - wire inp_mul_n_98; - wire inp_mul_n_99; - wire [15:0]input_l; - wire [15:0]input_r; - wire [39:0]\intreg_reg[0]_2 ; - wire [39:0]\intreg_reg[0]_4 ; - wire [39:0]\intreg_reg[1]_3 ; - wire [39:0]\intreg_reg[1]_5 ; - wire \out_l_reg_n_0_[0] ; - wire \out_l_reg_n_0_[10] ; - wire \out_l_reg_n_0_[11] ; - wire \out_l_reg_n_0_[12] ; - wire \out_l_reg_n_0_[13] ; - wire \out_l_reg_n_0_[14] ; - wire \out_l_reg_n_0_[15] ; - wire \out_l_reg_n_0_[1] ; - wire \out_l_reg_n_0_[2] ; - wire \out_l_reg_n_0_[3] ; - wire \out_l_reg_n_0_[4] ; - wire \out_l_reg_n_0_[5] ; - wire \out_l_reg_n_0_[6] ; - wire \out_l_reg_n_0_[7] ; - wire \out_l_reg_n_0_[8] ; - wire \out_l_reg_n_0_[9] ; - wire out_m; - wire \out_m_reg_n_0_[0] ; - wire \out_m_reg_n_0_[10] ; - wire \out_m_reg_n_0_[11] ; - wire \out_m_reg_n_0_[12] ; - wire \out_m_reg_n_0_[13] ; - wire \out_m_reg_n_0_[14] ; - wire \out_m_reg_n_0_[15] ; - wire \out_m_reg_n_0_[1] ; - wire \out_m_reg_n_0_[2] ; - wire \out_m_reg_n_0_[3] ; - wire \out_m_reg_n_0_[4] ; - wire \out_m_reg_n_0_[5] ; - wire \out_m_reg_n_0_[6] ; - wire \out_m_reg_n_0_[7] ; - wire \out_m_reg_n_0_[8] ; - wire \out_m_reg_n_0_[9] ; - wire [15:0]out_r; - wire [15:0]p_0_in; - wire p_0_in__0; - wire [15:14]p_1_in; - wire [13:0]p_1_in__0; - wire reset; - wire sample_ce; - wire [37:35]tap0; - wire [38:2]tap1; - wire [38:2]tap2; - wire [1:1]x_mul2_out; - wire [1:1]x_mul2_out_0; - wire y_carry__0_n_0; - wire y_carry__0_n_1; - wire y_carry__0_n_2; - wire y_carry__0_n_3; - wire y_carry__0_n_4; - wire y_carry__0_n_5; - wire y_carry__0_n_6; - wire y_carry__0_n_7; - wire y_carry__1_n_0; - wire y_carry__1_n_1; - wire y_carry__1_n_2; - wire y_carry__1_n_3; - wire y_carry__1_n_4; - wire y_carry__1_n_5; - wire y_carry__1_n_6; - wire y_carry__1_n_7; - wire y_carry__2_n_0; - wire y_carry__2_n_1; - wire y_carry__2_n_2; - wire y_carry__2_n_3; - wire y_carry__2_n_4; - wire y_carry__2_n_5; - wire y_carry__2_n_6; - wire y_carry__2_n_7; - wire y_carry__3_n_0; - wire y_carry__3_n_1; - wire y_carry__3_n_2; - wire y_carry__3_n_3; - wire y_carry__3_n_4; - wire y_carry__3_n_5; - wire y_carry__3_n_6; - wire y_carry__3_n_7; - wire y_carry__4_n_0; - wire y_carry__4_n_1; - wire y_carry__4_n_2; - wire y_carry__4_n_3; - wire y_carry__5_n_0; - wire y_carry__5_n_1; - wire y_carry__5_n_2; - wire y_carry__5_n_3; - wire y_carry__6_n_0; - wire y_carry__6_n_1; - wire y_carry__6_n_2; - wire y_carry__6_n_3; - wire y_carry__7_n_0; - wire y_carry__7_n_1; - wire y_carry__7_n_2; - wire y_carry__7_n_3; - wire y_carry__8_n_1; - wire y_carry__8_n_2; - wire y_carry__8_n_3; - wire y_carry__8_n_5; - wire y_carry__8_n_6; - wire y_carry__8_n_7; - wire y_carry_n_0; - wire y_carry_n_1; - wire y_carry_n_2; - wire y_carry_n_3; - wire y_carry_n_4; - wire y_carry_n_5; - wire y_carry_n_6; - wire y_carry_n_7; - wire [15:15]y_clamp; - wire NLW_inp_mul_CARRYCASCOUT_UNCONNECTED; - wire NLW_inp_mul_MULTSIGNOUT_UNCONNECTED; - wire NLW_inp_mul_OVERFLOW_UNCONNECTED; - wire NLW_inp_mul_PATTERNBDETECT_UNCONNECTED; - wire NLW_inp_mul_PATTERNDETECT_UNCONNECTED; - wire NLW_inp_mul_UNDERFLOW_UNCONNECTED; - wire [29:0]NLW_inp_mul_ACOUT_UNCONNECTED; - wire [17:0]NLW_inp_mul_BCOUT_UNCONNECTED; - wire [3:0]NLW_inp_mul_CARRYOUT_UNCONNECTED; - wire NLW_inp_mul__0_CARRYCASCOUT_UNCONNECTED; - wire NLW_inp_mul__0_MULTSIGNOUT_UNCONNECTED; - wire NLW_inp_mul__0_OVERFLOW_UNCONNECTED; - wire NLW_inp_mul__0_PATTERNBDETECT_UNCONNECTED; - wire NLW_inp_mul__0_PATTERNDETECT_UNCONNECTED; - wire NLW_inp_mul__0_UNDERFLOW_UNCONNECTED; - wire [29:0]NLW_inp_mul__0_ACOUT_UNCONNECTED; - wire [17:0]NLW_inp_mul__0_BCOUT_UNCONNECTED; - wire [3:0]NLW_inp_mul__0_CARRYOUT_UNCONNECTED; - wire [47:0]NLW_inp_mul__0_PCOUT_UNCONNECTED; - wire [3:3]NLW_y_carry__8_CO_UNCONNECTED; - - LUT2 #( - .INIT(4'h6)) - ch_i_1 - (.I0(ce), - .I1(ch), - .O(ch_i_1_n_0)); - (* ORIG_CELL_NAME = "ch_reg" *) - FDRE #( - .INIT(1'b0)) - ch_reg - (.C(clk), - .CE(1'b1), - .D(ch_i_1_n_0), - .Q(ch), - .R(1'b0)); - (* ORIG_CELL_NAME = "ch_reg" *) - FDRE #( - .INIT(1'b0)) - ch_reg_rep - (.C(clk), - .CE(1'b1), - .D(ch_rep_i_1_n_0), - .Q(ch_reg_rep_n_0), - .R(1'b0)); - LUT2 #( - .INIT(4'h6)) - ch_rep_i_1 - (.I0(ce), - .I1(ch), - .O(ch_rep_i_1_n_0)); - audio_IIR_filter_0_0_iir_filter_tap iir_tap_0 - (.B({y_carry__3_n_7,y_carry__2_n_4,y_carry__2_n_5,y_carry__2_n_6,y_carry__2_n_7,y_carry__1_n_4,y_carry__1_n_5,y_carry__1_n_6,y_carry__1_n_7,y_carry__0_n_4,y_carry__0_n_5,y_carry__0_n_6,y_carry__0_n_7,y_carry_n_4,y_carry_n_5,y_carry_n_6,y_carry_n_7}), - .D(\out_m_reg_n_0_[15] ), - .DI({iir_tap_1_n_5,iir_tap_1_n_6}), - .E(iir_tap_2_n_82), - .O(x_mul2_out), - .P({iir_tap_0_n_0,iir_tap_0_n_1}), - .Q({\intreg_reg[1]_3 [39],\intreg_reg[1]_3 [37:0]}), - .S(iir_tap_1_n_123), - .ch(ch), - .ch_reg_rep({iir_tap_0_n_3,iir_tap_0_n_4,iir_tap_0_n_5,iir_tap_0_n_6}), - .ch_reg_rep_0(iir_tap_0_n_71), - .clk(clk), - .cx0(cx0), - .cy0(cy0), - .cy1(cy1[23:1]), - .\cy1[10] ({iir_tap_0_n_84,iir_tap_0_n_85,iir_tap_0_n_86,iir_tap_0_n_87}), - .\cy1[13] ({iir_tap_0_n_22,iir_tap_0_n_23,iir_tap_0_n_24,iir_tap_0_n_25}), - .\cy1[14] ({iir_tap_0_n_88,iir_tap_0_n_89,iir_tap_0_n_90,iir_tap_0_n_91}), - .\cy1[17] ({iir_tap_0_n_26,iir_tap_0_n_27,iir_tap_0_n_28,iir_tap_0_n_29}), - .\cy1[18] ({iir_tap_0_n_92,iir_tap_0_n_93,iir_tap_0_n_94,iir_tap_0_n_95}), - .\cy1[20] ({iir_tap_0_n_30,iir_tap_0_n_31,iir_tap_0_n_32}), - .\cy1[22] ({iir_tap_0_n_33,iir_tap_0_n_34}), - .\cy1[22]_0 ({iir_tap_0_n_75,iir_tap_0_n_76,iir_tap_0_n_77,iir_tap_0_n_78}), - .\cy1[23] (iir_tap_0_n_96), - .\cy1[2] (iir_tap_0_n_79), - .\cy1[5] ({iir_tap_0_n_14,iir_tap_0_n_15,iir_tap_0_n_16,iir_tap_0_n_17}), - .\cy1[6] ({iir_tap_0_n_80,iir_tap_0_n_81,iir_tap_0_n_82,iir_tap_0_n_83}), - .\cy1[9] ({iir_tap_0_n_18,iir_tap_0_n_19,iir_tap_0_n_20,iir_tap_0_n_21}), - .cy2(cy2[23:1]), - .\cy2[10] ({iir_tap_0_n_106,iir_tap_0_n_107,iir_tap_0_n_108,iir_tap_0_n_109}), - .\cy2[13] ({iir_tap_0_n_43,iir_tap_0_n_44,iir_tap_0_n_45,iir_tap_0_n_46}), - .\cy2[14] ({iir_tap_0_n_110,iir_tap_0_n_111,iir_tap_0_n_112,iir_tap_0_n_113}), - .\cy2[17] ({iir_tap_0_n_47,iir_tap_0_n_48,iir_tap_0_n_49,iir_tap_0_n_50}), - .\cy2[18] ({iir_tap_0_n_114,iir_tap_0_n_115,iir_tap_0_n_116,iir_tap_0_n_117}), - .\cy2[20] ({iir_tap_0_n_51,iir_tap_0_n_52,iir_tap_0_n_53}), - .\cy2[22] ({iir_tap_0_n_54,iir_tap_0_n_55}), - .\cy2[22]_0 ({iir_tap_0_n_97,iir_tap_0_n_98,iir_tap_0_n_99,iir_tap_0_n_100}), - .\cy2[23] (iir_tap_0_n_118), - .\cy2[2] (iir_tap_0_n_101), - .\cy2[5] ({iir_tap_0_n_35,iir_tap_0_n_36,iir_tap_0_n_37,iir_tap_0_n_38}), - .\cy2[6] ({iir_tap_0_n_102,iir_tap_0_n_103,iir_tap_0_n_104,iir_tap_0_n_105}), - .\cy2[9] ({iir_tap_0_n_39,iir_tap_0_n_40,iir_tap_0_n_41,iir_tap_0_n_42}), - .inp_mul__0(iir_tap_0_n_11), - .inp_mul__0_0(iir_tap_0_n_12), - .inp_mul__0_1(iir_tap_0_n_56), - .inp_mul__0_10(iir_tap_0_n_65), - .inp_mul__0_11(iir_tap_0_n_66), - .inp_mul__0_12(iir_tap_0_n_67), - .inp_mul__0_13(iir_tap_0_n_68), - .inp_mul__0_14(iir_tap_0_n_69), - .inp_mul__0_15(iir_tap_0_n_70), - .inp_mul__0_16({iir_tap_0_n_119,iir_tap_0_n_120,iir_tap_0_n_121,iir_tap_0_n_122}), - .inp_mul__0_17({iir_tap_0_n_123,iir_tap_0_n_124,iir_tap_0_n_125,iir_tap_0_n_126}), - .inp_mul__0_18({iir_tap_0_n_127,iir_tap_0_n_128,iir_tap_0_n_129,iir_tap_0_n_130}), - .inp_mul__0_19({iir_tap_0_n_131,iir_tap_0_n_132,iir_tap_0_n_133,iir_tap_0_n_134}), - .inp_mul__0_2(iir_tap_0_n_57), - .inp_mul__0_20({iir_tap_0_n_135,iir_tap_0_n_136,iir_tap_0_n_137,iir_tap_0_n_138}), - .inp_mul__0_21({iir_tap_0_n_139,iir_tap_0_n_140,iir_tap_0_n_141,iir_tap_0_n_142}), - .inp_mul__0_22({iir_tap_0_n_143,iir_tap_0_n_144,iir_tap_0_n_145,iir_tap_0_n_146}), - .inp_mul__0_23({iir_tap_0_n_147,iir_tap_0_n_148,iir_tap_0_n_149,iir_tap_0_n_150}), - .inp_mul__0_3(iir_tap_0_n_58), - .inp_mul__0_4(iir_tap_0_n_59), - .inp_mul__0_5(iir_tap_0_n_60), - .inp_mul__0_6(iir_tap_0_n_61), - .inp_mul__0_7(iir_tap_0_n_62), - .inp_mul__0_8(iir_tap_0_n_63), - .inp_mul__0_9(iir_tap_0_n_64), - .\intreg_reg[0][38]_0 ({iir_tap_0_n_7,iir_tap_0_n_8,iir_tap_0_n_9,iir_tap_0_n_10}), - .\intreg_reg[1][15]_0 (ch_reg_rep_n_0), - .\intreg_reg[1][37]_0 (tap0), - .out_m(out_m), - .\out_m_reg[15] (iir_tap_0_n_13), - .\out_r_reg[0] ({p_0_in__0,y_carry__8_n_5,y_carry__8_n_6,y_carry__8_n_7}), - .\out_r_reg[0]_0 (p_1_in), - .p_4_out_carry__8_i_4_0({\intreg_reg[0]_2 [39],\intreg_reg[0]_2 [37:0]}), - .reset(reset), - .tap1(tap1), - .y_mul__0_0({p_1_in__0,y_carry__3_n_4,y_carry__3_n_5,y_carry__3_n_6}), - .y_mul__0_1(inp_mul__1), - .y_mul__1_carry_0(iir_tap_1_n_2), - .y_mul__1_carry_1(iir_tap_2_n_0), - .y_mul__1_carry__4_0(iir_tap_1_n_3), - .y_mul__1_carry__4_1(iir_tap_1_n_85), - .y_mul__1_carry__4_2(iir_tap_2_n_1), - .y_mul__1_carry__4_3(iir_tap_2_n_83)); - audio_IIR_filter_0_0_iir_filter_tap_0 iir_tap_1 - (.B({y_carry__3_n_7,y_carry__2_n_4,y_carry__2_n_5,y_carry__2_n_6,y_carry__2_n_7,y_carry__1_n_4,y_carry__1_n_5,y_carry__1_n_6,y_carry__1_n_7,y_carry__0_n_4,y_carry__0_n_5,y_carry__0_n_6,y_carry__0_n_7,y_carry_n_4,y_carry_n_5,y_carry_n_6,y_carry_n_7}), - .DI({iir_tap_2_n_2,iir_tap_2_n_3}), - .E(iir_tap_2_n_82), - .O(x_mul2_out_0), - .P({iir_tap_1_n_0,iir_tap_1_n_1}), - .Q({\intreg_reg[1]_5 [39],\intreg_reg[1]_5 [37:0]}), - .S(iir_tap_2_n_122), - .ch(ch), - .ch_reg({iir_tap_1_n_5,iir_tap_1_n_6}), - .clk(clk), - .cx0(cx0[3]), - .cx1(cx1), - .cy1(cy1), - .\cy1[0] (iir_tap_1_n_2), - .\cy1[21] (iir_tap_1_n_3), - .\cy1[21]_0 (iir_tap_1_n_85), - .i__carry__0_i_3__0_0({iir_tap_0_n_14,iir_tap_0_n_15,iir_tap_0_n_16,iir_tap_0_n_17}), - .i__carry__0_i_3__0_1({iir_tap_0_n_80,iir_tap_0_n_81,iir_tap_0_n_82,iir_tap_0_n_83}), - .i__carry__1_i_3__0_0({iir_tap_0_n_18,iir_tap_0_n_19,iir_tap_0_n_20,iir_tap_0_n_21}), - .i__carry__1_i_3__0_1({iir_tap_0_n_84,iir_tap_0_n_85,iir_tap_0_n_86,iir_tap_0_n_87}), - .i__carry__2_i_3__0_0({iir_tap_0_n_22,iir_tap_0_n_23,iir_tap_0_n_24,iir_tap_0_n_25}), - .i__carry__2_i_3__0_1({iir_tap_0_n_88,iir_tap_0_n_89,iir_tap_0_n_90,iir_tap_0_n_91}), - .i__carry__3_i_3__0_0({iir_tap_0_n_26,iir_tap_0_n_27,iir_tap_0_n_28,iir_tap_0_n_29}), - .i__carry__3_i_3__0_1({iir_tap_0_n_92,iir_tap_0_n_93,iir_tap_0_n_94,iir_tap_0_n_95}), - .i__carry__4_i_3__0_0({iir_tap_0_n_30,iir_tap_0_n_31,iir_tap_0_n_32}), - .i__carry__4_i_3__0_1({iir_tap_0_n_75,iir_tap_0_n_76,iir_tap_0_n_77,iir_tap_0_n_78}), - .i__carry__5_i_3__0_0({iir_tap_0_n_33,iir_tap_0_n_34}), - .i__carry__5_i_3__0_1(iir_tap_0_n_96), - .i__carry_i_3__0_0(iir_tap_0_n_79), - .\intreg_reg[0][39]_0 ({\intreg_reg[0]_2 [39],\intreg_reg[0]_2 [37:0]}), - .\intreg_reg[1][38]_0 (tap1), - .\intreg_reg[1][39]_0 ({\intreg_reg[1]_3 [39],\intreg_reg[1]_3 [37:0]}), - .\intreg_reg[1][3]_0 ({iir_tap_0_n_0,iir_tap_0_n_1}), - .\intreg_reg[1][3]_1 (x_mul2_out), - .out_m(out_m), - .p_4_out_carry__8_i_4(ch_reg_rep_n_0), - .p_4_out_carry__8_i_4__0_0({\intreg_reg[0]_4 [39],\intreg_reg[0]_4 [37:0]}), - .reset(reset), - .tap2(tap2), - .x_mul1__0_carry__7_0(inp_mul__1[55:22]), - .y_mul__0_0(iir_tap_1_n_123), - .y_mul__0_1({p_1_in__0,y_carry__3_n_4,y_carry__3_n_5,y_carry__3_n_6}), - .y_mul__1_carry__5_0(y_carry__8_n_7), - .y_mul__1_carry__5_1(p_1_in)); - audio_IIR_filter_0_0_iir_filter_tap_1 iir_tap_2 - (.B({y_carry__3_n_7,y_carry__2_n_4,y_carry__2_n_5,y_carry__2_n_6,y_carry__2_n_7,y_carry__1_n_4,y_carry__1_n_5,y_carry__1_n_6,y_carry__1_n_7,y_carry__0_n_4,y_carry__0_n_5,y_carry__0_n_6,y_carry__0_n_7,y_carry_n_4,y_carry_n_5,y_carry_n_6,y_carry_n_7}), - .DI({iir_tap_2_n_2,iir_tap_2_n_3}), - .E(iir_tap_2_n_82), - .O(x_mul2_out_0), - .P({iir_tap_1_n_0,iir_tap_1_n_1}), - .Q({\intreg_reg[0]_4 [39],\intreg_reg[0]_4 [37:0]}), - .S(iir_tap_2_n_122), - .ce(ce), - .ch(ch), - .clk(clk), - .cx1(cx1[3]), - .cx2(cx2), - .cy2(cy2), - .\cy2[0] (iir_tap_2_n_0), - .\cy2[21] (iir_tap_2_n_1), - .\cy2[21]_0 (iir_tap_2_n_83), - .i__carry__0_i_3__1_0({iir_tap_0_n_35,iir_tap_0_n_36,iir_tap_0_n_37,iir_tap_0_n_38}), - .i__carry__0_i_3__1_1({iir_tap_0_n_102,iir_tap_0_n_103,iir_tap_0_n_104,iir_tap_0_n_105}), - .i__carry__1_i_3__1_0({iir_tap_0_n_39,iir_tap_0_n_40,iir_tap_0_n_41,iir_tap_0_n_42}), - .i__carry__1_i_3__1_1({iir_tap_0_n_106,iir_tap_0_n_107,iir_tap_0_n_108,iir_tap_0_n_109}), - .i__carry__2_i_3__1_0({iir_tap_0_n_43,iir_tap_0_n_44,iir_tap_0_n_45,iir_tap_0_n_46}), - .i__carry__2_i_3__1_1({iir_tap_0_n_110,iir_tap_0_n_111,iir_tap_0_n_112,iir_tap_0_n_113}), - .i__carry__3_i_3__1_0({iir_tap_0_n_47,iir_tap_0_n_48,iir_tap_0_n_49,iir_tap_0_n_50}), - .i__carry__3_i_3__1_1({iir_tap_0_n_114,iir_tap_0_n_115,iir_tap_0_n_116,iir_tap_0_n_117}), - .i__carry__4_i_3__1_0({iir_tap_0_n_51,iir_tap_0_n_52,iir_tap_0_n_53}), - .i__carry__4_i_3__1_1({iir_tap_0_n_97,iir_tap_0_n_98,iir_tap_0_n_99,iir_tap_0_n_100}), - .i__carry__5_i_3__1_0({iir_tap_0_n_54,iir_tap_0_n_55}), - .i__carry__5_i_3__1_1(iir_tap_0_n_118), - .i__carry_i_3__1_0(iir_tap_0_n_101), - .\intreg_reg[1][38]_0 (tap2), - .\intreg_reg[1][39]_0 ({\intreg_reg[1]_5 [39],\intreg_reg[1]_5 [37:0]}), - .out_m(out_m), - .reset(reset), - .x_mul1__0_carry__7_0(inp_mul__1[55:22]), - .y_mul__0_0({p_1_in__0,y_carry__3_n_4,y_carry__3_n_5,y_carry__3_n_6}), - .y_mul__1_carry__5_0(y_carry__8_n_7), - .y_mul__1_carry__5_1(p_1_in)); - FDRE \inp_m_reg[0] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[0]), - .Q(inp_m[0]), - .R(1'b0)); - FDRE \inp_m_reg[10] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[10]), - .Q(inp_m[10]), - .R(1'b0)); - FDRE \inp_m_reg[11] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[11]), - .Q(inp_m[11]), - .R(1'b0)); - FDRE \inp_m_reg[12] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[12]), - .Q(inp_m[12]), - .R(1'b0)); - FDRE \inp_m_reg[13] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[13]), - .Q(inp_m[13]), - .R(1'b0)); - FDRE \inp_m_reg[14] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[14]), - .Q(inp_m[14]), - .R(1'b0)); - FDRE \inp_m_reg[15] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[15]), - .Q(inp_m[15]), - .R(1'b0)); - FDRE \inp_m_reg[1] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[1]), - .Q(inp_m[1]), - .R(1'b0)); - FDRE \inp_m_reg[2] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[2]), - .Q(inp_m[2]), - .R(1'b0)); - FDRE \inp_m_reg[3] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[3]), - .Q(inp_m[3]), - .R(1'b0)); - FDRE \inp_m_reg[4] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[4]), - .Q(inp_m[4]), - .R(1'b0)); - FDRE \inp_m_reg[5] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[5]), - .Q(inp_m[5]), - .R(1'b0)); - FDRE \inp_m_reg[6] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[6]), - .Q(inp_m[6]), - .R(1'b0)); - FDRE \inp_m_reg[7] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[7]), - .Q(inp_m[7]), - .R(1'b0)); - FDRE \inp_m_reg[8] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[8]), - .Q(inp_m[8]), - .R(1'b0)); - FDRE \inp_m_reg[9] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(input_r[9]), - .Q(inp_m[9]), - .R(1'b0)); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-11 {cell *THIS*}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(1), - .BREG(1), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(1), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - inp_mul - (.A({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,cx[16:0]}), - .ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .ACOUT(NLW_inp_mul_ACOUT_UNCONNECTED[29:0]), - .ALUMODE({1'b0,1'b0,1'b0,1'b0}), - .B({p_0_in[15],p_0_in[15],p_0_in}), - .BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .BCOUT(NLW_inp_mul_BCOUT_UNCONNECTED[17:0]), - .C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CARRYCASCIN(1'b0), - .CARRYCASCOUT(NLW_inp_mul_CARRYCASCOUT_UNCONNECTED), - .CARRYIN(1'b0), - .CARRYINSEL({1'b0,1'b0,1'b0}), - .CARRYOUT(NLW_inp_mul_CARRYOUT_UNCONNECTED[3:0]), - .CEA1(1'b0), - .CEA2(1'b0), - .CEAD(1'b0), - .CEALUMODE(1'b0), - .CEB1(1'b0), - .CEB2(ce), - .CEC(1'b0), - .CECARRYIN(1'b0), - .CECTRL(1'b0), - .CED(1'b0), - .CEINMODE(1'b0), - .CEM(1'b0), - .CEP(1'b0), - .CLK(clk), - .D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}), - .MULTSIGNIN(1'b0), - .MULTSIGNOUT(NLW_inp_mul_MULTSIGNOUT_UNCONNECTED), - .OPMODE({1'b0,1'b0,1'b0,1'b0,1'b1,1'b0,1'b1}), - .OVERFLOW(NLW_inp_mul_OVERFLOW_UNCONNECTED), - .P({inp_mul_n_58,inp_mul_n_59,inp_mul_n_60,inp_mul_n_61,inp_mul_n_62,inp_mul_n_63,inp_mul_n_64,inp_mul_n_65,inp_mul_n_66,inp_mul_n_67,inp_mul_n_68,inp_mul_n_69,inp_mul_n_70,inp_mul_n_71,inp_mul_n_72,inp_mul_n_73,inp_mul_n_74,inp_mul_n_75,inp_mul_n_76,inp_mul_n_77,inp_mul_n_78,inp_mul_n_79,inp_mul_n_80,inp_mul_n_81,inp_mul_n_82,inp_mul_n_83,inp_mul_n_84,inp_mul_n_85,inp_mul_n_86,inp_mul_n_87,inp_mul_n_88,inp_mul_n_89,inp_mul_n_90,inp_mul_n_91,inp_mul_n_92,inp_mul_n_93,inp_mul_n_94,inp_mul_n_95,inp_mul_n_96,inp_mul_n_97,inp_mul_n_98,inp_mul_n_99,inp_mul_n_100,inp_mul_n_101,inp_mul_n_102,inp_mul_n_103,inp_mul_n_104,inp_mul_n_105}), - .PATTERNBDETECT(NLW_inp_mul_PATTERNBDETECT_UNCONNECTED), - .PATTERNDETECT(NLW_inp_mul_PATTERNDETECT_UNCONNECTED), - .PCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .PCOUT({inp_mul_n_106,inp_mul_n_107,inp_mul_n_108,inp_mul_n_109,inp_mul_n_110,inp_mul_n_111,inp_mul_n_112,inp_mul_n_113,inp_mul_n_114,inp_mul_n_115,inp_mul_n_116,inp_mul_n_117,inp_mul_n_118,inp_mul_n_119,inp_mul_n_120,inp_mul_n_121,inp_mul_n_122,inp_mul_n_123,inp_mul_n_124,inp_mul_n_125,inp_mul_n_126,inp_mul_n_127,inp_mul_n_128,inp_mul_n_129,inp_mul_n_130,inp_mul_n_131,inp_mul_n_132,inp_mul_n_133,inp_mul_n_134,inp_mul_n_135,inp_mul_n_136,inp_mul_n_137,inp_mul_n_138,inp_mul_n_139,inp_mul_n_140,inp_mul_n_141,inp_mul_n_142,inp_mul_n_143,inp_mul_n_144,inp_mul_n_145,inp_mul_n_146,inp_mul_n_147,inp_mul_n_148,inp_mul_n_149,inp_mul_n_150,inp_mul_n_151,inp_mul_n_152,inp_mul_n_153}), - .RSTA(1'b0), - .RSTALLCARRYIN(1'b0), - .RSTALUMODE(1'b0), - .RSTB(1'b0), - .RSTC(1'b0), - .RSTCTRL(1'b0), - .RSTD(1'b0), - .RSTINMODE(1'b0), - .RSTM(1'b0), - .RSTP(1'b0), - .UNDERFLOW(NLW_inp_mul_UNDERFLOW_UNCONNECTED)); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-11 {cell *THIS*}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(1), - .BREG(1), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(1), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - inp_mul__0 - (.A({cx[39],cx[39],cx[39],cx[39],cx[39],cx[39],cx[39],cx[39:17]}), - .ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .ACOUT(NLW_inp_mul__0_ACOUT_UNCONNECTED[29:0]), - .ALUMODE({1'b0,1'b0,1'b0,1'b0}), - .B({p_0_in[15],p_0_in[15],p_0_in}), - .BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .BCOUT(NLW_inp_mul__0_BCOUT_UNCONNECTED[17:0]), - .C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CARRYCASCIN(1'b0), - .CARRYCASCOUT(NLW_inp_mul__0_CARRYCASCOUT_UNCONNECTED), - .CARRYIN(1'b0), - .CARRYINSEL({1'b0,1'b0,1'b0}), - .CARRYOUT(NLW_inp_mul__0_CARRYOUT_UNCONNECTED[3:0]), - .CEA1(1'b0), - .CEA2(1'b0), - .CEAD(1'b0), - .CEALUMODE(1'b0), - .CEB1(1'b0), - .CEB2(ce), - .CEC(1'b0), - .CECARRYIN(1'b0), - .CECTRL(1'b0), - .CED(1'b0), - .CEINMODE(1'b0), - .CEM(1'b0), - .CEP(1'b0), - .CLK(clk), - .D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}), - .MULTSIGNIN(1'b0), - .MULTSIGNOUT(NLW_inp_mul__0_MULTSIGNOUT_UNCONNECTED), - .OPMODE({1'b1,1'b0,1'b1,1'b0,1'b1,1'b0,1'b1}), - .OVERFLOW(NLW_inp_mul__0_OVERFLOW_UNCONNECTED), - .P({inp_mul__0_n_58,inp_mul__0_n_59,inp_mul__0_n_60,inp_mul__0_n_61,inp_mul__0_n_62,inp_mul__0_n_63,inp_mul__0_n_64,inp_mul__0_n_65,inp_mul__0_n_66,inp_mul__1,inp_mul__0_n_103,inp_mul__0_n_104,inp_mul__0_n_105}), - .PATTERNBDETECT(NLW_inp_mul__0_PATTERNBDETECT_UNCONNECTED), - .PATTERNDETECT(NLW_inp_mul__0_PATTERNDETECT_UNCONNECTED), - .PCIN({inp_mul_n_106,inp_mul_n_107,inp_mul_n_108,inp_mul_n_109,inp_mul_n_110,inp_mul_n_111,inp_mul_n_112,inp_mul_n_113,inp_mul_n_114,inp_mul_n_115,inp_mul_n_116,inp_mul_n_117,inp_mul_n_118,inp_mul_n_119,inp_mul_n_120,inp_mul_n_121,inp_mul_n_122,inp_mul_n_123,inp_mul_n_124,inp_mul_n_125,inp_mul_n_126,inp_mul_n_127,inp_mul_n_128,inp_mul_n_129,inp_mul_n_130,inp_mul_n_131,inp_mul_n_132,inp_mul_n_133,inp_mul_n_134,inp_mul_n_135,inp_mul_n_136,inp_mul_n_137,inp_mul_n_138,inp_mul_n_139,inp_mul_n_140,inp_mul_n_141,inp_mul_n_142,inp_mul_n_143,inp_mul_n_144,inp_mul_n_145,inp_mul_n_146,inp_mul_n_147,inp_mul_n_148,inp_mul_n_149,inp_mul_n_150,inp_mul_n_151,inp_mul_n_152,inp_mul_n_153}), - .PCOUT(NLW_inp_mul__0_PCOUT_UNCONNECTED[47:0]), - .RSTA(1'b0), - .RSTALLCARRYIN(1'b0), - .RSTALUMODE(1'b0), - .RSTB(1'b0), - .RSTC(1'b0), - .RSTCTRL(1'b0), - .RSTD(1'b0), - .RSTINMODE(1'b0), - .RSTM(1'b0), - .RSTP(1'b0), - .UNDERFLOW(NLW_inp_mul__0_UNDERFLOW_UNCONNECTED)); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_1 - (.I0(inp_m[15]), - .I1(input_l[15]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[15])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_10 - (.I0(inp_m[6]), - .I1(input_l[6]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[6])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_11 - (.I0(inp_m[5]), - .I1(input_l[5]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[5])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_12 - (.I0(inp_m[4]), - .I1(input_l[4]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[4])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_13 - (.I0(inp_m[3]), - .I1(input_l[3]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[3])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_14 - (.I0(inp_m[2]), - .I1(input_l[2]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[2])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_15 - (.I0(inp_m[1]), - .I1(input_l[1]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[1])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_16 - (.I0(inp_m[0]), - .I1(input_l[0]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[0])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_2 - (.I0(inp_m[14]), - .I1(input_l[14]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[14])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_3 - (.I0(inp_m[13]), - .I1(input_l[13]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[13])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_4 - (.I0(inp_m[12]), - .I1(input_l[12]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[12])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_5 - (.I0(inp_m[11]), - .I1(input_l[11]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[11])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_6 - (.I0(inp_m[10]), - .I1(input_l[10]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[10])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_7 - (.I0(inp_m[9]), - .I1(input_l[9]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[9])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_8 - (.I0(inp_m[8]), - .I1(input_l[8]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[8])); - LUT3 #( - .INIT(8'hAC)) - inp_mul_i_9 - (.I0(inp_m[7]), - .I1(input_l[7]), - .I2(ch_reg_rep_n_0), - .O(p_0_in[7])); - FDRE \out_l_reg[0] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[0] ), - .Q(\out_l_reg_n_0_[0] ), - .R(1'b0)); - FDRE \out_l_reg[10] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[10] ), - .Q(\out_l_reg_n_0_[10] ), - .R(1'b0)); - FDRE \out_l_reg[11] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[11] ), - .Q(\out_l_reg_n_0_[11] ), - .R(1'b0)); - FDRE \out_l_reg[12] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[12] ), - .Q(\out_l_reg_n_0_[12] ), - .R(1'b0)); - FDRE \out_l_reg[13] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[13] ), - .Q(\out_l_reg_n_0_[13] ), - .R(1'b0)); - FDRE \out_l_reg[14] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[14] ), - .Q(\out_l_reg_n_0_[14] ), - .R(1'b0)); - FDRE \out_l_reg[15] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[15] ), - .Q(\out_l_reg_n_0_[15] ), - .R(1'b0)); - FDRE \out_l_reg[1] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[1] ), - .Q(\out_l_reg_n_0_[1] ), - .R(1'b0)); - FDRE \out_l_reg[2] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[2] ), - .Q(\out_l_reg_n_0_[2] ), - .R(1'b0)); - FDRE \out_l_reg[3] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[3] ), - .Q(\out_l_reg_n_0_[3] ), - .R(1'b0)); - FDRE \out_l_reg[4] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[4] ), - .Q(\out_l_reg_n_0_[4] ), - .R(1'b0)); - FDRE \out_l_reg[5] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[5] ), - .Q(\out_l_reg_n_0_[5] ), - .R(1'b0)); - FDRE \out_l_reg[6] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[6] ), - .Q(\out_l_reg_n_0_[6] ), - .R(1'b0)); - FDRE \out_l_reg[7] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[7] ), - .Q(\out_l_reg_n_0_[7] ), - .R(1'b0)); - FDRE \out_l_reg[8] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[8] ), - .Q(\out_l_reg_n_0_[8] ), - .R(1'b0)); - FDRE \out_l_reg[9] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(\out_m_reg_n_0_[9] ), - .Q(\out_l_reg_n_0_[9] ), - .R(1'b0)); - FDSE \out_m_reg[0] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_70), - .Q(\out_m_reg_n_0_[0] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[10] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_60), - .Q(\out_m_reg_n_0_[10] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[11] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_59), - .Q(\out_m_reg_n_0_[11] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[12] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_58), - .Q(\out_m_reg_n_0_[12] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[13] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_57), - .Q(\out_m_reg_n_0_[13] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[14] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_56), - .Q(\out_m_reg_n_0_[14] ), - .S(iir_tap_0_n_12)); - FDRE \out_m_reg[15] - (.C(clk), - .CE(1'b1), - .D(iir_tap_0_n_13), - .Q(\out_m_reg_n_0_[15] ), - .R(1'b0)); - FDSE \out_m_reg[1] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_69), - .Q(\out_m_reg_n_0_[1] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[2] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_68), - .Q(\out_m_reg_n_0_[2] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[3] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_67), - .Q(\out_m_reg_n_0_[3] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[4] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_66), - .Q(\out_m_reg_n_0_[4] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[5] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_65), - .Q(\out_m_reg_n_0_[5] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[6] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_64), - .Q(\out_m_reg_n_0_[6] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[7] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_63), - .Q(\out_m_reg_n_0_[7] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[8] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_62), - .Q(\out_m_reg_n_0_[8] ), - .S(iir_tap_0_n_12)); - FDSE \out_m_reg[9] - (.C(clk), - .CE(out_m), - .D(iir_tap_0_n_61), - .Q(\out_m_reg_n_0_[9] ), - .S(iir_tap_0_n_12)); - LUT1 #( - .INIT(2'h2)) - \out_r[15]_i_1 - (.I0(p_0_in__0), - .O(y_clamp)); - FDSE \out_r_reg[0] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_70), - .Q(out_r[0]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[10] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_60), - .Q(out_r[10]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[11] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_59), - .Q(out_r[11]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[12] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_58), - .Q(out_r[12]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[13] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_57), - .Q(out_r[13]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[14] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_56), - .Q(out_r[14]), - .S(iir_tap_0_n_11)); - FDRE \out_r_reg[15] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(y_clamp), - .Q(out_r[15]), - .R(1'b0)); - FDSE \out_r_reg[1] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_69), - .Q(out_r[1]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[2] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_68), - .Q(out_r[2]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[3] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_67), - .Q(out_r[3]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[4] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_66), - .Q(out_r[4]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[5] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_65), - .Q(out_r[5]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[6] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_64), - .Q(out_r[6]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[7] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_63), - .Q(out_r[7]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[8] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_62), - .Q(out_r[8]), - .S(iir_tap_0_n_11)); - FDSE \out_r_reg[9] - (.C(clk), - .CE(iir_tap_2_n_82), - .D(iir_tap_0_n_61), - .Q(out_r[9]), - .S(iir_tap_0_n_11)); - FDRE \out_reg[0] - (.C(clk), - .CE(sample_ce), - .D(out_r[0]), - .Q(Q[0]), - .R(1'b0)); - FDRE \out_reg[10] - (.C(clk), - .CE(sample_ce), - .D(out_r[10]), - .Q(Q[10]), - .R(1'b0)); - FDRE \out_reg[11] - (.C(clk), - .CE(sample_ce), - .D(out_r[11]), - .Q(Q[11]), - .R(1'b0)); - FDRE \out_reg[12] - (.C(clk), - .CE(sample_ce), - .D(out_r[12]), - .Q(Q[12]), - .R(1'b0)); - FDRE \out_reg[13] - (.C(clk), - .CE(sample_ce), - .D(out_r[13]), - .Q(Q[13]), - .R(1'b0)); - FDRE \out_reg[14] - (.C(clk), - .CE(sample_ce), - .D(out_r[14]), - .Q(Q[14]), - .R(1'b0)); - FDRE \out_reg[15] - (.C(clk), - .CE(sample_ce), - .D(out_r[15]), - .Q(Q[15]), - .R(1'b0)); - FDRE \out_reg[16] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[0] ), - .Q(Q[16]), - .R(1'b0)); - FDRE \out_reg[17] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[1] ), - .Q(Q[17]), - .R(1'b0)); - FDRE \out_reg[18] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[2] ), - .Q(Q[18]), - .R(1'b0)); - FDRE \out_reg[19] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[3] ), - .Q(Q[19]), - .R(1'b0)); - FDRE \out_reg[1] - (.C(clk), - .CE(sample_ce), - .D(out_r[1]), - .Q(Q[1]), - .R(1'b0)); - FDRE \out_reg[20] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[4] ), - .Q(Q[20]), - .R(1'b0)); - FDRE \out_reg[21] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[5] ), - .Q(Q[21]), - .R(1'b0)); - FDRE \out_reg[22] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[6] ), - .Q(Q[22]), - .R(1'b0)); - FDRE \out_reg[23] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[7] ), - .Q(Q[23]), - .R(1'b0)); - FDRE \out_reg[24] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[8] ), - .Q(Q[24]), - .R(1'b0)); - FDRE \out_reg[25] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[9] ), - .Q(Q[25]), - .R(1'b0)); - FDRE \out_reg[26] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[10] ), - .Q(Q[26]), - .R(1'b0)); - FDRE \out_reg[27] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[11] ), - .Q(Q[27]), - .R(1'b0)); - FDRE \out_reg[28] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[12] ), - .Q(Q[28]), - .R(1'b0)); - FDRE \out_reg[29] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[13] ), - .Q(Q[29]), - .R(1'b0)); - FDRE \out_reg[2] - (.C(clk), - .CE(sample_ce), - .D(out_r[2]), - .Q(Q[2]), - .R(1'b0)); - FDRE \out_reg[30] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[14] ), - .Q(Q[30]), - .R(1'b0)); - FDRE \out_reg[31] - (.C(clk), - .CE(sample_ce), - .D(\out_l_reg_n_0_[15] ), - .Q(Q[31]), - .R(1'b0)); - FDRE \out_reg[3] - (.C(clk), - .CE(sample_ce), - .D(out_r[3]), - .Q(Q[3]), - .R(1'b0)); - FDRE \out_reg[4] - (.C(clk), - .CE(sample_ce), - .D(out_r[4]), - .Q(Q[4]), - .R(1'b0)); - FDRE \out_reg[5] - (.C(clk), - .CE(sample_ce), - .D(out_r[5]), - .Q(Q[5]), - .R(1'b0)); - FDRE \out_reg[6] - (.C(clk), - .CE(sample_ce), - .D(out_r[6]), - .Q(Q[6]), - .R(1'b0)); - FDRE \out_reg[7] - (.C(clk), - .CE(sample_ce), - .D(out_r[7]), - .Q(Q[7]), - .R(1'b0)); - FDRE \out_reg[8] - (.C(clk), - .CE(sample_ce), - .D(out_r[8]), - .Q(Q[8]), - .R(1'b0)); - FDRE \out_reg[9] - (.C(clk), - .CE(sample_ce), - .D(out_r[9]), - .Q(Q[9]), - .R(1'b0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry - (.CI(1'b0), - .CO({y_carry_n_0,y_carry_n_1,y_carry_n_2,y_carry_n_3}), - .CYINIT(1'b0), - .DI(inp_mul__1[23:20]), - .O({y_carry_n_4,y_carry_n_5,y_carry_n_6,y_carry_n_7}), - .S({iir_tap_0_n_119,iir_tap_0_n_120,iir_tap_0_n_121,iir_tap_0_n_122})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__0 - (.CI(y_carry_n_0), - .CO({y_carry__0_n_0,y_carry__0_n_1,y_carry__0_n_2,y_carry__0_n_3}), - .CYINIT(1'b0), - .DI(inp_mul__1[27:24]), - .O({y_carry__0_n_4,y_carry__0_n_5,y_carry__0_n_6,y_carry__0_n_7}), - .S({iir_tap_0_n_123,iir_tap_0_n_124,iir_tap_0_n_125,iir_tap_0_n_126})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__1 - (.CI(y_carry__0_n_0), - .CO({y_carry__1_n_0,y_carry__1_n_1,y_carry__1_n_2,y_carry__1_n_3}), - .CYINIT(1'b0), - .DI(inp_mul__1[31:28]), - .O({y_carry__1_n_4,y_carry__1_n_5,y_carry__1_n_6,y_carry__1_n_7}), - .S({iir_tap_0_n_127,iir_tap_0_n_128,iir_tap_0_n_129,iir_tap_0_n_130})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__2 - (.CI(y_carry__1_n_0), - .CO({y_carry__2_n_0,y_carry__2_n_1,y_carry__2_n_2,y_carry__2_n_3}), - .CYINIT(1'b0), - .DI(inp_mul__1[35:32]), - .O({y_carry__2_n_4,y_carry__2_n_5,y_carry__2_n_6,y_carry__2_n_7}), - .S({iir_tap_0_n_131,iir_tap_0_n_132,iir_tap_0_n_133,iir_tap_0_n_134})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__3 - (.CI(y_carry__2_n_0), - .CO({y_carry__3_n_0,y_carry__3_n_1,y_carry__3_n_2,y_carry__3_n_3}), - .CYINIT(1'b0), - .DI(inp_mul__1[39:36]), - .O({y_carry__3_n_4,y_carry__3_n_5,y_carry__3_n_6,y_carry__3_n_7}), - .S({iir_tap_0_n_135,iir_tap_0_n_136,iir_tap_0_n_137,iir_tap_0_n_138})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__4 - (.CI(y_carry__3_n_0), - .CO({y_carry__4_n_0,y_carry__4_n_1,y_carry__4_n_2,y_carry__4_n_3}), - .CYINIT(1'b0), - .DI(inp_mul__1[43:40]), - .O(p_1_in__0[3:0]), - .S({iir_tap_0_n_139,iir_tap_0_n_140,iir_tap_0_n_141,iir_tap_0_n_142})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__5 - (.CI(y_carry__4_n_0), - .CO({y_carry__5_n_0,y_carry__5_n_1,y_carry__5_n_2,y_carry__5_n_3}), - .CYINIT(1'b0), - .DI(inp_mul__1[47:44]), - .O(p_1_in__0[7:4]), - .S({iir_tap_0_n_143,iir_tap_0_n_144,iir_tap_0_n_145,iir_tap_0_n_146})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__6 - (.CI(y_carry__5_n_0), - .CO({y_carry__6_n_0,y_carry__6_n_1,y_carry__6_n_2,y_carry__6_n_3}), - .CYINIT(1'b0), - .DI(inp_mul__1[51:48]), - .O(p_1_in__0[11:8]), - .S({iir_tap_0_n_147,iir_tap_0_n_148,iir_tap_0_n_149,iir_tap_0_n_150})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__7 - (.CI(y_carry__6_n_0), - .CO({y_carry__7_n_0,y_carry__7_n_1,y_carry__7_n_2,y_carry__7_n_3}), - .CYINIT(1'b0), - .DI({iir_tap_0_n_71,inp_mul__1[54:52]}), - .O({p_1_in,p_1_in__0[13:12]}), - .S({iir_tap_0_n_3,iir_tap_0_n_4,iir_tap_0_n_5,iir_tap_0_n_6})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 y_carry__8 - (.CI(y_carry__7_n_0), - .CO({NLW_y_carry__8_CO_UNCONNECTED[3],y_carry__8_n_1,y_carry__8_n_2,y_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,tap0}), - .O({p_0_in__0,y_carry__8_n_5,y_carry__8_n_6,y_carry__8_n_7}), - .S({iir_tap_0_n_7,iir_tap_0_n_8,iir_tap_0_n_9,iir_tap_0_n_10})); -endmodule - -(* ORIG_REF_NAME = "iir_filter_tap" *) -module audio_IIR_filter_0_0_iir_filter_tap - (P, - O, - ch_reg_rep, - \intreg_reg[0][38]_0 , - inp_mul__0, - inp_mul__0_0, - \out_m_reg[15] , - \cy1[5] , - \cy1[9] , - \cy1[13] , - \cy1[17] , - \cy1[20] , - \cy1[22] , - \cy2[5] , - \cy2[9] , - \cy2[13] , - \cy2[17] , - \cy2[20] , - \cy2[22] , - inp_mul__0_1, - inp_mul__0_2, - inp_mul__0_3, - inp_mul__0_4, - inp_mul__0_5, - inp_mul__0_6, - inp_mul__0_7, - inp_mul__0_8, - inp_mul__0_9, - inp_mul__0_10, - inp_mul__0_11, - inp_mul__0_12, - inp_mul__0_13, - inp_mul__0_14, - inp_mul__0_15, - ch_reg_rep_0, - \intreg_reg[1][37]_0 , - \cy1[22]_0 , - \cy1[2] , - \cy1[6] , - \cy1[10] , - \cy1[14] , - \cy1[18] , - \cy1[23] , - \cy2[22]_0 , - \cy2[2] , - \cy2[6] , - \cy2[10] , - \cy2[14] , - \cy2[18] , - \cy2[23] , - inp_mul__0_16, - inp_mul__0_17, - inp_mul__0_18, - inp_mul__0_19, - inp_mul__0_20, - inp_mul__0_21, - inp_mul__0_22, - inp_mul__0_23, - B, - cy0, - y_mul__0_0, - DI, - S, - \out_r_reg[0] , - \out_r_reg[0]_0 , - Q, - p_4_out_carry__8_i_4_0, - ch, - cx0, - \intreg_reg[1][15]_0 , - y_mul__0_1, - E, - out_m, - D, - tap1, - cy1, - cy2, - y_mul__1_carry__4_0, - y_mul__1_carry__4_1, - y_mul__1_carry_0, - y_mul__1_carry__4_2, - y_mul__1_carry__4_3, - y_mul__1_carry_1, - clk, - reset); - output [1:0]P; - output [0:0]O; - output [3:0]ch_reg_rep; - output [3:0]\intreg_reg[0][38]_0 ; - output inp_mul__0; - output inp_mul__0_0; - output \out_m_reg[15] ; - output [3:0]\cy1[5] ; - output [3:0]\cy1[9] ; - output [3:0]\cy1[13] ; - output [3:0]\cy1[17] ; - output [2:0]\cy1[20] ; - output [1:0]\cy1[22] ; - output [3:0]\cy2[5] ; - output [3:0]\cy2[9] ; - output [3:0]\cy2[13] ; - output [3:0]\cy2[17] ; - output [2:0]\cy2[20] ; - output [1:0]\cy2[22] ; - output inp_mul__0_1; - output inp_mul__0_2; - output inp_mul__0_3; - output inp_mul__0_4; - output inp_mul__0_5; - output inp_mul__0_6; - output inp_mul__0_7; - output inp_mul__0_8; - output inp_mul__0_9; - output inp_mul__0_10; - output inp_mul__0_11; - output inp_mul__0_12; - output inp_mul__0_13; - output inp_mul__0_14; - output inp_mul__0_15; - output [0:0]ch_reg_rep_0; - output [2:0]\intreg_reg[1][37]_0 ; - output [3:0]\cy1[22]_0 ; - output [0:0]\cy1[2] ; - output [3:0]\cy1[6] ; - output [3:0]\cy1[10] ; - output [3:0]\cy1[14] ; - output [3:0]\cy1[18] ; - output [0:0]\cy1[23] ; - output [3:0]\cy2[22]_0 ; - output [0:0]\cy2[2] ; - output [3:0]\cy2[6] ; - output [3:0]\cy2[10] ; - output [3:0]\cy2[14] ; - output [3:0]\cy2[18] ; - output [0:0]\cy2[23] ; - output [3:0]inp_mul__0_16; - output [3:0]inp_mul__0_17; - output [3:0]inp_mul__0_18; - output [3:0]inp_mul__0_19; - output [3:0]inp_mul__0_20; - output [3:0]inp_mul__0_21; - output [3:0]inp_mul__0_22; - output [3:0]inp_mul__0_23; - input [16:0]B; - input [23:0]cy0; - input [16:0]y_mul__0_0; - input [1:0]DI; - input [0:0]S; - input [3:0]\out_r_reg[0] ; - input [1:0]\out_r_reg[0]_0 ; - input [38:0]Q; - input [38:0]p_4_out_carry__8_i_4_0; - input ch; - input [3:0]cx0; - input \intreg_reg[1][15]_0 ; - input [35:0]y_mul__0_1; - input [0:0]E; - input out_m; - input [0:0]D; - input [36:0]tap1; - input [22:0]cy1; - input [22:0]cy2; - input [0:0]y_mul__1_carry__4_0; - input y_mul__1_carry__4_1; - input [0:0]y_mul__1_carry_0; - input [0:0]y_mul__1_carry__4_2; - input y_mul__1_carry__4_3; - input [0:0]y_mul__1_carry_1; - input clk; - input reset; - - wire [16:0]B; - wire [0:0]D; - wire [1:0]DI; - wire [0:0]E; - wire [0:0]O; - wire [1:0]P; - wire [38:0]Q; - wire [0:0]S; - wire ch; - wire [3:0]ch_reg_rep; - wire [0:0]ch_reg_rep_0; - wire clk; - wire [3:0]cx0; - wire [23:0]cy0; - wire [22:0]cy1; - wire [3:0]\cy1[10] ; - wire [3:0]\cy1[13] ; - wire [3:0]\cy1[14] ; - wire [3:0]\cy1[17] ; - wire [3:0]\cy1[18] ; - wire [2:0]\cy1[20] ; - wire [1:0]\cy1[22] ; - wire [3:0]\cy1[22]_0 ; - wire [0:0]\cy1[23] ; - wire [0:0]\cy1[2] ; - wire [3:0]\cy1[5] ; - wire [3:0]\cy1[6] ; - wire [3:0]\cy1[9] ; - wire [22:0]cy2; - wire [3:0]\cy2[10] ; - wire [3:0]\cy2[13] ; - wire [3:0]\cy2[14] ; - wire [3:0]\cy2[17] ; - wire [3:0]\cy2[18] ; - wire [2:0]\cy2[20] ; - wire [1:0]\cy2[22] ; - wire [3:0]\cy2[22]_0 ; - wire [0:0]\cy2[23] ; - wire [0:0]\cy2[2] ; - wire [3:0]\cy2[5] ; - wire [3:0]\cy2[6] ; - wire [3:0]\cy2[9] ; - wire i__carry__0_i_1_n_0; - wire i__carry__0_i_2_n_0; - wire i__carry__0_i_3_n_0; - wire i__carry__0_i_4_n_0; - wire i__carry__1_i_1_n_0; - wire i__carry__1_i_2_n_0; - wire i__carry__1_i_3_n_0; - wire i__carry__1_i_4_n_0; - wire i__carry__2_i_1_n_0; - wire i__carry__2_i_2_n_0; - wire i__carry__2_i_3_n_0; - wire i__carry__2_i_4_n_0; - wire i__carry__3_i_1_n_0; - wire i__carry__3_i_2_n_0; - wire i__carry__3_i_3_n_0; - wire i__carry__3_i_4_n_0; - wire i__carry__4_i_1_n_0; - wire i__carry__4_i_2_n_0; - wire i__carry__4_i_3_n_0; - wire i__carry__4_i_4_n_0; - wire i__carry__5_i_1_n_0; - wire i__carry__5_i_2_n_0; - wire i__carry__5_i_3_n_0; - wire i__carry__5_i_4_n_0; - wire i__carry_i_1_n_0; - wire i__carry_i_2_n_0; - wire i__carry_i_3_n_0; - wire inp_mul__0; - wire inp_mul__0_0; - wire inp_mul__0_1; - wire inp_mul__0_10; - wire inp_mul__0_11; - wire inp_mul__0_12; - wire inp_mul__0_13; - wire inp_mul__0_14; - wire inp_mul__0_15; - wire [3:0]inp_mul__0_16; - wire [3:0]inp_mul__0_17; - wire [3:0]inp_mul__0_18; - wire [3:0]inp_mul__0_19; - wire inp_mul__0_2; - wire [3:0]inp_mul__0_20; - wire [3:0]inp_mul__0_21; - wire [3:0]inp_mul__0_22; - wire [3:0]inp_mul__0_23; - wire inp_mul__0_3; - wire inp_mul__0_4; - wire inp_mul__0_5; - wire inp_mul__0_6; - wire inp_mul__0_7; - wire inp_mul__0_8; - wire inp_mul__0_9; - wire [3:0]\intreg_reg[0][38]_0 ; - wire [39:0]\intreg_reg[0]_0 ; - wire \intreg_reg[1][15]_0 ; - wire [2:0]\intreg_reg[1][37]_0 ; - wire [39:0]\intreg_reg[1]_1 ; - wire out_m; - wire \out_m_reg[15] ; - wire [3:0]\out_r_reg[0] ; - wire [1:0]\out_r_reg[0]_0 ; - wire [39:0]p_4_out; - wire p_4_out_carry__0_i_1_n_0; - wire p_4_out_carry__0_i_2_n_0; - wire p_4_out_carry__0_i_3_n_0; - wire p_4_out_carry__0_i_4_n_0; - wire p_4_out_carry__0_i_5_n_0; - wire p_4_out_carry__0_i_6_n_0; - wire p_4_out_carry__0_i_7_n_0; - wire p_4_out_carry__0_i_8_n_0; - wire p_4_out_carry__0_n_0; - wire p_4_out_carry__0_n_1; - wire p_4_out_carry__0_n_2; - wire p_4_out_carry__0_n_3; - wire p_4_out_carry__1_i_1_n_0; - wire p_4_out_carry__1_i_2_n_0; - wire p_4_out_carry__1_i_3_n_0; - wire p_4_out_carry__1_i_4_n_0; - wire p_4_out_carry__1_i_5_n_0; - wire p_4_out_carry__1_i_6_n_0; - wire p_4_out_carry__1_i_7_n_0; - wire p_4_out_carry__1_i_8_n_0; - wire p_4_out_carry__1_n_0; - wire p_4_out_carry__1_n_1; - wire p_4_out_carry__1_n_2; - wire p_4_out_carry__1_n_3; - wire p_4_out_carry__2_i_1_n_0; - wire p_4_out_carry__2_i_2_n_0; - wire p_4_out_carry__2_i_3_n_0; - wire p_4_out_carry__2_i_4_n_0; - wire p_4_out_carry__2_i_5_n_0; - wire p_4_out_carry__2_i_6_n_0; - wire p_4_out_carry__2_i_7_n_0; - wire p_4_out_carry__2_i_8_n_0; - wire p_4_out_carry__2_n_0; - wire p_4_out_carry__2_n_1; - wire p_4_out_carry__2_n_2; - wire p_4_out_carry__2_n_3; - wire p_4_out_carry__3_i_1_n_0; - wire p_4_out_carry__3_i_2_n_0; - wire p_4_out_carry__3_i_3_n_0; - wire p_4_out_carry__3_i_4_n_0; - wire p_4_out_carry__3_i_5_n_0; - wire p_4_out_carry__3_i_6_n_0; - wire p_4_out_carry__3_i_7_n_0; - wire p_4_out_carry__3_i_8_n_0; - wire p_4_out_carry__3_n_0; - wire p_4_out_carry__3_n_1; - wire p_4_out_carry__3_n_2; - wire p_4_out_carry__3_n_3; - wire p_4_out_carry__4_i_1_n_0; - wire p_4_out_carry__4_i_2_n_0; - wire p_4_out_carry__4_i_3_n_0; - wire p_4_out_carry__4_i_4_n_0; - wire p_4_out_carry__4_i_5_n_0; - wire p_4_out_carry__4_i_6_n_0; - wire p_4_out_carry__4_i_7_n_0; - wire p_4_out_carry__4_i_8_n_0; - wire p_4_out_carry__4_n_0; - wire p_4_out_carry__4_n_1; - wire p_4_out_carry__4_n_2; - wire p_4_out_carry__4_n_3; - wire p_4_out_carry__5_i_1_n_0; - wire p_4_out_carry__5_i_2_n_0; - wire p_4_out_carry__5_i_3_n_0; - wire p_4_out_carry__5_i_4_n_0; - wire p_4_out_carry__5_i_5_n_0; - wire p_4_out_carry__5_i_6_n_0; - wire p_4_out_carry__5_i_7_n_0; - wire p_4_out_carry__5_i_8_n_0; - wire p_4_out_carry__5_n_0; - wire p_4_out_carry__5_n_1; - wire p_4_out_carry__5_n_2; - wire p_4_out_carry__5_n_3; - wire p_4_out_carry__6_i_1_n_0; - wire p_4_out_carry__6_i_2_n_0; - wire p_4_out_carry__6_i_3_n_0; - wire p_4_out_carry__6_i_4_n_0; - wire p_4_out_carry__6_i_5_n_0; - wire p_4_out_carry__6_i_6_n_0; - wire p_4_out_carry__6_i_7_n_0; - wire p_4_out_carry__6_i_8_n_0; - wire p_4_out_carry__6_n_0; - wire p_4_out_carry__6_n_1; - wire p_4_out_carry__6_n_2; - wire p_4_out_carry__6_n_3; - wire p_4_out_carry__7_i_1_n_0; - wire p_4_out_carry__7_i_2_n_0; - wire p_4_out_carry__7_i_3_n_0; - wire p_4_out_carry__7_i_4_n_0; - wire p_4_out_carry__7_i_5_n_0; - wire p_4_out_carry__7_i_6_n_0; - wire p_4_out_carry__7_i_7_n_0; - wire p_4_out_carry__7_i_8_n_0; - wire p_4_out_carry__7_n_0; - wire p_4_out_carry__7_n_1; - wire p_4_out_carry__7_n_2; - wire p_4_out_carry__7_n_3; - wire p_4_out_carry__8_i_1_n_0; - wire p_4_out_carry__8_i_2_n_0; - wire p_4_out_carry__8_i_3_n_0; - wire [38:0]p_4_out_carry__8_i_4_0; - wire p_4_out_carry__8_i_4_n_0; - wire p_4_out_carry__8_i_5_n_0; - wire p_4_out_carry__8_i_6_n_0; - wire p_4_out_carry__8_i_7_n_0; - wire p_4_out_carry__8_i_9_n_0; - wire p_4_out_carry__8_n_1; - wire p_4_out_carry__8_n_2; - wire p_4_out_carry__8_n_3; - wire p_4_out_carry_i_1_n_0; - wire p_4_out_carry_i_2_n_0; - wire p_4_out_carry_i_5_n_0; - wire p_4_out_carry_i_6_n_0; - wire p_4_out_carry_i_8_n_0; - wire p_4_out_carry_n_0; - wire p_4_out_carry_n_1; - wire p_4_out_carry_n_2; - wire p_4_out_carry_n_3; - wire reset; - wire [36:0]tap1; - wire x_mul1__0_carry__0_i_10__1_n_0; - wire x_mul1__0_carry__0_i_11__1_n_0; - wire x_mul1__0_carry__0_i_12__1_n_0; - wire x_mul1__0_carry__0_i_1_n_0; - wire x_mul1__0_carry__0_i_2_n_0; - wire x_mul1__0_carry__0_i_3_n_0; - wire x_mul1__0_carry__0_i_4_n_0; - wire x_mul1__0_carry__0_i_5_n_0; - wire x_mul1__0_carry__0_i_6_n_0; - wire x_mul1__0_carry__0_i_7_n_0; - wire x_mul1__0_carry__0_i_8_n_0; - wire x_mul1__0_carry__0_i_9__1_n_0; - wire x_mul1__0_carry__0_n_0; - wire x_mul1__0_carry__0_n_1; - wire x_mul1__0_carry__0_n_2; - wire x_mul1__0_carry__0_n_3; - wire x_mul1__0_carry__1_i_10__1_n_0; - wire x_mul1__0_carry__1_i_11__1_n_0; - wire x_mul1__0_carry__1_i_12__1_n_0; - wire x_mul1__0_carry__1_i_1_n_0; - wire x_mul1__0_carry__1_i_2_n_0; - wire x_mul1__0_carry__1_i_3_n_0; - wire x_mul1__0_carry__1_i_4_n_0; - wire x_mul1__0_carry__1_i_5_n_0; - wire x_mul1__0_carry__1_i_6_n_0; - wire x_mul1__0_carry__1_i_7_n_0; - wire x_mul1__0_carry__1_i_8_n_0; - wire x_mul1__0_carry__1_i_9__1_n_0; - wire x_mul1__0_carry__1_n_0; - wire x_mul1__0_carry__1_n_1; - wire x_mul1__0_carry__1_n_2; - wire x_mul1__0_carry__1_n_3; - wire x_mul1__0_carry__2_i_10__1_n_0; - wire x_mul1__0_carry__2_i_11__1_n_0; - wire x_mul1__0_carry__2_i_12__1_n_0; - wire x_mul1__0_carry__2_i_1_n_0; - wire x_mul1__0_carry__2_i_2_n_0; - wire x_mul1__0_carry__2_i_3_n_0; - wire x_mul1__0_carry__2_i_4_n_0; - wire x_mul1__0_carry__2_i_5_n_0; - wire x_mul1__0_carry__2_i_6_n_0; - wire x_mul1__0_carry__2_i_7_n_0; - wire x_mul1__0_carry__2_i_8_n_0; - wire x_mul1__0_carry__2_i_9__1_n_0; - wire x_mul1__0_carry__2_n_0; - wire x_mul1__0_carry__2_n_1; - wire x_mul1__0_carry__2_n_2; - wire x_mul1__0_carry__2_n_3; - wire x_mul1__0_carry__3_i_10__1_n_0; - wire x_mul1__0_carry__3_i_11__1_n_0; - wire x_mul1__0_carry__3_i_12__1_n_0; - wire x_mul1__0_carry__3_i_1_n_0; - wire x_mul1__0_carry__3_i_2_n_0; - wire x_mul1__0_carry__3_i_3_n_0; - wire x_mul1__0_carry__3_i_4_n_0; - wire x_mul1__0_carry__3_i_5_n_0; - wire x_mul1__0_carry__3_i_6_n_0; - wire x_mul1__0_carry__3_i_7_n_0; - wire x_mul1__0_carry__3_i_8_n_0; - wire x_mul1__0_carry__3_i_9__1_n_0; - wire x_mul1__0_carry__3_n_0; - wire x_mul1__0_carry__3_n_1; - wire x_mul1__0_carry__3_n_2; - wire x_mul1__0_carry__3_n_3; - wire x_mul1__0_carry__4_i_10__1_n_0; - wire x_mul1__0_carry__4_i_11__1_n_0; - wire x_mul1__0_carry__4_i_12__1_n_0; - wire x_mul1__0_carry__4_i_1_n_0; - wire x_mul1__0_carry__4_i_2_n_0; - wire x_mul1__0_carry__4_i_3_n_0; - wire x_mul1__0_carry__4_i_4_n_0; - wire x_mul1__0_carry__4_i_5_n_0; - wire x_mul1__0_carry__4_i_6_n_0; - wire x_mul1__0_carry__4_i_7_n_0; - wire x_mul1__0_carry__4_i_8_n_0; - wire x_mul1__0_carry__4_i_9__1_n_0; - wire x_mul1__0_carry__4_n_0; - wire x_mul1__0_carry__4_n_1; - wire x_mul1__0_carry__4_n_2; - wire x_mul1__0_carry__4_n_3; - wire x_mul1__0_carry__5_i_10__1_n_0; - wire x_mul1__0_carry__5_i_11__1_n_0; - wire x_mul1__0_carry__5_i_12__1_n_0; - wire x_mul1__0_carry__5_i_1_n_0; - wire x_mul1__0_carry__5_i_2_n_0; - wire x_mul1__0_carry__5_i_3_n_0; - wire x_mul1__0_carry__5_i_4_n_0; - wire x_mul1__0_carry__5_i_5_n_0; - wire x_mul1__0_carry__5_i_6_n_0; - wire x_mul1__0_carry__5_i_7_n_0; - wire x_mul1__0_carry__5_i_8_n_0; - wire x_mul1__0_carry__5_i_9__1_n_0; - wire x_mul1__0_carry__5_n_0; - wire x_mul1__0_carry__5_n_1; - wire x_mul1__0_carry__5_n_2; - wire x_mul1__0_carry__5_n_3; - wire x_mul1__0_carry__6_i_10__1_n_0; - wire x_mul1__0_carry__6_i_11__1_n_0; - wire x_mul1__0_carry__6_i_12__1_n_0; - wire x_mul1__0_carry__6_i_1_n_0; - wire x_mul1__0_carry__6_i_2_n_0; - wire x_mul1__0_carry__6_i_3_n_0; - wire x_mul1__0_carry__6_i_4_n_0; - wire x_mul1__0_carry__6_i_5_n_0; - wire x_mul1__0_carry__6_i_6_n_0; - wire x_mul1__0_carry__6_i_7_n_0; - wire x_mul1__0_carry__6_i_8_n_0; - wire x_mul1__0_carry__6_n_0; - wire x_mul1__0_carry__6_n_1; - wire x_mul1__0_carry__6_n_2; - wire x_mul1__0_carry__6_n_3; - wire x_mul1__0_carry__7_i_1_n_0; - wire x_mul1__0_carry__7_i_2_n_0; - wire x_mul1__0_carry__7_i_3_n_0; - wire x_mul1__0_carry__7_i_4_n_0; - wire x_mul1__0_carry__7_i_5_n_0; - wire x_mul1__0_carry__7_i_6_n_0; - wire x_mul1__0_carry__7_i_7_n_0; - wire x_mul1__0_carry__7_n_0; - wire x_mul1__0_carry__7_n_1; - wire x_mul1__0_carry__7_n_2; - wire x_mul1__0_carry__7_n_3; - wire x_mul1__0_carry__8_i_1_n_0; - wire x_mul1__0_carry__8_i_2_n_0; - wire x_mul1__0_carry__8_i_3_n_0; - wire x_mul1__0_carry__8_i_4_n_0; - wire x_mul1__0_carry__8_n_1; - wire x_mul1__0_carry__8_n_2; - wire x_mul1__0_carry__8_n_3; - wire x_mul1__0_carry_i_10__1_n_0; - wire x_mul1__0_carry_i_1_n_0; - wire x_mul1__0_carry_i_2_n_0; - wire x_mul1__0_carry_i_3_n_0; - wire x_mul1__0_carry_i_4_n_0; - wire x_mul1__0_carry_i_5_n_0; - wire x_mul1__0_carry_i_6_n_0; - wire x_mul1__0_carry_i_7_n_0; - wire x_mul1__0_carry_i_8__1_n_0; - wire x_mul1__0_carry_i_9__1_n_0; - wire x_mul1__0_carry_n_0; - wire x_mul1__0_carry_n_1; - wire x_mul1__0_carry_n_2; - wire x_mul1__0_carry_n_3; - wire [39:0]x_mul2_out; - wire [36:36]x_mul__39; - wire y_clamp1__0; - wire y_clamp21_out; - wire [16:0]y_mul__0_0; - wire [35:0]y_mul__0_1; - wire y_mul__0_n_102; - wire y_mul__0_n_103; - wire y_mul__0_n_104; - wire y_mul__0_n_105; - wire y_mul__0_n_62; - wire y_mul__0_n_63; - wire y_mul__0_n_64; - wire y_mul__0_n_65; - wire y_mul__0_n_66; - wire y_mul__0_n_67; - wire y_mul__0_n_68; - wire y_mul__0_n_69; - wire y_mul__0_n_70; - wire y_mul__0_n_71; - wire y_mul__0_n_72; - wire y_mul__0_n_73; - wire y_mul__0_n_74; - wire y_mul__0_n_75; - wire y_mul__0_n_76; - wire y_mul__0_n_77; - wire y_mul__0_n_78; - wire y_mul__0_n_79; - wire y_mul__0_n_80; - wire y_mul__0_n_81; - wire y_mul__0_n_82; - wire y_mul__0_n_83; - wire y_mul__0_n_84; - wire y_mul__0_n_85; - wire y_mul__0_n_86; - wire y_mul__0_n_87; - wire y_mul__0_n_88; - wire y_mul__0_n_89; - wire y_mul__0_n_90; - wire y_mul__0_n_91; - wire y_mul__0_n_92; - wire y_mul__0_n_93; - wire y_mul__0_n_94; - wire y_mul__0_n_95; - wire y_mul__0_n_96; - wire y_mul__0_n_97; - wire y_mul__0_n_98; - wire y_mul__0_n_99; - wire [60:33]y_mul__1__0; - wire [0:0]y_mul__1_carry_0; - wire [0:0]y_mul__1_carry_1; - wire y_mul__1_carry__0_i_10__0_n_0; - wire y_mul__1_carry__0_i_10__1_n_0; - wire y_mul__1_carry__0_i_10_n_0; - wire y_mul__1_carry__0_i_11__0_n_0; - wire y_mul__1_carry__0_i_11__1_n_0; - wire y_mul__1_carry__0_i_11_n_0; - wire y_mul__1_carry__0_i_12__0_n_0; - wire y_mul__1_carry__0_i_12__1_n_0; - wire y_mul__1_carry__0_i_12_n_0; - wire y_mul__1_carry__0_i_1_n_0; - wire y_mul__1_carry__0_i_2_n_0; - wire y_mul__1_carry__0_i_3_n_0; - wire y_mul__1_carry__0_i_4_n_0; - wire y_mul__1_carry__0_i_5_n_0; - wire y_mul__1_carry__0_i_6_n_0; - wire y_mul__1_carry__0_i_7_n_0; - wire y_mul__1_carry__0_i_8_n_0; - wire y_mul__1_carry__0_i_9__0_n_0; - wire y_mul__1_carry__0_i_9__1_n_0; - wire y_mul__1_carry__0_i_9_n_0; - wire y_mul__1_carry__0_n_0; - wire y_mul__1_carry__0_n_1; - wire y_mul__1_carry__0_n_2; - wire y_mul__1_carry__0_n_3; - wire y_mul__1_carry__0_n_4; - wire y_mul__1_carry__0_n_5; - wire y_mul__1_carry__0_n_6; - wire y_mul__1_carry__0_n_7; - wire y_mul__1_carry__1_i_10__0_n_0; - wire y_mul__1_carry__1_i_10__1_n_0; - wire y_mul__1_carry__1_i_10_n_0; - wire y_mul__1_carry__1_i_11__0_n_0; - wire y_mul__1_carry__1_i_11__1_n_0; - wire y_mul__1_carry__1_i_11_n_0; - wire y_mul__1_carry__1_i_12__0_n_0; - wire y_mul__1_carry__1_i_12__1_n_0; - wire y_mul__1_carry__1_i_12_n_0; - wire y_mul__1_carry__1_i_1_n_0; - wire y_mul__1_carry__1_i_2_n_0; - wire y_mul__1_carry__1_i_3_n_0; - wire y_mul__1_carry__1_i_4_n_0; - wire y_mul__1_carry__1_i_5_n_0; - wire y_mul__1_carry__1_i_6_n_0; - wire y_mul__1_carry__1_i_7_n_0; - wire y_mul__1_carry__1_i_8_n_0; - wire y_mul__1_carry__1_i_9__0_n_0; - wire y_mul__1_carry__1_i_9__1_n_0; - wire y_mul__1_carry__1_i_9_n_0; - wire y_mul__1_carry__1_n_0; - wire y_mul__1_carry__1_n_1; - wire y_mul__1_carry__1_n_2; - wire y_mul__1_carry__1_n_3; - wire y_mul__1_carry__1_n_4; - wire y_mul__1_carry__1_n_5; - wire y_mul__1_carry__1_n_6; - wire y_mul__1_carry__1_n_7; - wire y_mul__1_carry__2_i_10__0_n_0; - wire y_mul__1_carry__2_i_10__1_n_0; - wire y_mul__1_carry__2_i_10_n_0; - wire y_mul__1_carry__2_i_11__0_n_0; - wire y_mul__1_carry__2_i_11__1_n_0; - wire y_mul__1_carry__2_i_11_n_0; - wire y_mul__1_carry__2_i_12__0_n_0; - wire y_mul__1_carry__2_i_12__1_n_0; - wire y_mul__1_carry__2_i_12_n_0; - wire y_mul__1_carry__2_i_1_n_0; - wire y_mul__1_carry__2_i_2_n_0; - wire y_mul__1_carry__2_i_3_n_0; - wire y_mul__1_carry__2_i_4_n_0; - wire y_mul__1_carry__2_i_5_n_0; - wire y_mul__1_carry__2_i_6_n_0; - wire y_mul__1_carry__2_i_7_n_0; - wire y_mul__1_carry__2_i_8_n_0; - wire y_mul__1_carry__2_i_9__0_n_0; - wire y_mul__1_carry__2_i_9__1_n_0; - wire y_mul__1_carry__2_i_9_n_0; - wire y_mul__1_carry__2_n_0; - wire y_mul__1_carry__2_n_1; - wire y_mul__1_carry__2_n_2; - wire y_mul__1_carry__2_n_3; - wire y_mul__1_carry__2_n_4; - wire y_mul__1_carry__2_n_5; - wire y_mul__1_carry__2_n_6; - wire y_mul__1_carry__2_n_7; - wire y_mul__1_carry__3_i_10__0_n_0; - wire y_mul__1_carry__3_i_10__1_n_0; - wire y_mul__1_carry__3_i_10_n_0; - wire y_mul__1_carry__3_i_11__0_n_0; - wire y_mul__1_carry__3_i_11__1_n_0; - wire y_mul__1_carry__3_i_11_n_0; - wire y_mul__1_carry__3_i_12__0_n_0; - wire y_mul__1_carry__3_i_12__1_n_0; - wire y_mul__1_carry__3_i_12_n_0; - wire y_mul__1_carry__3_i_1_n_0; - wire y_mul__1_carry__3_i_2_n_0; - wire y_mul__1_carry__3_i_3_n_0; - wire y_mul__1_carry__3_i_4_n_0; - wire y_mul__1_carry__3_i_5_n_0; - wire y_mul__1_carry__3_i_6_n_0; - wire y_mul__1_carry__3_i_7_n_0; - wire y_mul__1_carry__3_i_8_n_0; - wire y_mul__1_carry__3_i_9__0_n_0; - wire y_mul__1_carry__3_i_9__1_n_0; - wire y_mul__1_carry__3_i_9_n_0; - wire y_mul__1_carry__3_n_0; - wire y_mul__1_carry__3_n_1; - wire y_mul__1_carry__3_n_2; - wire y_mul__1_carry__3_n_3; - wire y_mul__1_carry__3_n_4; - wire y_mul__1_carry__3_n_5; - wire y_mul__1_carry__3_n_6; - wire y_mul__1_carry__3_n_7; - wire [0:0]y_mul__1_carry__4_0; - wire y_mul__1_carry__4_1; - wire [0:0]y_mul__1_carry__4_2; - wire y_mul__1_carry__4_3; - wire y_mul__1_carry__4_i_10__0_n_0; - wire y_mul__1_carry__4_i_10__1_n_0; - wire y_mul__1_carry__4_i_10_n_0; - wire y_mul__1_carry__4_i_11__0_n_0; - wire y_mul__1_carry__4_i_11__1_n_0; - wire y_mul__1_carry__4_i_11_n_0; - wire y_mul__1_carry__4_i_12__0_n_0; - wire y_mul__1_carry__4_i_12__1_n_0; - wire y_mul__1_carry__4_i_12_n_0; - wire y_mul__1_carry__4_i_1_n_0; - wire y_mul__1_carry__4_i_2_n_0; - wire y_mul__1_carry__4_i_3_n_0; - wire y_mul__1_carry__4_i_4_n_0; - wire y_mul__1_carry__4_i_5_n_0; - wire y_mul__1_carry__4_i_6_n_0; - wire y_mul__1_carry__4_i_7_n_0; - wire y_mul__1_carry__4_i_8_n_0; - wire y_mul__1_carry__4_i_9_n_0; - wire y_mul__1_carry__4_n_0; - wire y_mul__1_carry__4_n_1; - wire y_mul__1_carry__4_n_2; - wire y_mul__1_carry__4_n_3; - wire y_mul__1_carry__4_n_4; - wire y_mul__1_carry__4_n_5; - wire y_mul__1_carry__4_n_6; - wire y_mul__1_carry__4_n_7; - wire y_mul__1_carry__5_i_1_n_0; - wire y_mul__1_carry__5_i_2_n_0; - wire y_mul__1_carry__5_i_3_n_0; - wire y_mul__1_carry__5_i_4_n_0; - wire y_mul__1_carry__5_n_1; - wire y_mul__1_carry__5_n_3; - wire y_mul__1_carry__5_n_6; - wire y_mul__1_carry__5_n_7; - wire y_mul__1_carry_i_1_n_0; - wire y_mul__1_carry_i_2_n_0; - wire y_mul__1_carry_i_3_n_0; - wire y_mul__1_carry_i_4_n_0; - wire y_mul__1_carry_i_5_n_0; - wire y_mul__1_carry_i_6_n_0; - wire y_mul__1_carry_i_7_n_0; - wire y_mul__1_carry_i_8__0_n_0; - wire y_mul__1_carry_i_8__1_n_0; - wire y_mul__1_carry_i_8_n_0; - wire y_mul__1_carry_n_0; - wire y_mul__1_carry_n_1; - wire y_mul__1_carry_n_2; - wire y_mul__1_carry_n_3; - wire y_mul__1_carry_n_4; - wire y_mul__1_carry_n_5; - wire y_mul__1_carry_n_6; - wire y_mul__1_carry_n_7; - wire \y_mul_inferred__1/i__carry__0_n_0 ; - wire \y_mul_inferred__1/i__carry__0_n_1 ; - wire \y_mul_inferred__1/i__carry__0_n_2 ; - wire \y_mul_inferred__1/i__carry__0_n_3 ; - wire \y_mul_inferred__1/i__carry__1_n_0 ; - wire \y_mul_inferred__1/i__carry__1_n_1 ; - wire \y_mul_inferred__1/i__carry__1_n_2 ; - wire \y_mul_inferred__1/i__carry__1_n_3 ; - wire \y_mul_inferred__1/i__carry__2_n_0 ; - wire \y_mul_inferred__1/i__carry__2_n_1 ; - wire \y_mul_inferred__1/i__carry__2_n_2 ; - wire \y_mul_inferred__1/i__carry__2_n_3 ; - wire \y_mul_inferred__1/i__carry__3_n_0 ; - wire \y_mul_inferred__1/i__carry__3_n_1 ; - wire \y_mul_inferred__1/i__carry__3_n_2 ; - wire \y_mul_inferred__1/i__carry__3_n_3 ; - wire \y_mul_inferred__1/i__carry__4_n_0 ; - wire \y_mul_inferred__1/i__carry__4_n_1 ; - wire \y_mul_inferred__1/i__carry__4_n_2 ; - wire \y_mul_inferred__1/i__carry__4_n_3 ; - wire \y_mul_inferred__1/i__carry__5_n_1 ; - wire \y_mul_inferred__1/i__carry__5_n_2 ; - wire \y_mul_inferred__1/i__carry__5_n_3 ; - wire \y_mul_inferred__1/i__carry_n_0 ; - wire \y_mul_inferred__1/i__carry_n_1 ; - wire \y_mul_inferred__1/i__carry_n_2 ; - wire \y_mul_inferred__1/i__carry_n_3 ; - wire y_mul_n_100; - wire y_mul_n_101; - wire y_mul_n_102; - wire y_mul_n_103; - wire y_mul_n_104; - wire y_mul_n_105; - wire y_mul_n_106; - wire y_mul_n_107; - wire y_mul_n_108; - wire y_mul_n_109; - wire y_mul_n_110; - wire y_mul_n_111; - wire y_mul_n_112; - wire y_mul_n_113; - wire y_mul_n_114; - wire y_mul_n_115; - wire y_mul_n_116; - wire y_mul_n_117; - wire y_mul_n_118; - wire y_mul_n_119; - wire y_mul_n_120; - wire y_mul_n_121; - wire y_mul_n_122; - wire y_mul_n_123; - wire y_mul_n_124; - wire y_mul_n_125; - wire y_mul_n_126; - wire y_mul_n_127; - wire y_mul_n_128; - wire y_mul_n_129; - wire y_mul_n_130; - wire y_mul_n_131; - wire y_mul_n_132; - wire y_mul_n_133; - wire y_mul_n_134; - wire y_mul_n_135; - wire y_mul_n_136; - wire y_mul_n_137; - wire y_mul_n_138; - wire y_mul_n_139; - wire y_mul_n_140; - wire y_mul_n_141; - wire y_mul_n_142; - wire y_mul_n_143; - wire y_mul_n_144; - wire y_mul_n_145; - wire y_mul_n_146; - wire y_mul_n_147; - wire y_mul_n_148; - wire y_mul_n_149; - wire y_mul_n_150; - wire y_mul_n_151; - wire y_mul_n_152; - wire y_mul_n_153; - wire y_mul_n_58; - wire y_mul_n_59; - wire y_mul_n_60; - wire y_mul_n_61; - wire y_mul_n_62; - wire y_mul_n_63; - wire y_mul_n_64; - wire y_mul_n_65; - wire y_mul_n_66; - wire y_mul_n_67; - wire y_mul_n_68; - wire y_mul_n_69; - wire y_mul_n_70; - wire y_mul_n_71; - wire y_mul_n_72; - wire y_mul_n_73; - wire y_mul_n_74; - wire y_mul_n_75; - wire y_mul_n_76; - wire y_mul_n_77; - wire y_mul_n_78; - wire y_mul_n_79; - wire y_mul_n_80; - wire y_mul_n_81; - wire y_mul_n_82; - wire y_mul_n_83; - wire y_mul_n_84; - wire y_mul_n_85; - wire y_mul_n_86; - wire y_mul_n_87; - wire y_mul_n_88; - wire y_mul_n_89; - wire y_mul_n_90; - wire y_mul_n_91; - wire y_mul_n_92; - wire y_mul_n_93; - wire y_mul_n_94; - wire y_mul_n_95; - wire y_mul_n_96; - wire y_mul_n_97; - wire y_mul_n_98; - wire y_mul_n_99; - wire [3:3]NLW_p_4_out_carry__8_CO_UNCONNECTED; - wire [3:3]NLW_x_mul1__0_carry__8_CO_UNCONNECTED; - wire NLW_y_mul_CARRYCASCOUT_UNCONNECTED; - wire NLW_y_mul_MULTSIGNOUT_UNCONNECTED; - wire NLW_y_mul_OVERFLOW_UNCONNECTED; - wire NLW_y_mul_PATTERNBDETECT_UNCONNECTED; - wire NLW_y_mul_PATTERNDETECT_UNCONNECTED; - wire NLW_y_mul_UNDERFLOW_UNCONNECTED; - wire [29:0]NLW_y_mul_ACOUT_UNCONNECTED; - wire [17:0]NLW_y_mul_BCOUT_UNCONNECTED; - wire [3:0]NLW_y_mul_CARRYOUT_UNCONNECTED; - wire NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED; - wire NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED; - wire NLW_y_mul__0_OVERFLOW_UNCONNECTED; - wire NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED; - wire NLW_y_mul__0_PATTERNDETECT_UNCONNECTED; - wire NLW_y_mul__0_UNDERFLOW_UNCONNECTED; - wire [29:0]NLW_y_mul__0_ACOUT_UNCONNECTED; - wire [17:0]NLW_y_mul__0_BCOUT_UNCONNECTED; - wire [3:0]NLW_y_mul__0_CARRYOUT_UNCONNECTED; - wire [47:44]NLW_y_mul__0_P_UNCONNECTED; - wire [47:0]NLW_y_mul__0_PCOUT_UNCONNECTED; - wire [3:1]NLW_y_mul__1_carry__5_CO_UNCONNECTED; - wire [3:2]NLW_y_mul__1_carry__5_O_UNCONNECTED; - wire [3:3]\NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED ; - - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_1 - (.I0(y_mul__0_n_82), - .I1(y_mul__1_carry__0_n_5), - .O(i__carry__0_i_1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_2 - (.I0(y_mul__0_n_83), - .I1(y_mul__1_carry__0_n_6), - .O(i__carry__0_i_2_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_3 - (.I0(y_mul__0_n_84), - .I1(y_mul__1_carry__0_n_7), - .O(i__carry__0_i_3_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_4 - (.I0(y_mul__0_n_85), - .I1(y_mul__1_carry_n_4), - .O(i__carry__0_i_4_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_1 - (.I0(y_mul__0_n_78), - .I1(y_mul__1_carry__1_n_5), - .O(i__carry__1_i_1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_2 - (.I0(y_mul__0_n_79), - .I1(y_mul__1_carry__1_n_6), - .O(i__carry__1_i_2_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_3 - (.I0(y_mul__0_n_80), - .I1(y_mul__1_carry__1_n_7), - .O(i__carry__1_i_3_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_4 - (.I0(y_mul__0_n_81), - .I1(y_mul__1_carry__0_n_4), - .O(i__carry__1_i_4_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_1 - (.I0(y_mul__0_n_74), - .I1(y_mul__1_carry__2_n_5), - .O(i__carry__2_i_1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_2 - (.I0(y_mul__0_n_75), - .I1(y_mul__1_carry__2_n_6), - .O(i__carry__2_i_2_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_3 - (.I0(y_mul__0_n_76), - .I1(y_mul__1_carry__2_n_7), - .O(i__carry__2_i_3_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_4 - (.I0(y_mul__0_n_77), - .I1(y_mul__1_carry__1_n_4), - .O(i__carry__2_i_4_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_1 - (.I0(y_mul__0_n_70), - .I1(y_mul__1_carry__3_n_5), - .O(i__carry__3_i_1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_2 - (.I0(y_mul__0_n_71), - .I1(y_mul__1_carry__3_n_6), - .O(i__carry__3_i_2_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_3 - (.I0(y_mul__0_n_72), - .I1(y_mul__1_carry__3_n_7), - .O(i__carry__3_i_3_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_4 - (.I0(y_mul__0_n_73), - .I1(y_mul__1_carry__2_n_4), - .O(i__carry__3_i_4_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_1 - (.I0(y_mul__0_n_66), - .I1(y_mul__1_carry__4_n_5), - .O(i__carry__4_i_1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_2 - (.I0(y_mul__0_n_67), - .I1(y_mul__1_carry__4_n_6), - .O(i__carry__4_i_2_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_3 - (.I0(y_mul__0_n_68), - .I1(y_mul__1_carry__4_n_7), - .O(i__carry__4_i_3_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_4 - (.I0(y_mul__0_n_69), - .I1(y_mul__1_carry__3_n_4), - .O(i__carry__4_i_4_n_0)); - LUT2 #( - .INIT(4'h9)) - i__carry__5_i_1 - (.I0(y_mul__0_n_62), - .I1(y_mul__1_carry__5_n_1), - .O(i__carry__5_i_1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_2 - (.I0(y_mul__0_n_63), - .I1(y_mul__1_carry__5_n_6), - .O(i__carry__5_i_2_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_3 - (.I0(y_mul__0_n_64), - .I1(y_mul__1_carry__5_n_7), - .O(i__carry__5_i_3_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_4 - (.I0(y_mul__0_n_65), - .I1(y_mul__1_carry__4_n_4), - .O(i__carry__5_i_4_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_1 - (.I0(y_mul__0_n_86), - .I1(y_mul__1_carry_n_5), - .O(i__carry_i_1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_2 - (.I0(y_mul__0_n_87), - .I1(y_mul__1_carry_n_6), - .O(i__carry_i_2_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_3 - (.I0(y_mul__0_n_88), - .I1(y_mul__1_carry_n_7), - .O(i__carry_i_3_n_0)); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][0] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[0]), - .Q(\intreg_reg[0]_0 [0])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][10] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[10]), - .Q(\intreg_reg[0]_0 [10])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][11] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[11]), - .Q(\intreg_reg[0]_0 [11])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][12] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[12]), - .Q(\intreg_reg[0]_0 [12])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][13] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[13]), - .Q(\intreg_reg[0]_0 [13])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][14] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[14]), - .Q(\intreg_reg[0]_0 [14])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][15] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[15]), - .Q(\intreg_reg[0]_0 [15])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][16] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[16]), - .Q(\intreg_reg[0]_0 [16])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][17] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[17]), - .Q(\intreg_reg[0]_0 [17])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][18] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[18]), - .Q(\intreg_reg[0]_0 [18])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][19] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[19]), - .Q(\intreg_reg[0]_0 [19])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][1] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[1]), - .Q(\intreg_reg[0]_0 [1])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][20] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[20]), - .Q(\intreg_reg[0]_0 [20])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][21] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[21]), - .Q(\intreg_reg[0]_0 [21])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][22] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[22]), - .Q(\intreg_reg[0]_0 [22])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][23] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[23]), - .Q(\intreg_reg[0]_0 [23])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][24] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[24]), - .Q(\intreg_reg[0]_0 [24])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][25] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[25]), - .Q(\intreg_reg[0]_0 [25])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][26] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[26]), - .Q(\intreg_reg[0]_0 [26])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][27] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[27]), - .Q(\intreg_reg[0]_0 [27])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][28] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[28]), - .Q(\intreg_reg[0]_0 [28])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][29] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[29]), - .Q(\intreg_reg[0]_0 [29])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][2] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[2]), - .Q(\intreg_reg[0]_0 [2])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][30] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[30]), - .Q(\intreg_reg[0]_0 [30])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][31] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[31]), - .Q(\intreg_reg[0]_0 [31])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][32] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[32]), - .Q(\intreg_reg[0]_0 [32])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][33] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[33]), - .Q(\intreg_reg[0]_0 [33])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][34] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[34]), - .Q(\intreg_reg[0]_0 [34])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][35] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[35]), - .Q(\intreg_reg[0]_0 [35])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][36] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[36]), - .Q(\intreg_reg[0]_0 [36])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][37] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[37]), - .Q(\intreg_reg[0]_0 [37])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][38] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[38]), - .Q(\intreg_reg[0]_0 [38])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][39] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[39]), - .Q(\intreg_reg[0]_0 [39])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][3] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[3]), - .Q(\intreg_reg[0]_0 [3])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][4] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[4]), - .Q(\intreg_reg[0]_0 [4])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][5] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[5]), - .Q(\intreg_reg[0]_0 [5])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][6] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[6]), - .Q(\intreg_reg[0]_0 [6])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][7] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[7]), - .Q(\intreg_reg[0]_0 [7])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][8] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[8]), - .Q(\intreg_reg[0]_0 [8])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][9] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[9]), - .Q(\intreg_reg[0]_0 [9])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][0] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[0]), - .Q(\intreg_reg[1]_1 [0])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][10] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[10]), - .Q(\intreg_reg[1]_1 [10])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][11] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[11]), - .Q(\intreg_reg[1]_1 [11])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][12] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[12]), - .Q(\intreg_reg[1]_1 [12])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][13] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[13]), - .Q(\intreg_reg[1]_1 [13])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][14] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[14]), - .Q(\intreg_reg[1]_1 [14])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][15] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[15]), - .Q(\intreg_reg[1]_1 [15])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][16] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[16]), - .Q(\intreg_reg[1]_1 [16])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][17] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[17]), - .Q(\intreg_reg[1]_1 [17])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][18] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[18]), - .Q(\intreg_reg[1]_1 [18])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][19] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[19]), - .Q(\intreg_reg[1]_1 [19])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][1] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[1]), - .Q(\intreg_reg[1]_1 [1])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][20] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[20]), - .Q(\intreg_reg[1]_1 [20])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][21] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[21]), - .Q(\intreg_reg[1]_1 [21])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][22] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[22]), - .Q(\intreg_reg[1]_1 [22])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][23] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[23]), - .Q(\intreg_reg[1]_1 [23])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][24] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[24]), - .Q(\intreg_reg[1]_1 [24])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][25] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[25]), - .Q(\intreg_reg[1]_1 [25])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][26] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[26]), - .Q(\intreg_reg[1]_1 [26])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][27] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[27]), - .Q(\intreg_reg[1]_1 [27])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][28] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[28]), - .Q(\intreg_reg[1]_1 [28])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][29] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[29]), - .Q(\intreg_reg[1]_1 [29])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][2] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[2]), - .Q(\intreg_reg[1]_1 [2])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][30] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[30]), - .Q(\intreg_reg[1]_1 [30])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][31] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[31]), - .Q(\intreg_reg[1]_1 [31])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][32] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[32]), - .Q(\intreg_reg[1]_1 [32])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][33] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[33]), - .Q(\intreg_reg[1]_1 [33])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][34] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[34]), - .Q(\intreg_reg[1]_1 [34])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][35] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[35]), - .Q(\intreg_reg[1]_1 [35])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][36] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[36]), - .Q(\intreg_reg[1]_1 [36])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][37] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[37]), - .Q(\intreg_reg[1]_1 [37])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][38] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[38]), - .Q(\intreg_reg[1]_1 [38])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][39] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[39]), - .Q(\intreg_reg[1]_1 [39])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][3] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[3]), - .Q(\intreg_reg[1]_1 [3])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][4] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[4]), - .Q(\intreg_reg[1]_1 [4])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][5] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[5]), - .Q(\intreg_reg[1]_1 [5])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][6] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[6]), - .Q(\intreg_reg[1]_1 [6])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][7] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[7]), - .Q(\intreg_reg[1]_1 [7])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][8] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[8]), - .Q(\intreg_reg[1]_1 [8])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][9] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[9]), - .Q(\intreg_reg[1]_1 [9])); - LUT6 #( - .INIT(64'h5555555400000000)) - \out_m[14]_i_1 - (.I0(\out_r_reg[0] [3]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(out_m), - .O(inp_mul__0_0)); - LUT5 #( - .INIT(32'h0EFF0E00)) - \out_m[15]_i_1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(y_clamp21_out), - .I2(y_clamp1__0), - .I3(out_m), - .I4(D), - .O(\out_m_reg[15] )); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT5 #( - .INIT(32'h2AAAAAAA)) - \out_m[15]_i_2 - (.I0(\out_r_reg[0] [3]), - .I1(\out_r_reg[0] [1]), - .I2(\out_r_reg[0] [2]), - .I3(\out_r_reg[0]_0 [1]), - .I4(\out_r_reg[0] [0]), - .O(y_clamp21_out)); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT5 #( - .INIT(32'h0000FFFE)) - \out_m[15]_i_3 - (.I0(\out_r_reg[0] [1]), - .I1(\out_r_reg[0] [2]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [0]), - .I4(\out_r_reg[0] [3]), - .O(y_clamp1__0)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[0]_i_1 - (.I0(y_mul__0_0[3]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_15)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[10]_i_1 - (.I0(y_mul__0_0[13]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_5)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[11]_i_1 - (.I0(y_mul__0_0[14]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_4)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[12]_i_1 - (.I0(y_mul__0_0[15]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_3)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[13]_i_1 - (.I0(y_mul__0_0[16]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_2)); - LUT6 #( - .INIT(64'h5555555400000000)) - \out_r[14]_i_1 - (.I0(\out_r_reg[0] [3]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(E), - .O(inp_mul__0)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[14]_i_2 - (.I0(\out_r_reg[0]_0 [0]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_1)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[1]_i_1 - (.I0(y_mul__0_0[4]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_14)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[2]_i_1 - (.I0(y_mul__0_0[5]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_13)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[3]_i_1 - (.I0(y_mul__0_0[6]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_12)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[4]_i_1 - (.I0(y_mul__0_0[7]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_11)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[5]_i_1 - (.I0(y_mul__0_0[8]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_10)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[6]_i_1 - (.I0(y_mul__0_0[9]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_9)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[7]_i_1 - (.I0(y_mul__0_0[10]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_8)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[8]_i_1 - (.I0(y_mul__0_0[11]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_7)); - LUT6 #( - .INIT(64'h80000000AAAAAAAA)) - \out_r[9]_i_1 - (.I0(y_mul__0_0[12]), - .I1(\out_r_reg[0] [0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(\out_r_reg[0] [2]), - .I4(\out_r_reg[0] [1]), - .I5(\out_r_reg[0] [3]), - .O(inp_mul__0_6)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry - (.CI(1'b0), - .CO({p_4_out_carry_n_0,p_4_out_carry_n_1,p_4_out_carry_n_2,p_4_out_carry_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry_i_1_n_0,p_4_out_carry_i_2_n_0,DI}), - .O(p_4_out[3:0]), - .S({p_4_out_carry_i_5_n_0,p_4_out_carry_i_6_n_0,S,p_4_out_carry_i_8_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__0 - (.CI(p_4_out_carry_n_0), - .CO({p_4_out_carry__0_n_0,p_4_out_carry__0_n_1,p_4_out_carry__0_n_2,p_4_out_carry__0_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__0_i_1_n_0,p_4_out_carry__0_i_2_n_0,p_4_out_carry__0_i_3_n_0,p_4_out_carry__0_i_4_n_0}), - .O(p_4_out[7:4]), - .S({p_4_out_carry__0_i_5_n_0,p_4_out_carry__0_i_6_n_0,p_4_out_carry__0_i_7_n_0,p_4_out_carry__0_i_8_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__0_i_1 - (.I0(y_mul__0_n_95), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[6]), - .I3(Q[6]), - .I4(x_mul2_out[6]), - .I5(cx0[3]), - .O(p_4_out_carry__0_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__0_i_2 - (.I0(y_mul__0_n_96), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[5]), - .I3(Q[5]), - .I4(x_mul2_out[5]), - .I5(cx0[3]), - .O(p_4_out_carry__0_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__0_i_3 - (.I0(y_mul__0_n_97), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[4]), - .I3(Q[4]), - .I4(x_mul2_out[4]), - .I5(cx0[3]), - .O(p_4_out_carry__0_i_3_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__0_i_4 - (.I0(y_mul__0_n_98), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[3]), - .I3(Q[3]), - .I4(x_mul2_out[3]), - .I5(cx0[3]), - .O(p_4_out_carry__0_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__0_i_5 - (.I0(p_4_out_carry__0_i_1_n_0), - .I1(tap1[5]), - .I2(y_mul__0_n_94), - .I3(cx0[3]), - .I4(x_mul2_out[7]), - .O(p_4_out_carry__0_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__0_i_6 - (.I0(p_4_out_carry__0_i_2_n_0), - .I1(tap1[4]), - .I2(y_mul__0_n_95), - .I3(cx0[3]), - .I4(x_mul2_out[6]), - .O(p_4_out_carry__0_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__0_i_7 - (.I0(p_4_out_carry__0_i_3_n_0), - .I1(tap1[3]), - .I2(y_mul__0_n_96), - .I3(cx0[3]), - .I4(x_mul2_out[5]), - .O(p_4_out_carry__0_i_7_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__0_i_8 - (.I0(p_4_out_carry__0_i_4_n_0), - .I1(tap1[2]), - .I2(y_mul__0_n_97), - .I3(cx0[3]), - .I4(x_mul2_out[4]), - .O(p_4_out_carry__0_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__1 - (.CI(p_4_out_carry__0_n_0), - .CO({p_4_out_carry__1_n_0,p_4_out_carry__1_n_1,p_4_out_carry__1_n_2,p_4_out_carry__1_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__1_i_1_n_0,p_4_out_carry__1_i_2_n_0,p_4_out_carry__1_i_3_n_0,p_4_out_carry__1_i_4_n_0}), - .O(p_4_out[11:8]), - .S({p_4_out_carry__1_i_5_n_0,p_4_out_carry__1_i_6_n_0,p_4_out_carry__1_i_7_n_0,p_4_out_carry__1_i_8_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__1_i_1 - (.I0(y_mul__0_n_91), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[10]), - .I3(Q[10]), - .I4(x_mul2_out[10]), - .I5(cx0[3]), - .O(p_4_out_carry__1_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__1_i_2 - (.I0(y_mul__0_n_92), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[9]), - .I3(Q[9]), - .I4(x_mul2_out[9]), - .I5(cx0[3]), - .O(p_4_out_carry__1_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__1_i_3 - (.I0(y_mul__0_n_93), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[8]), - .I3(Q[8]), - .I4(x_mul2_out[8]), - .I5(cx0[3]), - .O(p_4_out_carry__1_i_3_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__1_i_4 - (.I0(y_mul__0_n_94), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[7]), - .I3(Q[7]), - .I4(x_mul2_out[7]), - .I5(cx0[3]), - .O(p_4_out_carry__1_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__1_i_5 - (.I0(p_4_out_carry__1_i_1_n_0), - .I1(tap1[9]), - .I2(y_mul__0_n_90), - .I3(cx0[3]), - .I4(x_mul2_out[11]), - .O(p_4_out_carry__1_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__1_i_6 - (.I0(p_4_out_carry__1_i_2_n_0), - .I1(tap1[8]), - .I2(y_mul__0_n_91), - .I3(cx0[3]), - .I4(x_mul2_out[10]), - .O(p_4_out_carry__1_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__1_i_7 - (.I0(p_4_out_carry__1_i_3_n_0), - .I1(tap1[7]), - .I2(y_mul__0_n_92), - .I3(cx0[3]), - .I4(x_mul2_out[9]), - .O(p_4_out_carry__1_i_7_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__1_i_8 - (.I0(p_4_out_carry__1_i_4_n_0), - .I1(tap1[6]), - .I2(y_mul__0_n_93), - .I3(cx0[3]), - .I4(x_mul2_out[8]), - .O(p_4_out_carry__1_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__2 - (.CI(p_4_out_carry__1_n_0), - .CO({p_4_out_carry__2_n_0,p_4_out_carry__2_n_1,p_4_out_carry__2_n_2,p_4_out_carry__2_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__2_i_1_n_0,p_4_out_carry__2_i_2_n_0,p_4_out_carry__2_i_3_n_0,p_4_out_carry__2_i_4_n_0}), - .O(p_4_out[15:12]), - .S({p_4_out_carry__2_i_5_n_0,p_4_out_carry__2_i_6_n_0,p_4_out_carry__2_i_7_n_0,p_4_out_carry__2_i_8_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__2_i_1 - (.I0(y_mul__1__0[35]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[14]), - .I3(Q[14]), - .I4(x_mul2_out[14]), - .I5(cx0[3]), - .O(p_4_out_carry__2_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__2_i_2 - (.I0(y_mul__1__0[34]), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[13]), - .I3(Q[13]), - .I4(x_mul2_out[13]), - .I5(cx0[3]), - .O(p_4_out_carry__2_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__2_i_3 - (.I0(y_mul__1__0[33]), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[12]), - .I3(Q[12]), - .I4(x_mul2_out[12]), - .I5(cx0[3]), - .O(p_4_out_carry__2_i_3_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__2_i_4 - (.I0(y_mul__0_n_90), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[11]), - .I3(Q[11]), - .I4(x_mul2_out[11]), - .I5(cx0[3]), - .O(p_4_out_carry__2_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__2_i_5 - (.I0(p_4_out_carry__2_i_1_n_0), - .I1(tap1[13]), - .I2(y_mul__1__0[36]), - .I3(cx0[3]), - .I4(x_mul2_out[15]), - .O(p_4_out_carry__2_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__2_i_6 - (.I0(p_4_out_carry__2_i_2_n_0), - .I1(tap1[12]), - .I2(y_mul__1__0[35]), - .I3(cx0[3]), - .I4(x_mul2_out[14]), - .O(p_4_out_carry__2_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__2_i_7 - (.I0(p_4_out_carry__2_i_3_n_0), - .I1(tap1[11]), - .I2(y_mul__1__0[34]), - .I3(cx0[3]), - .I4(x_mul2_out[13]), - .O(p_4_out_carry__2_i_7_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__2_i_8 - (.I0(p_4_out_carry__2_i_4_n_0), - .I1(tap1[10]), - .I2(y_mul__1__0[33]), - .I3(cx0[3]), - .I4(x_mul2_out[12]), - .O(p_4_out_carry__2_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__3 - (.CI(p_4_out_carry__2_n_0), - .CO({p_4_out_carry__3_n_0,p_4_out_carry__3_n_1,p_4_out_carry__3_n_2,p_4_out_carry__3_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__3_i_1_n_0,p_4_out_carry__3_i_2_n_0,p_4_out_carry__3_i_3_n_0,p_4_out_carry__3_i_4_n_0}), - .O(p_4_out[19:16]), - .S({p_4_out_carry__3_i_5_n_0,p_4_out_carry__3_i_6_n_0,p_4_out_carry__3_i_7_n_0,p_4_out_carry__3_i_8_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__3_i_1 - (.I0(y_mul__1__0[39]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[18]), - .I3(Q[18]), - .I4(x_mul2_out[18]), - .I5(cx0[3]), - .O(p_4_out_carry__3_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__3_i_2 - (.I0(y_mul__1__0[38]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[17]), - .I3(Q[17]), - .I4(x_mul2_out[17]), - .I5(cx0[3]), - .O(p_4_out_carry__3_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__3_i_3 - (.I0(y_mul__1__0[37]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[16]), - .I3(Q[16]), - .I4(x_mul2_out[16]), - .I5(cx0[3]), - .O(p_4_out_carry__3_i_3_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__3_i_4 - (.I0(y_mul__1__0[36]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[15]), - .I3(Q[15]), - .I4(x_mul2_out[15]), - .I5(cx0[3]), - .O(p_4_out_carry__3_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__3_i_5 - (.I0(p_4_out_carry__3_i_1_n_0), - .I1(tap1[17]), - .I2(y_mul__1__0[40]), - .I3(cx0[3]), - .I4(x_mul2_out[19]), - .O(p_4_out_carry__3_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__3_i_6 - (.I0(p_4_out_carry__3_i_2_n_0), - .I1(tap1[16]), - .I2(y_mul__1__0[39]), - .I3(cx0[3]), - .I4(x_mul2_out[18]), - .O(p_4_out_carry__3_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__3_i_7 - (.I0(p_4_out_carry__3_i_3_n_0), - .I1(tap1[15]), - .I2(y_mul__1__0[38]), - .I3(cx0[3]), - .I4(x_mul2_out[17]), - .O(p_4_out_carry__3_i_7_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__3_i_8 - (.I0(p_4_out_carry__3_i_4_n_0), - .I1(tap1[14]), - .I2(y_mul__1__0[37]), - .I3(cx0[3]), - .I4(x_mul2_out[16]), - .O(p_4_out_carry__3_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__4 - (.CI(p_4_out_carry__3_n_0), - .CO({p_4_out_carry__4_n_0,p_4_out_carry__4_n_1,p_4_out_carry__4_n_2,p_4_out_carry__4_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__4_i_1_n_0,p_4_out_carry__4_i_2_n_0,p_4_out_carry__4_i_3_n_0,p_4_out_carry__4_i_4_n_0}), - .O(p_4_out[23:20]), - .S({p_4_out_carry__4_i_5_n_0,p_4_out_carry__4_i_6_n_0,p_4_out_carry__4_i_7_n_0,p_4_out_carry__4_i_8_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__4_i_1 - (.I0(y_mul__1__0[43]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[22]), - .I3(Q[22]), - .I4(x_mul2_out[22]), - .I5(cx0[3]), - .O(p_4_out_carry__4_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__4_i_2 - (.I0(y_mul__1__0[42]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[21]), - .I3(Q[21]), - .I4(x_mul2_out[21]), - .I5(cx0[3]), - .O(p_4_out_carry__4_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__4_i_3 - (.I0(y_mul__1__0[41]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[20]), - .I3(Q[20]), - .I4(x_mul2_out[20]), - .I5(cx0[3]), - .O(p_4_out_carry__4_i_3_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__4_i_4 - (.I0(y_mul__1__0[40]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[19]), - .I3(Q[19]), - .I4(x_mul2_out[19]), - .I5(cx0[3]), - .O(p_4_out_carry__4_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__4_i_5 - (.I0(p_4_out_carry__4_i_1_n_0), - .I1(tap1[21]), - .I2(y_mul__1__0[44]), - .I3(cx0[3]), - .I4(x_mul2_out[23]), - .O(p_4_out_carry__4_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__4_i_6 - (.I0(p_4_out_carry__4_i_2_n_0), - .I1(tap1[20]), - .I2(y_mul__1__0[43]), - .I3(cx0[3]), - .I4(x_mul2_out[22]), - .O(p_4_out_carry__4_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__4_i_7 - (.I0(p_4_out_carry__4_i_3_n_0), - .I1(tap1[19]), - .I2(y_mul__1__0[42]), - .I3(cx0[3]), - .I4(x_mul2_out[21]), - .O(p_4_out_carry__4_i_7_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__4_i_8 - (.I0(p_4_out_carry__4_i_4_n_0), - .I1(tap1[18]), - .I2(y_mul__1__0[41]), - .I3(cx0[3]), - .I4(x_mul2_out[20]), - .O(p_4_out_carry__4_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__5 - (.CI(p_4_out_carry__4_n_0), - .CO({p_4_out_carry__5_n_0,p_4_out_carry__5_n_1,p_4_out_carry__5_n_2,p_4_out_carry__5_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__5_i_1_n_0,p_4_out_carry__5_i_2_n_0,p_4_out_carry__5_i_3_n_0,p_4_out_carry__5_i_4_n_0}), - .O(p_4_out[27:24]), - .S({p_4_out_carry__5_i_5_n_0,p_4_out_carry__5_i_6_n_0,p_4_out_carry__5_i_7_n_0,p_4_out_carry__5_i_8_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__5_i_1 - (.I0(y_mul__1__0[47]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[26]), - .I3(Q[26]), - .I4(x_mul2_out[26]), - .I5(cx0[3]), - .O(p_4_out_carry__5_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__5_i_2 - (.I0(y_mul__1__0[46]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[25]), - .I3(Q[25]), - .I4(x_mul2_out[25]), - .I5(cx0[3]), - .O(p_4_out_carry__5_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__5_i_3 - (.I0(y_mul__1__0[45]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[24]), - .I3(Q[24]), - .I4(x_mul2_out[24]), - .I5(cx0[3]), - .O(p_4_out_carry__5_i_3_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__5_i_4 - (.I0(y_mul__1__0[44]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[23]), - .I3(Q[23]), - .I4(x_mul2_out[23]), - .I5(cx0[3]), - .O(p_4_out_carry__5_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__5_i_5 - (.I0(p_4_out_carry__5_i_1_n_0), - .I1(tap1[25]), - .I2(y_mul__1__0[48]), - .I3(cx0[3]), - .I4(x_mul2_out[27]), - .O(p_4_out_carry__5_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__5_i_6 - (.I0(p_4_out_carry__5_i_2_n_0), - .I1(tap1[24]), - .I2(y_mul__1__0[47]), - .I3(cx0[3]), - .I4(x_mul2_out[26]), - .O(p_4_out_carry__5_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__5_i_7 - (.I0(p_4_out_carry__5_i_3_n_0), - .I1(tap1[23]), - .I2(y_mul__1__0[46]), - .I3(cx0[3]), - .I4(x_mul2_out[25]), - .O(p_4_out_carry__5_i_7_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__5_i_8 - (.I0(p_4_out_carry__5_i_4_n_0), - .I1(tap1[22]), - .I2(y_mul__1__0[45]), - .I3(cx0[3]), - .I4(x_mul2_out[24]), - .O(p_4_out_carry__5_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__6 - (.CI(p_4_out_carry__5_n_0), - .CO({p_4_out_carry__6_n_0,p_4_out_carry__6_n_1,p_4_out_carry__6_n_2,p_4_out_carry__6_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__6_i_1_n_0,p_4_out_carry__6_i_2_n_0,p_4_out_carry__6_i_3_n_0,p_4_out_carry__6_i_4_n_0}), - .O(p_4_out[31:28]), - .S({p_4_out_carry__6_i_5_n_0,p_4_out_carry__6_i_6_n_0,p_4_out_carry__6_i_7_n_0,p_4_out_carry__6_i_8_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__6_i_1 - (.I0(y_mul__1__0[51]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[30]), - .I3(Q[30]), - .I4(x_mul2_out[30]), - .I5(cx0[3]), - .O(p_4_out_carry__6_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__6_i_2 - (.I0(y_mul__1__0[50]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[29]), - .I3(Q[29]), - .I4(x_mul2_out[29]), - .I5(cx0[3]), - .O(p_4_out_carry__6_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__6_i_3 - (.I0(y_mul__1__0[49]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[28]), - .I3(Q[28]), - .I4(x_mul2_out[28]), - .I5(cx0[3]), - .O(p_4_out_carry__6_i_3_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__6_i_4 - (.I0(y_mul__1__0[48]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[27]), - .I3(Q[27]), - .I4(x_mul2_out[27]), - .I5(cx0[3]), - .O(p_4_out_carry__6_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__6_i_5 - (.I0(p_4_out_carry__6_i_1_n_0), - .I1(tap1[29]), - .I2(y_mul__1__0[52]), - .I3(cx0[3]), - .I4(x_mul2_out[31]), - .O(p_4_out_carry__6_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__6_i_6 - (.I0(p_4_out_carry__6_i_2_n_0), - .I1(tap1[28]), - .I2(y_mul__1__0[51]), - .I3(cx0[3]), - .I4(x_mul2_out[30]), - .O(p_4_out_carry__6_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__6_i_7 - (.I0(p_4_out_carry__6_i_3_n_0), - .I1(tap1[27]), - .I2(y_mul__1__0[50]), - .I3(cx0[3]), - .I4(x_mul2_out[29]), - .O(p_4_out_carry__6_i_7_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__6_i_8 - (.I0(p_4_out_carry__6_i_4_n_0), - .I1(tap1[26]), - .I2(y_mul__1__0[49]), - .I3(cx0[3]), - .I4(x_mul2_out[28]), - .O(p_4_out_carry__6_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__7 - (.CI(p_4_out_carry__6_n_0), - .CO({p_4_out_carry__7_n_0,p_4_out_carry__7_n_1,p_4_out_carry__7_n_2,p_4_out_carry__7_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__7_i_1_n_0,p_4_out_carry__7_i_2_n_0,p_4_out_carry__7_i_3_n_0,p_4_out_carry__7_i_4_n_0}), - .O(p_4_out[35:32]), - .S({p_4_out_carry__7_i_5_n_0,p_4_out_carry__7_i_6_n_0,p_4_out_carry__7_i_7_n_0,p_4_out_carry__7_i_8_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__7_i_1 - (.I0(y_mul__1__0[55]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[34]), - .I3(Q[34]), - .I4(x_mul2_out[34]), - .I5(cx0[3]), - .O(p_4_out_carry__7_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__7_i_2 - (.I0(y_mul__1__0[54]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[33]), - .I3(Q[33]), - .I4(x_mul2_out[33]), - .I5(cx0[3]), - .O(p_4_out_carry__7_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__7_i_3 - (.I0(y_mul__1__0[53]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[32]), - .I3(Q[32]), - .I4(x_mul2_out[32]), - .I5(cx0[3]), - .O(p_4_out_carry__7_i_3_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__7_i_4 - (.I0(y_mul__1__0[52]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[31]), - .I3(Q[31]), - .I4(x_mul2_out[31]), - .I5(cx0[3]), - .O(p_4_out_carry__7_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__7_i_5 - (.I0(p_4_out_carry__7_i_1_n_0), - .I1(tap1[33]), - .I2(y_mul__1__0[56]), - .I3(cx0[3]), - .I4(x_mul2_out[35]), - .O(p_4_out_carry__7_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__7_i_6 - (.I0(p_4_out_carry__7_i_2_n_0), - .I1(tap1[32]), - .I2(y_mul__1__0[55]), - .I3(cx0[3]), - .I4(x_mul2_out[34]), - .O(p_4_out_carry__7_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__7_i_7 - (.I0(p_4_out_carry__7_i_3_n_0), - .I1(tap1[31]), - .I2(y_mul__1__0[54]), - .I3(cx0[3]), - .I4(x_mul2_out[33]), - .O(p_4_out_carry__7_i_7_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__7_i_8 - (.I0(p_4_out_carry__7_i_4_n_0), - .I1(tap1[30]), - .I2(y_mul__1__0[53]), - .I3(cx0[3]), - .I4(x_mul2_out[32]), - .O(p_4_out_carry__7_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__8 - (.CI(p_4_out_carry__7_n_0), - .CO({NLW_p_4_out_carry__8_CO_UNCONNECTED[3],p_4_out_carry__8_n_1,p_4_out_carry__8_n_2,p_4_out_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,p_4_out_carry__8_i_1_n_0,p_4_out_carry__8_i_2_n_0,p_4_out_carry__8_i_3_n_0}), - .O(p_4_out[39:36]), - .S({p_4_out_carry__8_i_4_n_0,p_4_out_carry__8_i_5_n_0,p_4_out_carry__8_i_6_n_0,p_4_out_carry__8_i_7_n_0})); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__8_i_1 - (.I0(y_mul__1__0[58]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[37]), - .I3(Q[37]), - .I4(x_mul2_out[37]), - .I5(cx0[3]), - .O(p_4_out_carry__8_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__8_i_2 - (.I0(y_mul__1__0[57]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[36]), - .I3(Q[36]), - .I4(x_mul2_out[36]), - .I5(cx0[3]), - .O(p_4_out_carry__8_i_2_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__8_i_3 - (.I0(y_mul__1__0[56]), - .I1(\intreg_reg[1][15]_0 ), - .I2(p_4_out_carry__8_i_4_0[35]), - .I3(Q[35]), - .I4(x_mul2_out[35]), - .I5(cx0[3]), - .O(p_4_out_carry__8_i_3_n_0)); - LUT5 #( - .INIT(32'h9F0960F6)) - p_4_out_carry__8_i_4 - (.I0(cx0[3]), - .I1(x_mul2_out[38]), - .I2(tap1[36]), - .I3(y_mul__1__0[59]), - .I4(p_4_out_carry__8_i_9_n_0), - .O(p_4_out_carry__8_i_4_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__8_i_5 - (.I0(p_4_out_carry__8_i_1_n_0), - .I1(tap1[36]), - .I2(y_mul__1__0[59]), - .I3(cx0[3]), - .I4(x_mul2_out[38]), - .O(p_4_out_carry__8_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__8_i_6 - (.I0(p_4_out_carry__8_i_2_n_0), - .I1(tap1[35]), - .I2(y_mul__1__0[58]), - .I3(cx0[3]), - .I4(x_mul2_out[37]), - .O(p_4_out_carry__8_i_6_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__8_i_7 - (.I0(p_4_out_carry__8_i_3_n_0), - .I1(tap1[34]), - .I2(y_mul__1__0[57]), - .I3(cx0[3]), - .I4(x_mul2_out[36]), - .O(p_4_out_carry__8_i_7_n_0)); - LUT6 #( - .INIT(64'h9669966996966969)) - p_4_out_carry__8_i_9 - (.I0(x_mul2_out[39]), - .I1(cx0[3]), - .I2(y_mul__1__0[60]), - .I3(Q[38]), - .I4(p_4_out_carry__8_i_4_0[38]), - .I5(\intreg_reg[1][15]_0 ), - .O(p_4_out_carry__8_i_9_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry_i_1 - (.I0(y_mul__0_n_99), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[2]), - .I3(Q[2]), - .I4(x_mul2_out[2]), - .I5(cx0[3]), - .O(p_4_out_carry_i_1_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry_i_2 - (.I0(P[1]), - .I1(ch), - .I2(p_4_out_carry__8_i_4_0[1]), - .I3(Q[1]), - .I4(O), - .I5(cx0[3]), - .O(p_4_out_carry_i_2_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry_i_5 - (.I0(p_4_out_carry_i_1_n_0), - .I1(tap1[1]), - .I2(y_mul__0_n_98), - .I3(cx0[3]), - .I4(x_mul2_out[3]), - .O(p_4_out_carry_i_5_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry_i_6 - (.I0(p_4_out_carry_i_2_n_0), - .I1(tap1[0]), - .I2(y_mul__0_n_99), - .I3(cx0[3]), - .I4(x_mul2_out[2]), - .O(p_4_out_carry_i_6_n_0)); - LUT6 #( - .INIT(64'h665A99A599A5665A)) - p_4_out_carry_i_8 - (.I0(P[0]), - .I1(Q[0]), - .I2(p_4_out_carry__8_i_4_0[0]), - .I3(ch), - .I4(x_mul2_out[0]), - .I5(cx0[3]), - .O(p_4_out_carry_i_8_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry - (.CI(1'b0), - .CO({x_mul1__0_carry_n_0,x_mul1__0_carry_n_1,x_mul1__0_carry_n_2,x_mul1__0_carry_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry_i_1_n_0,x_mul1__0_carry_i_2_n_0,x_mul1__0_carry_i_3_n_0,1'b0}), - .O({x_mul2_out[3:2],O,x_mul2_out[0]}), - .S({x_mul1__0_carry_i_4_n_0,x_mul1__0_carry_i_5_n_0,x_mul1__0_carry_i_6_n_0,x_mul1__0_carry_i_7_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__0 - (.CI(x_mul1__0_carry_n_0), - .CO({x_mul1__0_carry__0_n_0,x_mul1__0_carry__0_n_1,x_mul1__0_carry__0_n_2,x_mul1__0_carry__0_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__0_i_1_n_0,x_mul1__0_carry__0_i_2_n_0,x_mul1__0_carry__0_i_3_n_0,x_mul1__0_carry__0_i_4_n_0}), - .O(x_mul2_out[7:4]), - .S({x_mul1__0_carry__0_i_5_n_0,x_mul1__0_carry__0_i_6_n_0,x_mul1__0_carry__0_i_7_n_0,x_mul1__0_carry__0_i_8_n_0})); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_1 - (.I0(y_mul__0_1[9]), - .I1(cx0[1]), - .I2(y_mul__0_1[8]), - .I3(cx0[2]), - .I4(y_mul__0_1[10]), - .I5(cx0[0]), - .O(x_mul1__0_carry__0_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_10__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[9]), - .O(x_mul1__0_carry__0_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_11__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[8]), - .O(x_mul1__0_carry__0_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_12__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[7]), - .O(x_mul1__0_carry__0_i_12__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_2 - (.I0(y_mul__0_1[8]), - .I1(cx0[1]), - .I2(y_mul__0_1[7]), - .I3(cx0[2]), - .I4(y_mul__0_1[9]), - .I5(cx0[0]), - .O(x_mul1__0_carry__0_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_3 - (.I0(y_mul__0_1[7]), - .I1(cx0[1]), - .I2(y_mul__0_1[6]), - .I3(cx0[2]), - .I4(y_mul__0_1[8]), - .I5(cx0[0]), - .O(x_mul1__0_carry__0_i_3_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_4 - (.I0(y_mul__0_1[6]), - .I1(cx0[1]), - .I2(y_mul__0_1[5]), - .I3(cx0[2]), - .I4(y_mul__0_1[7]), - .I5(cx0[0]), - .O(x_mul1__0_carry__0_i_4_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_5 - (.I0(x_mul1__0_carry__0_i_1_n_0), - .I1(y_mul__0_1[9]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__0_i_9__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[11]), - .O(x_mul1__0_carry__0_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_6 - (.I0(x_mul1__0_carry__0_i_2_n_0), - .I1(y_mul__0_1[8]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__0_i_10__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[10]), - .O(x_mul1__0_carry__0_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_7 - (.I0(x_mul1__0_carry__0_i_3_n_0), - .I1(y_mul__0_1[7]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__0_i_11__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[9]), - .O(x_mul1__0_carry__0_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_8 - (.I0(x_mul1__0_carry__0_i_4_n_0), - .I1(y_mul__0_1[6]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__0_i_12__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[8]), - .O(x_mul1__0_carry__0_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_9__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[10]), - .O(x_mul1__0_carry__0_i_9__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__1 - (.CI(x_mul1__0_carry__0_n_0), - .CO({x_mul1__0_carry__1_n_0,x_mul1__0_carry__1_n_1,x_mul1__0_carry__1_n_2,x_mul1__0_carry__1_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__1_i_1_n_0,x_mul1__0_carry__1_i_2_n_0,x_mul1__0_carry__1_i_3_n_0,x_mul1__0_carry__1_i_4_n_0}), - .O(x_mul2_out[11:8]), - .S({x_mul1__0_carry__1_i_5_n_0,x_mul1__0_carry__1_i_6_n_0,x_mul1__0_carry__1_i_7_n_0,x_mul1__0_carry__1_i_8_n_0})); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_1 - (.I0(y_mul__0_1[13]), - .I1(cx0[1]), - .I2(y_mul__0_1[12]), - .I3(cx0[2]), - .I4(y_mul__0_1[14]), - .I5(cx0[0]), - .O(x_mul1__0_carry__1_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_10__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[13]), - .O(x_mul1__0_carry__1_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_11__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[12]), - .O(x_mul1__0_carry__1_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_12__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[11]), - .O(x_mul1__0_carry__1_i_12__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_2 - (.I0(y_mul__0_1[12]), - .I1(cx0[1]), - .I2(y_mul__0_1[11]), - .I3(cx0[2]), - .I4(y_mul__0_1[13]), - .I5(cx0[0]), - .O(x_mul1__0_carry__1_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_3 - (.I0(y_mul__0_1[11]), - .I1(cx0[1]), - .I2(y_mul__0_1[10]), - .I3(cx0[2]), - .I4(y_mul__0_1[12]), - .I5(cx0[0]), - .O(x_mul1__0_carry__1_i_3_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_4 - (.I0(y_mul__0_1[10]), - .I1(cx0[1]), - .I2(y_mul__0_1[9]), - .I3(cx0[2]), - .I4(y_mul__0_1[11]), - .I5(cx0[0]), - .O(x_mul1__0_carry__1_i_4_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_5 - (.I0(x_mul1__0_carry__1_i_1_n_0), - .I1(y_mul__0_1[13]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__1_i_9__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[15]), - .O(x_mul1__0_carry__1_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_6 - (.I0(x_mul1__0_carry__1_i_2_n_0), - .I1(y_mul__0_1[12]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__1_i_10__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[14]), - .O(x_mul1__0_carry__1_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_7 - (.I0(x_mul1__0_carry__1_i_3_n_0), - .I1(y_mul__0_1[11]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__1_i_11__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[13]), - .O(x_mul1__0_carry__1_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_8 - (.I0(x_mul1__0_carry__1_i_4_n_0), - .I1(y_mul__0_1[10]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__1_i_12__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[12]), - .O(x_mul1__0_carry__1_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_9__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[14]), - .O(x_mul1__0_carry__1_i_9__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__2 - (.CI(x_mul1__0_carry__1_n_0), - .CO({x_mul1__0_carry__2_n_0,x_mul1__0_carry__2_n_1,x_mul1__0_carry__2_n_2,x_mul1__0_carry__2_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__2_i_1_n_0,x_mul1__0_carry__2_i_2_n_0,x_mul1__0_carry__2_i_3_n_0,x_mul1__0_carry__2_i_4_n_0}), - .O(x_mul2_out[15:12]), - .S({x_mul1__0_carry__2_i_5_n_0,x_mul1__0_carry__2_i_6_n_0,x_mul1__0_carry__2_i_7_n_0,x_mul1__0_carry__2_i_8_n_0})); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_1 - (.I0(y_mul__0_1[17]), - .I1(cx0[1]), - .I2(y_mul__0_1[16]), - .I3(cx0[2]), - .I4(y_mul__0_1[18]), - .I5(cx0[0]), - .O(x_mul1__0_carry__2_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_10__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[17]), - .O(x_mul1__0_carry__2_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_11__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[16]), - .O(x_mul1__0_carry__2_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_12__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[15]), - .O(x_mul1__0_carry__2_i_12__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_2 - (.I0(y_mul__0_1[16]), - .I1(cx0[1]), - .I2(y_mul__0_1[15]), - .I3(cx0[2]), - .I4(y_mul__0_1[17]), - .I5(cx0[0]), - .O(x_mul1__0_carry__2_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_3 - (.I0(y_mul__0_1[15]), - .I1(cx0[1]), - .I2(y_mul__0_1[14]), - .I3(cx0[2]), - .I4(y_mul__0_1[16]), - .I5(cx0[0]), - .O(x_mul1__0_carry__2_i_3_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_4 - (.I0(y_mul__0_1[14]), - .I1(cx0[1]), - .I2(y_mul__0_1[13]), - .I3(cx0[2]), - .I4(y_mul__0_1[15]), - .I5(cx0[0]), - .O(x_mul1__0_carry__2_i_4_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_5 - (.I0(x_mul1__0_carry__2_i_1_n_0), - .I1(y_mul__0_1[17]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__2_i_9__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[19]), - .O(x_mul1__0_carry__2_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_6 - (.I0(x_mul1__0_carry__2_i_2_n_0), - .I1(y_mul__0_1[16]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__2_i_10__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[18]), - .O(x_mul1__0_carry__2_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_7 - (.I0(x_mul1__0_carry__2_i_3_n_0), - .I1(y_mul__0_1[15]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__2_i_11__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[17]), - .O(x_mul1__0_carry__2_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_8 - (.I0(x_mul1__0_carry__2_i_4_n_0), - .I1(y_mul__0_1[14]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__2_i_12__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[16]), - .O(x_mul1__0_carry__2_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair8" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_9__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[18]), - .O(x_mul1__0_carry__2_i_9__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__3 - (.CI(x_mul1__0_carry__2_n_0), - .CO({x_mul1__0_carry__3_n_0,x_mul1__0_carry__3_n_1,x_mul1__0_carry__3_n_2,x_mul1__0_carry__3_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__3_i_1_n_0,x_mul1__0_carry__3_i_2_n_0,x_mul1__0_carry__3_i_3_n_0,x_mul1__0_carry__3_i_4_n_0}), - .O(x_mul2_out[19:16]), - .S({x_mul1__0_carry__3_i_5_n_0,x_mul1__0_carry__3_i_6_n_0,x_mul1__0_carry__3_i_7_n_0,x_mul1__0_carry__3_i_8_n_0})); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_1 - (.I0(y_mul__0_1[21]), - .I1(cx0[1]), - .I2(y_mul__0_1[20]), - .I3(cx0[2]), - .I4(y_mul__0_1[22]), - .I5(cx0[0]), - .O(x_mul1__0_carry__3_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_10__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[21]), - .O(x_mul1__0_carry__3_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_11__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[20]), - .O(x_mul1__0_carry__3_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair8" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_12__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[19]), - .O(x_mul1__0_carry__3_i_12__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_2 - (.I0(y_mul__0_1[20]), - .I1(cx0[1]), - .I2(y_mul__0_1[19]), - .I3(cx0[2]), - .I4(y_mul__0_1[21]), - .I5(cx0[0]), - .O(x_mul1__0_carry__3_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_3 - (.I0(y_mul__0_1[19]), - .I1(cx0[1]), - .I2(y_mul__0_1[18]), - .I3(cx0[2]), - .I4(y_mul__0_1[20]), - .I5(cx0[0]), - .O(x_mul1__0_carry__3_i_3_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_4 - (.I0(y_mul__0_1[18]), - .I1(cx0[1]), - .I2(y_mul__0_1[17]), - .I3(cx0[2]), - .I4(y_mul__0_1[19]), - .I5(cx0[0]), - .O(x_mul1__0_carry__3_i_4_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_5 - (.I0(x_mul1__0_carry__3_i_1_n_0), - .I1(y_mul__0_1[21]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__3_i_9__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[23]), - .O(x_mul1__0_carry__3_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_6 - (.I0(x_mul1__0_carry__3_i_2_n_0), - .I1(y_mul__0_1[20]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__3_i_10__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[22]), - .O(x_mul1__0_carry__3_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_7 - (.I0(x_mul1__0_carry__3_i_3_n_0), - .I1(y_mul__0_1[19]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__3_i_11__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[21]), - .O(x_mul1__0_carry__3_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_8 - (.I0(x_mul1__0_carry__3_i_4_n_0), - .I1(y_mul__0_1[18]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__3_i_12__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[20]), - .O(x_mul1__0_carry__3_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_9__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[22]), - .O(x_mul1__0_carry__3_i_9__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__4 - (.CI(x_mul1__0_carry__3_n_0), - .CO({x_mul1__0_carry__4_n_0,x_mul1__0_carry__4_n_1,x_mul1__0_carry__4_n_2,x_mul1__0_carry__4_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__4_i_1_n_0,x_mul1__0_carry__4_i_2_n_0,x_mul1__0_carry__4_i_3_n_0,x_mul1__0_carry__4_i_4_n_0}), - .O(x_mul2_out[23:20]), - .S({x_mul1__0_carry__4_i_5_n_0,x_mul1__0_carry__4_i_6_n_0,x_mul1__0_carry__4_i_7_n_0,x_mul1__0_carry__4_i_8_n_0})); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_1 - (.I0(y_mul__0_1[25]), - .I1(cx0[1]), - .I2(y_mul__0_1[24]), - .I3(cx0[2]), - .I4(y_mul__0_1[26]), - .I5(cx0[0]), - .O(x_mul1__0_carry__4_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_10__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[25]), - .O(x_mul1__0_carry__4_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_11__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[24]), - .O(x_mul1__0_carry__4_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_12__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[23]), - .O(x_mul1__0_carry__4_i_12__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_2 - (.I0(y_mul__0_1[24]), - .I1(cx0[1]), - .I2(y_mul__0_1[23]), - .I3(cx0[2]), - .I4(y_mul__0_1[25]), - .I5(cx0[0]), - .O(x_mul1__0_carry__4_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_3 - (.I0(y_mul__0_1[23]), - .I1(cx0[1]), - .I2(y_mul__0_1[22]), - .I3(cx0[2]), - .I4(y_mul__0_1[24]), - .I5(cx0[0]), - .O(x_mul1__0_carry__4_i_3_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_4 - (.I0(y_mul__0_1[22]), - .I1(cx0[1]), - .I2(y_mul__0_1[21]), - .I3(cx0[2]), - .I4(y_mul__0_1[23]), - .I5(cx0[0]), - .O(x_mul1__0_carry__4_i_4_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_5 - (.I0(x_mul1__0_carry__4_i_1_n_0), - .I1(y_mul__0_1[25]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__4_i_9__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[27]), - .O(x_mul1__0_carry__4_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_6 - (.I0(x_mul1__0_carry__4_i_2_n_0), - .I1(y_mul__0_1[24]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__4_i_10__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[26]), - .O(x_mul1__0_carry__4_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_7 - (.I0(x_mul1__0_carry__4_i_3_n_0), - .I1(y_mul__0_1[23]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__4_i_11__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[25]), - .O(x_mul1__0_carry__4_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_8 - (.I0(x_mul1__0_carry__4_i_4_n_0), - .I1(y_mul__0_1[22]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__4_i_12__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[24]), - .O(x_mul1__0_carry__4_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_9__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[26]), - .O(x_mul1__0_carry__4_i_9__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__5 - (.CI(x_mul1__0_carry__4_n_0), - .CO({x_mul1__0_carry__5_n_0,x_mul1__0_carry__5_n_1,x_mul1__0_carry__5_n_2,x_mul1__0_carry__5_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__5_i_1_n_0,x_mul1__0_carry__5_i_2_n_0,x_mul1__0_carry__5_i_3_n_0,x_mul1__0_carry__5_i_4_n_0}), - .O(x_mul2_out[27:24]), - .S({x_mul1__0_carry__5_i_5_n_0,x_mul1__0_carry__5_i_6_n_0,x_mul1__0_carry__5_i_7_n_0,x_mul1__0_carry__5_i_8_n_0})); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_1 - (.I0(y_mul__0_1[29]), - .I1(cx0[1]), - .I2(y_mul__0_1[28]), - .I3(cx0[2]), - .I4(y_mul__0_1[30]), - .I5(cx0[0]), - .O(x_mul1__0_carry__5_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_10__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[29]), - .O(x_mul1__0_carry__5_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_11__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[28]), - .O(x_mul1__0_carry__5_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_12__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[27]), - .O(x_mul1__0_carry__5_i_12__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_2 - (.I0(y_mul__0_1[28]), - .I1(cx0[1]), - .I2(y_mul__0_1[27]), - .I3(cx0[2]), - .I4(y_mul__0_1[29]), - .I5(cx0[0]), - .O(x_mul1__0_carry__5_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_3 - (.I0(y_mul__0_1[27]), - .I1(cx0[1]), - .I2(y_mul__0_1[26]), - .I3(cx0[2]), - .I4(y_mul__0_1[28]), - .I5(cx0[0]), - .O(x_mul1__0_carry__5_i_3_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_4 - (.I0(y_mul__0_1[26]), - .I1(cx0[1]), - .I2(y_mul__0_1[25]), - .I3(cx0[2]), - .I4(y_mul__0_1[27]), - .I5(cx0[0]), - .O(x_mul1__0_carry__5_i_4_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_5 - (.I0(x_mul1__0_carry__5_i_1_n_0), - .I1(y_mul__0_1[29]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__5_i_9__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[31]), - .O(x_mul1__0_carry__5_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_6 - (.I0(x_mul1__0_carry__5_i_2_n_0), - .I1(y_mul__0_1[28]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__5_i_10__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[30]), - .O(x_mul1__0_carry__5_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_7 - (.I0(x_mul1__0_carry__5_i_3_n_0), - .I1(y_mul__0_1[27]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__5_i_11__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[29]), - .O(x_mul1__0_carry__5_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_8 - (.I0(x_mul1__0_carry__5_i_4_n_0), - .I1(y_mul__0_1[26]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__5_i_12__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[28]), - .O(x_mul1__0_carry__5_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_9__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[30]), - .O(x_mul1__0_carry__5_i_9__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__6 - (.CI(x_mul1__0_carry__5_n_0), - .CO({x_mul1__0_carry__6_n_0,x_mul1__0_carry__6_n_1,x_mul1__0_carry__6_n_2,x_mul1__0_carry__6_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__6_i_1_n_0,x_mul1__0_carry__6_i_2_n_0,x_mul1__0_carry__6_i_3_n_0,x_mul1__0_carry__6_i_4_n_0}), - .O(x_mul2_out[31:28]), - .S({x_mul1__0_carry__6_i_5_n_0,x_mul1__0_carry__6_i_6_n_0,x_mul1__0_carry__6_i_7_n_0,x_mul1__0_carry__6_i_8_n_0})); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_1 - (.I0(y_mul__0_1[33]), - .I1(cx0[1]), - .I2(y_mul__0_1[32]), - .I3(cx0[2]), - .I4(y_mul__0_1[34]), - .I5(cx0[0]), - .O(x_mul1__0_carry__6_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_10__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[33]), - .O(x_mul1__0_carry__6_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_11__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[32]), - .O(x_mul1__0_carry__6_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_12__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[31]), - .O(x_mul1__0_carry__6_i_12__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_2 - (.I0(y_mul__0_1[32]), - .I1(cx0[1]), - .I2(y_mul__0_1[31]), - .I3(cx0[2]), - .I4(y_mul__0_1[33]), - .I5(cx0[0]), - .O(x_mul1__0_carry__6_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_3 - (.I0(y_mul__0_1[31]), - .I1(cx0[1]), - .I2(y_mul__0_1[30]), - .I3(cx0[2]), - .I4(y_mul__0_1[32]), - .I5(cx0[0]), - .O(x_mul1__0_carry__6_i_3_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_4 - (.I0(y_mul__0_1[30]), - .I1(cx0[1]), - .I2(y_mul__0_1[29]), - .I3(cx0[2]), - .I4(y_mul__0_1[31]), - .I5(cx0[0]), - .O(x_mul1__0_carry__6_i_4_n_0)); - LUT6 #( - .INIT(64'h6A959595956A6A6A)) - x_mul1__0_carry__6_i_5 - (.I0(x_mul1__0_carry__6_i_1_n_0), - .I1(y_mul__0_1[33]), - .I2(cx0[2]), - .I3(y_mul__0_1[34]), - .I4(cx0[1]), - .I5(x_mul__39), - .O(x_mul1__0_carry__6_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_6 - (.I0(x_mul1__0_carry__6_i_2_n_0), - .I1(y_mul__0_1[32]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__6_i_10__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[34]), - .O(x_mul1__0_carry__6_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_7 - (.I0(x_mul1__0_carry__6_i_3_n_0), - .I1(y_mul__0_1[31]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__6_i_11__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[33]), - .O(x_mul1__0_carry__6_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_8 - (.I0(x_mul1__0_carry__6_i_4_n_0), - .I1(y_mul__0_1[30]), - .I2(cx0[2]), - .I3(x_mul1__0_carry__6_i_12__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[32]), - .O(x_mul1__0_carry__6_i_8_n_0)); - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_9 - (.I0(cx0[0]), - .I1(y_mul__0_1[35]), - .O(x_mul__39)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__7 - (.CI(x_mul1__0_carry__6_n_0), - .CO({x_mul1__0_carry__7_n_0,x_mul1__0_carry__7_n_1,x_mul1__0_carry__7_n_2,x_mul1__0_carry__7_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__7_i_1_n_0,x_mul1__0_carry__7_i_1_n_0,x_mul1__0_carry__7_i_2_n_0,x_mul1__0_carry__7_i_3_n_0}), - .O(x_mul2_out[35:32]), - .S({x_mul1__0_carry__7_i_4_n_0,x_mul1__0_carry__7_i_5_n_0,x_mul1__0_carry__7_i_6_n_0,x_mul1__0_carry__7_i_7_n_0})); - (* HLUTNM = "lutpair0" *) - LUT4 #( - .INIT(16'hC880)) - x_mul1__0_carry__7_i_1 - (.I0(cx0[1]), - .I1(y_mul__0_1[35]), - .I2(cx0[2]), - .I3(cx0[0]), - .O(x_mul1__0_carry__7_i_1_n_0)); - LUT5 #( - .INIT(32'hA8888000)) - x_mul1__0_carry__7_i_2 - (.I0(y_mul__0_1[35]), - .I1(cx0[1]), - .I2(y_mul__0_1[34]), - .I3(cx0[2]), - .I4(cx0[0]), - .O(x_mul1__0_carry__7_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__7_i_3 - (.I0(y_mul__0_1[34]), - .I1(cx0[1]), - .I2(y_mul__0_1[33]), - .I3(cx0[2]), - .I4(y_mul__0_1[35]), - .I5(cx0[0]), - .O(x_mul1__0_carry__7_i_3_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_4 - (.I0(x_mul1__0_carry__7_i_1_n_0), - .I1(cx0[2]), - .I2(y_mul__0_1[35]), - .I3(cx0[1]), - .I4(cx0[0]), - .O(x_mul1__0_carry__7_i_4_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_5 - (.I0(x_mul1__0_carry__7_i_1_n_0), - .I1(cx0[2]), - .I2(y_mul__0_1[35]), - .I3(cx0[1]), - .I4(cx0[0]), - .O(x_mul1__0_carry__7_i_5_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_6 - (.I0(x_mul1__0_carry__7_i_2_n_0), - .I1(cx0[2]), - .I2(y_mul__0_1[35]), - .I3(cx0[1]), - .I4(cx0[0]), - .O(x_mul1__0_carry__7_i_6_n_0)); - LUT6 #( - .INIT(64'h6A6A956A956A6A6A)) - x_mul1__0_carry__7_i_7 - (.I0(x_mul1__0_carry__7_i_3_n_0), - .I1(y_mul__0_1[34]), - .I2(cx0[2]), - .I3(y_mul__0_1[35]), - .I4(cx0[1]), - .I5(cx0[0]), - .O(x_mul1__0_carry__7_i_7_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__8 - (.CI(x_mul1__0_carry__7_n_0), - .CO({NLW_x_mul1__0_carry__8_CO_UNCONNECTED[3],x_mul1__0_carry__8_n_1,x_mul1__0_carry__8_n_2,x_mul1__0_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,x_mul1__0_carry__7_i_1_n_0,x_mul1__0_carry__7_i_1_n_0,x_mul1__0_carry__7_i_1_n_0}), - .O(x_mul2_out[39:36]), - .S({x_mul1__0_carry__8_i_1_n_0,x_mul1__0_carry__8_i_2_n_0,x_mul1__0_carry__8_i_3_n_0,x_mul1__0_carry__8_i_4_n_0})); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_1 - (.I0(x_mul1__0_carry__7_i_1_n_0), - .I1(cx0[2]), - .I2(y_mul__0_1[35]), - .I3(cx0[1]), - .I4(cx0[0]), - .O(x_mul1__0_carry__8_i_1_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_2 - (.I0(x_mul1__0_carry__7_i_1_n_0), - .I1(cx0[2]), - .I2(y_mul__0_1[35]), - .I3(cx0[1]), - .I4(cx0[0]), - .O(x_mul1__0_carry__8_i_2_n_0)); - (* HLUTNM = "lutpair0" *) - LUT5 #( - .INIT(32'h7BB78448)) - x_mul1__0_carry__8_i_3 - (.I0(cx0[1]), - .I1(y_mul__0_1[35]), - .I2(cx0[2]), - .I3(cx0[0]), - .I4(x_mul1__0_carry__7_i_1_n_0), - .O(x_mul1__0_carry__8_i_3_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_4 - (.I0(x_mul1__0_carry__7_i_1_n_0), - .I1(cx0[2]), - .I2(y_mul__0_1[35]), - .I3(cx0[1]), - .I4(cx0[0]), - .O(x_mul1__0_carry__8_i_4_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_1 - (.I0(y_mul__0_1[5]), - .I1(cx0[1]), - .I2(y_mul__0_1[4]), - .I3(cx0[2]), - .I4(y_mul__0_1[6]), - .I5(cx0[0]), - .O(x_mul1__0_carry_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_10__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[4]), - .O(x_mul1__0_carry_i_10__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_2 - (.I0(y_mul__0_1[4]), - .I1(cx0[1]), - .I2(y_mul__0_1[3]), - .I3(cx0[2]), - .I4(y_mul__0_1[5]), - .I5(cx0[0]), - .O(x_mul1__0_carry_i_2_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_3 - (.I0(y_mul__0_1[3]), - .I1(cx0[1]), - .I2(y_mul__0_1[2]), - .I3(cx0[2]), - .I4(y_mul__0_1[4]), - .I5(cx0[0]), - .O(x_mul1__0_carry_i_3_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_4 - (.I0(x_mul1__0_carry_i_1_n_0), - .I1(y_mul__0_1[5]), - .I2(cx0[2]), - .I3(x_mul1__0_carry_i_8__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[7]), - .O(x_mul1__0_carry_i_4_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_5 - (.I0(x_mul1__0_carry_i_2_n_0), - .I1(y_mul__0_1[4]), - .I2(cx0[2]), - .I3(x_mul1__0_carry_i_9__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[6]), - .O(x_mul1__0_carry_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_6 - (.I0(x_mul1__0_carry_i_3_n_0), - .I1(y_mul__0_1[3]), - .I2(cx0[2]), - .I3(x_mul1__0_carry_i_10__1_n_0), - .I4(cx0[0]), - .I5(y_mul__0_1[5]), - .O(x_mul1__0_carry_i_6_n_0)); - LUT6 #( - .INIT(64'h8777788878887888)) - x_mul1__0_carry_i_7 - (.I0(y_mul__0_1[4]), - .I1(cx0[0]), - .I2(cx0[1]), - .I3(y_mul__0_1[3]), - .I4(cx0[2]), - .I5(y_mul__0_1[2]), - .O(x_mul1__0_carry_i_7_n_0)); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_8__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[6]), - .O(x_mul1__0_carry_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_9__1 - (.I0(cx0[1]), - .I1(y_mul__0_1[5]), - .O(x_mul1__0_carry_i_9__1_n_0)); - LUT3 #( - .INIT(8'h1B)) - y_carry_i_1 - (.I0(\intreg_reg[1][15]_0 ), - .I1(\intreg_reg[0]_0 [35]), - .I2(\intreg_reg[1]_1 [35]), - .O(ch_reg_rep_0)); - LUT3 #( - .INIT(8'hAC)) - y_carry_i_1__0 - (.I0(\intreg_reg[1]_1 [37]), - .I1(\intreg_reg[0]_0 [37]), - .I2(\intreg_reg[1][15]_0 ), - .O(\intreg_reg[1][37]_0 [2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_1__1 - (.I0(y_mul__0_1[3]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [3]), - .I3(\intreg_reg[1]_1 [3]), - .O(inp_mul__0_16[3])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_1__2 - (.I0(y_mul__0_1[7]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [7]), - .I3(\intreg_reg[1]_1 [7]), - .O(inp_mul__0_17[3])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_1__3 - (.I0(y_mul__0_1[11]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [11]), - .I3(\intreg_reg[1]_1 [11]), - .O(inp_mul__0_18[3])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_1__4 - (.I0(y_mul__0_1[15]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [15]), - .I3(\intreg_reg[1]_1 [15]), - .O(inp_mul__0_19[3])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_1__5 - (.I0(y_mul__0_1[19]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [19]), - .I3(\intreg_reg[1]_1 [19]), - .O(inp_mul__0_20[3])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_1__6 - (.I0(y_mul__0_1[23]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [23]), - .I3(\intreg_reg[1]_1 [23]), - .O(inp_mul__0_21[3])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_1__7 - (.I0(y_mul__0_1[27]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [27]), - .I3(\intreg_reg[1]_1 [27]), - .O(inp_mul__0_22[3])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_1__8 - (.I0(y_mul__0_1[31]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [31]), - .I3(\intreg_reg[1]_1 [31]), - .O(inp_mul__0_23[3])); - LUT3 #( - .INIT(8'hAC)) - y_carry_i_2 - (.I0(\intreg_reg[1]_1 [36]), - .I1(\intreg_reg[0]_0 [36]), - .I2(\intreg_reg[1][15]_0 ), - .O(\intreg_reg[1][37]_0 [1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_2__0 - (.I0(y_mul__0_1[2]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [2]), - .I3(\intreg_reg[1]_1 [2]), - .O(inp_mul__0_16[2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_2__1 - (.I0(y_mul__0_1[6]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [6]), - .I3(\intreg_reg[1]_1 [6]), - .O(inp_mul__0_17[2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_2__2 - (.I0(y_mul__0_1[10]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [10]), - .I3(\intreg_reg[1]_1 [10]), - .O(inp_mul__0_18[2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_2__3 - (.I0(y_mul__0_1[14]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [14]), - .I3(\intreg_reg[1]_1 [14]), - .O(inp_mul__0_19[2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_2__4 - (.I0(y_mul__0_1[18]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [18]), - .I3(\intreg_reg[1]_1 [18]), - .O(inp_mul__0_20[2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_2__5 - (.I0(y_mul__0_1[22]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [22]), - .I3(\intreg_reg[1]_1 [22]), - .O(inp_mul__0_21[2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_2__6 - (.I0(y_mul__0_1[26]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [26]), - .I3(\intreg_reg[1]_1 [26]), - .O(inp_mul__0_22[2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_2__7 - (.I0(y_mul__0_1[30]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [30]), - .I3(\intreg_reg[1]_1 [30]), - .O(inp_mul__0_23[2])); - LUT4 #( - .INIT(16'h1BE4)) - y_carry_i_2__8 - (.I0(\intreg_reg[1][15]_0 ), - .I1(\intreg_reg[0]_0 [35]), - .I2(\intreg_reg[1]_1 [35]), - .I3(y_mul__0_1[35]), - .O(ch_reg_rep[3])); - LUT3 #( - .INIT(8'hAC)) - y_carry_i_3 - (.I0(\intreg_reg[1]_1 [35]), - .I1(\intreg_reg[0]_0 [35]), - .I2(\intreg_reg[1][15]_0 ), - .O(\intreg_reg[1][37]_0 [0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__0 - (.I0(y_mul__0_1[1]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [1]), - .I3(\intreg_reg[1]_1 [1]), - .O(inp_mul__0_16[1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__1 - (.I0(y_mul__0_1[5]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [5]), - .I3(\intreg_reg[1]_1 [5]), - .O(inp_mul__0_17[1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__2 - (.I0(y_mul__0_1[9]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [9]), - .I3(\intreg_reg[1]_1 [9]), - .O(inp_mul__0_18[1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__3 - (.I0(y_mul__0_1[13]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [13]), - .I3(\intreg_reg[1]_1 [13]), - .O(inp_mul__0_19[1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__4 - (.I0(y_mul__0_1[17]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [17]), - .I3(\intreg_reg[1]_1 [17]), - .O(inp_mul__0_20[1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__5 - (.I0(y_mul__0_1[21]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [21]), - .I3(\intreg_reg[1]_1 [21]), - .O(inp_mul__0_21[1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__6 - (.I0(y_mul__0_1[25]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [25]), - .I3(\intreg_reg[1]_1 [25]), - .O(inp_mul__0_22[1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__7 - (.I0(y_mul__0_1[29]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [29]), - .I3(\intreg_reg[1]_1 [29]), - .O(inp_mul__0_23[1])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_3__8 - (.I0(y_mul__0_1[34]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [34]), - .I3(\intreg_reg[1]_1 [34]), - .O(ch_reg_rep[2])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4 - (.I0(y_mul__0_1[0]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [0]), - .I3(\intreg_reg[1]_1 [0]), - .O(inp_mul__0_16[0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4__0 - (.I0(y_mul__0_1[4]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [4]), - .I3(\intreg_reg[1]_1 [4]), - .O(inp_mul__0_17[0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4__1 - (.I0(y_mul__0_1[8]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [8]), - .I3(\intreg_reg[1]_1 [8]), - .O(inp_mul__0_18[0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4__2 - (.I0(y_mul__0_1[12]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [12]), - .I3(\intreg_reg[1]_1 [12]), - .O(inp_mul__0_19[0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4__3 - (.I0(y_mul__0_1[16]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [16]), - .I3(\intreg_reg[1]_1 [16]), - .O(inp_mul__0_20[0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4__4 - (.I0(y_mul__0_1[20]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [20]), - .I3(\intreg_reg[1]_1 [20]), - .O(inp_mul__0_21[0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4__5 - (.I0(y_mul__0_1[24]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [24]), - .I3(\intreg_reg[1]_1 [24]), - .O(inp_mul__0_22[0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4__6 - (.I0(y_mul__0_1[28]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [28]), - .I3(\intreg_reg[1]_1 [28]), - .O(inp_mul__0_23[0])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_4__7 - (.I0(y_mul__0_1[33]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [33]), - .I3(\intreg_reg[1]_1 [33]), - .O(ch_reg_rep[1])); - LUT5 #( - .INIT(32'hCAC53A35)) - y_carry_i_4__8 - (.I0(\intreg_reg[0]_0 [38]), - .I1(\intreg_reg[1]_1 [38]), - .I2(\intreg_reg[1][15]_0 ), - .I3(\intreg_reg[0]_0 [39]), - .I4(\intreg_reg[1]_1 [39]), - .O(\intreg_reg[0][38]_0 [3])); - LUT4 #( - .INIT(16'h569A)) - y_carry_i_5 - (.I0(y_mul__0_1[32]), - .I1(\intreg_reg[1][15]_0 ), - .I2(\intreg_reg[0]_0 [32]), - .I3(\intreg_reg[1]_1 [32]), - .O(ch_reg_rep[0])); - LUT5 #( - .INIT(32'hCAC53A35)) - y_carry_i_5__0 - (.I0(\intreg_reg[0]_0 [37]), - .I1(\intreg_reg[1]_1 [37]), - .I2(\intreg_reg[1][15]_0 ), - .I3(\intreg_reg[0]_0 [38]), - .I4(\intreg_reg[1]_1 [38]), - .O(\intreg_reg[0][38]_0 [2])); - LUT5 #( - .INIT(32'hCAC53A35)) - y_carry_i_6 - (.I0(\intreg_reg[0]_0 [36]), - .I1(\intreg_reg[1]_1 [36]), - .I2(\intreg_reg[1][15]_0 ), - .I3(\intreg_reg[0]_0 [37]), - .I4(\intreg_reg[1]_1 [37]), - .O(\intreg_reg[0][38]_0 [1])); - LUT5 #( - .INIT(32'hCAC53A35)) - y_carry_i_7 - (.I0(\intreg_reg[0]_0 [35]), - .I1(\intreg_reg[1]_1 [35]), - .I2(\intreg_reg[1][15]_0 ), - .I3(\intreg_reg[0]_0 [36]), - .I4(\intreg_reg[1]_1 [36]), - .O(\intreg_reg[0][38]_0 [0])); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-10 {cell *THIS*} {string 18x24 3}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(0), - .BREG(0), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(1), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - y_mul - (.A({cy0[23],cy0[23],cy0[23],cy0[23],cy0[23],cy0[23],cy0}), - .ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .ACOUT(NLW_y_mul_ACOUT_UNCONNECTED[29:0]), - .ALUMODE({1'b0,1'b0,1'b0,1'b0}), - .B({1'b0,B}), - .BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .BCOUT(NLW_y_mul_BCOUT_UNCONNECTED[17:0]), - .C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CARRYCASCIN(1'b0), - .CARRYCASCOUT(NLW_y_mul_CARRYCASCOUT_UNCONNECTED), - .CARRYIN(1'b0), - .CARRYINSEL({1'b0,1'b0,1'b0}), - .CARRYOUT(NLW_y_mul_CARRYOUT_UNCONNECTED[3:0]), - .CEA1(1'b0), - .CEA2(1'b0), - .CEAD(1'b0), - .CEALUMODE(1'b0), - .CEB1(1'b0), - .CEB2(1'b0), - .CEC(1'b0), - .CECARRYIN(1'b0), - .CECTRL(1'b0), - .CED(1'b0), - .CEINMODE(1'b0), - .CEM(1'b0), - .CEP(1'b0), - .CLK(1'b0), - .D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}), - .MULTSIGNIN(1'b0), - .MULTSIGNOUT(NLW_y_mul_MULTSIGNOUT_UNCONNECTED), - .OPMODE({1'b0,1'b0,1'b0,1'b0,1'b1,1'b0,1'b1}), - .OVERFLOW(NLW_y_mul_OVERFLOW_UNCONNECTED), - .P({y_mul_n_58,y_mul_n_59,y_mul_n_60,y_mul_n_61,y_mul_n_62,y_mul_n_63,y_mul_n_64,y_mul_n_65,y_mul_n_66,y_mul_n_67,y_mul_n_68,y_mul_n_69,y_mul_n_70,y_mul_n_71,y_mul_n_72,y_mul_n_73,y_mul_n_74,y_mul_n_75,y_mul_n_76,y_mul_n_77,y_mul_n_78,y_mul_n_79,y_mul_n_80,y_mul_n_81,y_mul_n_82,y_mul_n_83,y_mul_n_84,y_mul_n_85,y_mul_n_86,y_mul_n_87,y_mul_n_88,y_mul_n_89,y_mul_n_90,y_mul_n_91,y_mul_n_92,y_mul_n_93,y_mul_n_94,y_mul_n_95,y_mul_n_96,y_mul_n_97,y_mul_n_98,y_mul_n_99,y_mul_n_100,y_mul_n_101,y_mul_n_102,y_mul_n_103,y_mul_n_104,y_mul_n_105}), - .PATTERNBDETECT(NLW_y_mul_PATTERNBDETECT_UNCONNECTED), - .PATTERNDETECT(NLW_y_mul_PATTERNDETECT_UNCONNECTED), - .PCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .PCOUT({y_mul_n_106,y_mul_n_107,y_mul_n_108,y_mul_n_109,y_mul_n_110,y_mul_n_111,y_mul_n_112,y_mul_n_113,y_mul_n_114,y_mul_n_115,y_mul_n_116,y_mul_n_117,y_mul_n_118,y_mul_n_119,y_mul_n_120,y_mul_n_121,y_mul_n_122,y_mul_n_123,y_mul_n_124,y_mul_n_125,y_mul_n_126,y_mul_n_127,y_mul_n_128,y_mul_n_129,y_mul_n_130,y_mul_n_131,y_mul_n_132,y_mul_n_133,y_mul_n_134,y_mul_n_135,y_mul_n_136,y_mul_n_137,y_mul_n_138,y_mul_n_139,y_mul_n_140,y_mul_n_141,y_mul_n_142,y_mul_n_143,y_mul_n_144,y_mul_n_145,y_mul_n_146,y_mul_n_147,y_mul_n_148,y_mul_n_149,y_mul_n_150,y_mul_n_151,y_mul_n_152,y_mul_n_153}), - .RSTA(1'b0), - .RSTALLCARRYIN(1'b0), - .RSTALUMODE(1'b0), - .RSTB(1'b0), - .RSTC(1'b0), - .RSTCTRL(1'b0), - .RSTD(1'b0), - .RSTINMODE(1'b0), - .RSTM(1'b0), - .RSTP(1'b0), - .UNDERFLOW(NLW_y_mul_UNDERFLOW_UNCONNECTED)); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-13 {cell *THIS*}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(0), - .BREG(0), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(1), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - y_mul__0 - (.A({cy0[23],cy0[23],cy0[23],cy0[23],cy0[23],cy0[23],cy0}), - .ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .ACOUT(NLW_y_mul__0_ACOUT_UNCONNECTED[29:0]), - .ALUMODE({1'b0,1'b0,1'b0,1'b0}), - .B({1'b0,y_mul__0_0}), - .BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .BCOUT(NLW_y_mul__0_BCOUT_UNCONNECTED[17:0]), - .C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CARRYCASCIN(1'b0), - .CARRYCASCOUT(NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED), - .CARRYIN(1'b0), - .CARRYINSEL({1'b0,1'b0,1'b0}), - .CARRYOUT(NLW_y_mul__0_CARRYOUT_UNCONNECTED[3:0]), - .CEA1(1'b0), - .CEA2(1'b0), - .CEAD(1'b0), - .CEALUMODE(1'b0), - .CEB1(1'b0), - .CEB2(1'b0), - .CEC(1'b0), - .CECARRYIN(1'b0), - .CECTRL(1'b0), - .CED(1'b0), - .CEINMODE(1'b0), - .CEM(1'b0), - .CEP(1'b0), - .CLK(1'b0), - .D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}), - .MULTSIGNIN(1'b0), - .MULTSIGNOUT(NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED), - .OPMODE({1'b1,1'b0,1'b1,1'b0,1'b1,1'b0,1'b1}), - .OVERFLOW(NLW_y_mul__0_OVERFLOW_UNCONNECTED), - .P({NLW_y_mul__0_P_UNCONNECTED[47:44],y_mul__0_n_62,y_mul__0_n_63,y_mul__0_n_64,y_mul__0_n_65,y_mul__0_n_66,y_mul__0_n_67,y_mul__0_n_68,y_mul__0_n_69,y_mul__0_n_70,y_mul__0_n_71,y_mul__0_n_72,y_mul__0_n_73,y_mul__0_n_74,y_mul__0_n_75,y_mul__0_n_76,y_mul__0_n_77,y_mul__0_n_78,y_mul__0_n_79,y_mul__0_n_80,y_mul__0_n_81,y_mul__0_n_82,y_mul__0_n_83,y_mul__0_n_84,y_mul__0_n_85,y_mul__0_n_86,y_mul__0_n_87,y_mul__0_n_88,y_mul__0_n_89,y_mul__0_n_90,y_mul__0_n_91,y_mul__0_n_92,y_mul__0_n_93,y_mul__0_n_94,y_mul__0_n_95,y_mul__0_n_96,y_mul__0_n_97,y_mul__0_n_98,y_mul__0_n_99,P,y_mul__0_n_102,y_mul__0_n_103,y_mul__0_n_104,y_mul__0_n_105}), - .PATTERNBDETECT(NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED), - .PATTERNDETECT(NLW_y_mul__0_PATTERNDETECT_UNCONNECTED), - .PCIN({y_mul_n_106,y_mul_n_107,y_mul_n_108,y_mul_n_109,y_mul_n_110,y_mul_n_111,y_mul_n_112,y_mul_n_113,y_mul_n_114,y_mul_n_115,y_mul_n_116,y_mul_n_117,y_mul_n_118,y_mul_n_119,y_mul_n_120,y_mul_n_121,y_mul_n_122,y_mul_n_123,y_mul_n_124,y_mul_n_125,y_mul_n_126,y_mul_n_127,y_mul_n_128,y_mul_n_129,y_mul_n_130,y_mul_n_131,y_mul_n_132,y_mul_n_133,y_mul_n_134,y_mul_n_135,y_mul_n_136,y_mul_n_137,y_mul_n_138,y_mul_n_139,y_mul_n_140,y_mul_n_141,y_mul_n_142,y_mul_n_143,y_mul_n_144,y_mul_n_145,y_mul_n_146,y_mul_n_147,y_mul_n_148,y_mul_n_149,y_mul_n_150,y_mul_n_151,y_mul_n_152,y_mul_n_153}), - .PCOUT(NLW_y_mul__0_PCOUT_UNCONNECTED[47:0]), - .RSTA(1'b0), - .RSTALLCARRYIN(1'b0), - .RSTALUMODE(1'b0), - .RSTB(1'b0), - .RSTC(1'b0), - .RSTCTRL(1'b0), - .RSTD(1'b0), - .RSTINMODE(1'b0), - .RSTM(1'b0), - .RSTP(1'b0), - .UNDERFLOW(NLW_y_mul__0_UNDERFLOW_UNCONNECTED)); - CARRY4 y_mul__1_carry - (.CI(1'b0), - .CO({y_mul__1_carry_n_0,y_mul__1_carry_n_1,y_mul__1_carry_n_2,y_mul__1_carry_n_3}), - .CYINIT(1'b0), - .DI({y_mul__1_carry_i_1_n_0,y_mul__1_carry_i_2_n_0,y_mul__1_carry_i_3_n_0,1'b0}), - .O({y_mul__1_carry_n_4,y_mul__1_carry_n_5,y_mul__1_carry_n_6,y_mul__1_carry_n_7}), - .S({y_mul__1_carry_i_4_n_0,y_mul__1_carry_i_5_n_0,y_mul__1_carry_i_6_n_0,y_mul__1_carry_i_7_n_0})); - CARRY4 y_mul__1_carry__0 - (.CI(y_mul__1_carry_n_0), - .CO({y_mul__1_carry__0_n_0,y_mul__1_carry__0_n_1,y_mul__1_carry__0_n_2,y_mul__1_carry__0_n_3}), - .CYINIT(1'b0), - .DI({y_mul__1_carry__0_i_1_n_0,y_mul__1_carry__0_i_2_n_0,y_mul__1_carry__0_i_3_n_0,y_mul__1_carry__0_i_4_n_0}), - .O({y_mul__1_carry__0_n_4,y_mul__1_carry__0_n_5,y_mul__1_carry__0_n_6,y_mul__1_carry__0_n_7}), - .S({y_mul__1_carry__0_i_5_n_0,y_mul__1_carry__0_i_6_n_0,y_mul__1_carry__0_i_7_n_0,y_mul__1_carry__0_i_8_n_0})); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[5]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[4]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[6]), - .O(y_mul__1_carry__0_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_10 - (.I0(cy0[4]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_10__0 - (.I0(cy1[3]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair37" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_10__1 - (.I0(cy2[3]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_11 - (.I0(cy0[3]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_11__0 - (.I0(cy1[2]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair37" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_11__1 - (.I0(cy2[2]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_12 - (.I0(cy0[2]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_12__0 - (.I0(cy1[1]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_12__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair36" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_12__1 - (.I0(cy2[1]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_12__1_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_1__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[4]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[3]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[5]), - .O(\cy1[5] [3])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_1__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[4]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[3]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[5]), - .O(\cy2[5] [3])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_2 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[4]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[3]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[5]), - .O(y_mul__1_carry__0_i_2_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_2__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[3]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[2]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[4]), - .O(\cy1[5] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_2__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[3]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[2]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[4]), - .O(\cy2[5] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_3 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[3]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[2]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[4]), - .O(y_mul__1_carry__0_i_3_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_3__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[2]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[1]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[3]), - .O(\cy1[5] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_3__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[2]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[1]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[3]), - .O(\cy2[5] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_4 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[2]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[1]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[3]), - .O(y_mul__1_carry__0_i_4_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_4__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[1]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[0]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[2]), - .O(\cy1[5] [0])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__0_i_4__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[1]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[0]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[2]), - .O(\cy2[5] [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_5 - (.I0(y_mul__1_carry__0_i_1_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[6]), - .I3(y_mul__1_carry__0_i_9_n_0), - .I4(cy0[7]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__0_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_5__0 - (.I0(\cy1[5] [3]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[5]), - .I3(y_mul__1_carry__0_i_9__0_n_0), - .I4(cy1[6]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[6] [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_5__1 - (.I0(\cy2[5] [3]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[5]), - .I3(y_mul__1_carry__0_i_9__1_n_0), - .I4(cy2[6]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[6] [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_6 - (.I0(y_mul__1_carry__0_i_2_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[5]), - .I3(y_mul__1_carry__0_i_10_n_0), - .I4(cy0[6]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__0_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_6__0 - (.I0(\cy1[5] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[4]), - .I3(y_mul__1_carry__0_i_10__0_n_0), - .I4(cy1[5]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[6] [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_6__1 - (.I0(\cy2[5] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[4]), - .I3(y_mul__1_carry__0_i_10__1_n_0), - .I4(cy2[5]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[6] [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_7 - (.I0(y_mul__1_carry__0_i_3_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[4]), - .I3(y_mul__1_carry__0_i_11_n_0), - .I4(cy0[5]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__0_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_7__0 - (.I0(\cy1[5] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[3]), - .I3(y_mul__1_carry__0_i_11__0_n_0), - .I4(cy1[4]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[6] [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_7__1 - (.I0(\cy2[5] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[3]), - .I3(y_mul__1_carry__0_i_11__1_n_0), - .I4(cy2[4]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[6] [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_8 - (.I0(y_mul__1_carry__0_i_4_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[3]), - .I3(y_mul__1_carry__0_i_12_n_0), - .I4(cy0[4]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__0_i_8_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_8__0 - (.I0(\cy1[5] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[2]), - .I3(y_mul__1_carry__0_i_12__0_n_0), - .I4(cy1[3]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[6] [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__0_i_8__1 - (.I0(\cy2[5] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[2]), - .I3(y_mul__1_carry__0_i_12__1_n_0), - .I4(cy2[3]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[6] [0])); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_9 - (.I0(cy0[5]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_9_n_0)); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_9__0 - (.I0(cy1[4]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_9__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair38" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__0_i_9__1 - (.I0(cy2[4]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__0_i_9__1_n_0)); - CARRY4 y_mul__1_carry__1 - (.CI(y_mul__1_carry__0_n_0), - .CO({y_mul__1_carry__1_n_0,y_mul__1_carry__1_n_1,y_mul__1_carry__1_n_2,y_mul__1_carry__1_n_3}), - .CYINIT(1'b0), - .DI({y_mul__1_carry__1_i_1_n_0,y_mul__1_carry__1_i_2_n_0,y_mul__1_carry__1_i_3_n_0,y_mul__1_carry__1_i_4_n_0}), - .O({y_mul__1_carry__1_n_4,y_mul__1_carry__1_n_5,y_mul__1_carry__1_n_6,y_mul__1_carry__1_n_7}), - .S({y_mul__1_carry__1_i_5_n_0,y_mul__1_carry__1_i_6_n_0,y_mul__1_carry__1_i_7_n_0,y_mul__1_carry__1_i_8_n_0})); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[9]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[8]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[10]), - .O(y_mul__1_carry__1_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_10 - (.I0(cy0[8]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_10__0 - (.I0(cy1[7]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair39" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_10__1 - (.I0(cy2[7]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_11 - (.I0(cy0[7]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_11__0 - (.I0(cy1[6]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair39" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_11__1 - (.I0(cy2[6]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_12 - (.I0(cy0[6]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_12__0 - (.I0(cy1[5]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_12__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair38" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_12__1 - (.I0(cy2[5]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_12__1_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_1__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[8]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[7]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[9]), - .O(\cy1[9] [3])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_1__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[8]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[7]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[9]), - .O(\cy2[9] [3])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_2 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[8]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[7]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[9]), - .O(y_mul__1_carry__1_i_2_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_2__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[7]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[6]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[8]), - .O(\cy1[9] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_2__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[7]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[6]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[8]), - .O(\cy2[9] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_3 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[7]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[6]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[8]), - .O(y_mul__1_carry__1_i_3_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_3__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[6]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[5]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[7]), - .O(\cy1[9] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_3__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[6]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[5]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[7]), - .O(\cy2[9] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_4 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[6]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[5]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[7]), - .O(y_mul__1_carry__1_i_4_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_4__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[5]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[4]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[6]), - .O(\cy1[9] [0])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__1_i_4__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[5]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[4]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[6]), - .O(\cy2[9] [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_5 - (.I0(y_mul__1_carry__1_i_1_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[10]), - .I3(y_mul__1_carry__1_i_9_n_0), - .I4(cy0[11]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__1_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_5__0 - (.I0(\cy1[9] [3]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[9]), - .I3(y_mul__1_carry__1_i_9__0_n_0), - .I4(cy1[10]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[10] [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_5__1 - (.I0(\cy2[9] [3]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[9]), - .I3(y_mul__1_carry__1_i_9__1_n_0), - .I4(cy2[10]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[10] [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_6 - (.I0(y_mul__1_carry__1_i_2_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[9]), - .I3(y_mul__1_carry__1_i_10_n_0), - .I4(cy0[10]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__1_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_6__0 - (.I0(\cy1[9] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[8]), - .I3(y_mul__1_carry__1_i_10__0_n_0), - .I4(cy1[9]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[10] [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_6__1 - (.I0(\cy2[9] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[8]), - .I3(y_mul__1_carry__1_i_10__1_n_0), - .I4(cy2[9]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[10] [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_7 - (.I0(y_mul__1_carry__1_i_3_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[8]), - .I3(y_mul__1_carry__1_i_11_n_0), - .I4(cy0[9]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__1_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_7__0 - (.I0(\cy1[9] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[7]), - .I3(y_mul__1_carry__1_i_11__0_n_0), - .I4(cy1[8]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[10] [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_7__1 - (.I0(\cy2[9] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[7]), - .I3(y_mul__1_carry__1_i_11__1_n_0), - .I4(cy2[8]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[10] [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_8 - (.I0(y_mul__1_carry__1_i_4_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[7]), - .I3(y_mul__1_carry__1_i_12_n_0), - .I4(cy0[8]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__1_i_8_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_8__0 - (.I0(\cy1[9] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[6]), - .I3(y_mul__1_carry__1_i_12__0_n_0), - .I4(cy1[7]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[10] [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__1_i_8__1 - (.I0(\cy2[9] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[6]), - .I3(y_mul__1_carry__1_i_12__1_n_0), - .I4(cy2[7]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[10] [0])); - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_9 - (.I0(cy0[9]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_9_n_0)); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_9__0 - (.I0(cy1[8]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_9__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair40" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__1_i_9__1 - (.I0(cy2[8]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__1_i_9__1_n_0)); - CARRY4 y_mul__1_carry__2 - (.CI(y_mul__1_carry__1_n_0), - .CO({y_mul__1_carry__2_n_0,y_mul__1_carry__2_n_1,y_mul__1_carry__2_n_2,y_mul__1_carry__2_n_3}), - .CYINIT(1'b0), - .DI({y_mul__1_carry__2_i_1_n_0,y_mul__1_carry__2_i_2_n_0,y_mul__1_carry__2_i_3_n_0,y_mul__1_carry__2_i_4_n_0}), - .O({y_mul__1_carry__2_n_4,y_mul__1_carry__2_n_5,y_mul__1_carry__2_n_6,y_mul__1_carry__2_n_7}), - .S({y_mul__1_carry__2_i_5_n_0,y_mul__1_carry__2_i_6_n_0,y_mul__1_carry__2_i_7_n_0,y_mul__1_carry__2_i_8_n_0})); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[13]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[12]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[14]), - .O(y_mul__1_carry__2_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair21" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_10 - (.I0(cy0[12]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair31" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_10__0 - (.I0(cy1[11]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair41" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_10__1 - (.I0(cy2[11]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair21" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_11 - (.I0(cy0[11]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair31" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_11__0 - (.I0(cy1[10]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair41" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_11__1 - (.I0(cy2[10]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_12 - (.I0(cy0[10]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_12__0 - (.I0(cy1[9]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_12__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair40" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_12__1 - (.I0(cy2[9]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_12__1_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_1__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[12]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[11]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[13]), - .O(\cy1[13] [3])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_1__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[12]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[11]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[13]), - .O(\cy2[13] [3])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_2 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[12]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[11]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[13]), - .O(y_mul__1_carry__2_i_2_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_2__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[11]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[10]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[12]), - .O(\cy1[13] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_2__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[11]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[10]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[12]), - .O(\cy2[13] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_3 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[11]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[10]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[12]), - .O(y_mul__1_carry__2_i_3_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_3__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[10]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[9]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[11]), - .O(\cy1[13] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_3__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[10]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[9]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[11]), - .O(\cy2[13] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_4 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[10]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[9]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[11]), - .O(y_mul__1_carry__2_i_4_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_4__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[9]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[8]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[10]), - .O(\cy1[13] [0])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__2_i_4__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[9]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[8]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[10]), - .O(\cy2[13] [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_5 - (.I0(y_mul__1_carry__2_i_1_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[14]), - .I3(y_mul__1_carry__2_i_9_n_0), - .I4(cy0[15]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__2_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_5__0 - (.I0(\cy1[13] [3]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[13]), - .I3(y_mul__1_carry__2_i_9__0_n_0), - .I4(cy1[14]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[14] [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_5__1 - (.I0(\cy2[13] [3]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[13]), - .I3(y_mul__1_carry__2_i_9__1_n_0), - .I4(cy2[14]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[14] [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_6 - (.I0(y_mul__1_carry__2_i_2_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[13]), - .I3(y_mul__1_carry__2_i_10_n_0), - .I4(cy0[14]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__2_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_6__0 - (.I0(\cy1[13] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[12]), - .I3(y_mul__1_carry__2_i_10__0_n_0), - .I4(cy1[13]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[14] [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_6__1 - (.I0(\cy2[13] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[12]), - .I3(y_mul__1_carry__2_i_10__1_n_0), - .I4(cy2[13]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[14] [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_7 - (.I0(y_mul__1_carry__2_i_3_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[12]), - .I3(y_mul__1_carry__2_i_11_n_0), - .I4(cy0[13]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__2_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_7__0 - (.I0(\cy1[13] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[11]), - .I3(y_mul__1_carry__2_i_11__0_n_0), - .I4(cy1[12]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[14] [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_7__1 - (.I0(\cy2[13] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[11]), - .I3(y_mul__1_carry__2_i_11__1_n_0), - .I4(cy2[12]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[14] [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_8 - (.I0(y_mul__1_carry__2_i_4_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[11]), - .I3(y_mul__1_carry__2_i_12_n_0), - .I4(cy0[12]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__2_i_8_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_8__0 - (.I0(\cy1[13] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[10]), - .I3(y_mul__1_carry__2_i_12__0_n_0), - .I4(cy1[11]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[14] [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__2_i_8__1 - (.I0(\cy2[13] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[10]), - .I3(y_mul__1_carry__2_i_12__1_n_0), - .I4(cy2[11]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[14] [0])); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_9 - (.I0(cy0[13]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_9_n_0)); - (* SOFT_HLUTNM = "soft_lutpair32" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_9__0 - (.I0(cy1[12]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_9__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair42" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__2_i_9__1 - (.I0(cy2[12]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__2_i_9__1_n_0)); - CARRY4 y_mul__1_carry__3 - (.CI(y_mul__1_carry__2_n_0), - .CO({y_mul__1_carry__3_n_0,y_mul__1_carry__3_n_1,y_mul__1_carry__3_n_2,y_mul__1_carry__3_n_3}), - .CYINIT(1'b0), - .DI({y_mul__1_carry__3_i_1_n_0,y_mul__1_carry__3_i_2_n_0,y_mul__1_carry__3_i_3_n_0,y_mul__1_carry__3_i_4_n_0}), - .O({y_mul__1_carry__3_n_4,y_mul__1_carry__3_n_5,y_mul__1_carry__3_n_6,y_mul__1_carry__3_n_7}), - .S({y_mul__1_carry__3_i_5_n_0,y_mul__1_carry__3_i_6_n_0,y_mul__1_carry__3_i_7_n_0,y_mul__1_carry__3_i_8_n_0})); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[17]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[16]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[18]), - .O(y_mul__1_carry__3_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair23" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_10 - (.I0(cy0[16]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair33" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_10__0 - (.I0(cy1[15]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair43" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_10__1 - (.I0(cy2[15]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair23" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_11 - (.I0(cy0[15]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair33" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_11__0 - (.I0(cy1[14]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair43" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_11__1 - (.I0(cy2[14]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_12 - (.I0(cy0[14]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair32" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_12__0 - (.I0(cy1[13]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_12__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair42" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_12__1 - (.I0(cy2[13]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_12__1_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_1__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[16]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[15]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[17]), - .O(\cy1[17] [3])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_1__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[16]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[15]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[17]), - .O(\cy2[17] [3])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_2 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[16]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[15]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[17]), - .O(y_mul__1_carry__3_i_2_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_2__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[15]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[14]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[16]), - .O(\cy1[17] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_2__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[15]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[14]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[16]), - .O(\cy2[17] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_3 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[15]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[14]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[16]), - .O(y_mul__1_carry__3_i_3_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_3__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[14]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[13]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[15]), - .O(\cy1[17] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_3__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[14]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[13]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[15]), - .O(\cy2[17] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_4 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[14]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[13]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[15]), - .O(y_mul__1_carry__3_i_4_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_4__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[13]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[12]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[14]), - .O(\cy1[17] [0])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__3_i_4__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[13]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[12]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[14]), - .O(\cy2[17] [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_5 - (.I0(y_mul__1_carry__3_i_1_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[18]), - .I3(y_mul__1_carry__3_i_9_n_0), - .I4(cy0[19]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__3_i_5_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_5__0 - (.I0(\cy1[17] [3]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[17]), - .I3(y_mul__1_carry__3_i_9__0_n_0), - .I4(cy1[18]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[18] [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_5__1 - (.I0(\cy2[17] [3]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[17]), - .I3(y_mul__1_carry__3_i_9__1_n_0), - .I4(cy2[18]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[18] [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_6 - (.I0(y_mul__1_carry__3_i_2_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[17]), - .I3(y_mul__1_carry__3_i_10_n_0), - .I4(cy0[18]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__3_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_6__0 - (.I0(\cy1[17] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[16]), - .I3(y_mul__1_carry__3_i_10__0_n_0), - .I4(cy1[17]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[18] [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_6__1 - (.I0(\cy2[17] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[16]), - .I3(y_mul__1_carry__3_i_10__1_n_0), - .I4(cy2[17]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[18] [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_7 - (.I0(y_mul__1_carry__3_i_3_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[16]), - .I3(y_mul__1_carry__3_i_11_n_0), - .I4(cy0[17]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__3_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_7__0 - (.I0(\cy1[17] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[15]), - .I3(y_mul__1_carry__3_i_11__0_n_0), - .I4(cy1[16]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[18] [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_7__1 - (.I0(\cy2[17] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[15]), - .I3(y_mul__1_carry__3_i_11__1_n_0), - .I4(cy2[16]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[18] [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_8 - (.I0(y_mul__1_carry__3_i_4_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[15]), - .I3(y_mul__1_carry__3_i_12_n_0), - .I4(cy0[16]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__3_i_8_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_8__0 - (.I0(\cy1[17] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[14]), - .I3(y_mul__1_carry__3_i_12__0_n_0), - .I4(cy1[15]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[18] [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__3_i_8__1 - (.I0(\cy2[17] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[14]), - .I3(y_mul__1_carry__3_i_12__1_n_0), - .I4(cy2[15]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[18] [0])); - (* SOFT_HLUTNM = "soft_lutpair24" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_9 - (.I0(cy0[17]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_9_n_0)); - (* SOFT_HLUTNM = "soft_lutpair34" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_9__0 - (.I0(cy1[16]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_9__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair44" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__3_i_9__1 - (.I0(cy2[16]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__3_i_9__1_n_0)); - CARRY4 y_mul__1_carry__4 - (.CI(y_mul__1_carry__3_n_0), - .CO({y_mul__1_carry__4_n_0,y_mul__1_carry__4_n_1,y_mul__1_carry__4_n_2,y_mul__1_carry__4_n_3}), - .CYINIT(1'b0), - .DI({y_mul__1_carry__4_i_1_n_0,y_mul__1_carry__4_i_2_n_0,y_mul__1_carry__4_i_3_n_0,y_mul__1_carry__4_i_4_n_0}), - .O({y_mul__1_carry__4_n_4,y_mul__1_carry__4_n_5,y_mul__1_carry__4_n_6,y_mul__1_carry__4_n_7}), - .S({y_mul__1_carry__4_i_5_n_0,y_mul__1_carry__4_i_6_n_0,y_mul__1_carry__4_i_7_n_0,y_mul__1_carry__4_i_8_n_0})); - LUT6 #( - .INIT(64'h7888877787778777)) - y_mul__1_carry__4_i_1 - (.I0(cy0[21]), - .I1(\out_r_reg[0] [0]), - .I2(cy0[22]), - .I3(\out_r_reg[0]_0 [1]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[23]), - .O(y_mul__1_carry__4_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair25" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_10 - (.I0(cy0[20]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair35" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_10__0 - (.I0(cy1[19]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair45" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_10__1 - (.I0(cy2[19]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_10__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair25" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_11 - (.I0(cy0[19]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair35" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_11__0 - (.I0(cy1[18]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair45" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_11__1 - (.I0(cy2[18]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_11__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair24" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_12 - (.I0(cy0[18]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_12_n_0)); - (* SOFT_HLUTNM = "soft_lutpair34" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_12__0 - (.I0(cy1[17]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_12__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair44" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_12__1 - (.I0(cy2[17]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry__4_i_12__1_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_2 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[20]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[19]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[21]), - .O(y_mul__1_carry__4_i_2_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_2__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[19]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[18]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[20]), - .O(\cy1[20] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_2__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[19]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[18]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[20]), - .O(\cy2[20] [2])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_3 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[19]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[18]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[20]), - .O(y_mul__1_carry__4_i_3_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_3__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[18]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[17]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[19]), - .O(\cy1[20] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_3__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[18]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[17]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[19]), - .O(\cy2[20] [1])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_4 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[18]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[17]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[19]), - .O(y_mul__1_carry__4_i_4_n_0)); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_4__0 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy1[17]), - .I2(\out_r_reg[0] [0]), - .I3(cy1[16]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy1[18]), - .O(\cy1[20] [0])); - LUT6 #( - .INIT(64'h8FFF088808880888)) - y_mul__1_carry__4_i_4__1 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy2[17]), - .I2(\out_r_reg[0] [0]), - .I3(cy2[16]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy2[18]), - .O(\cy2[20] [0])); - LUT6 #( - .INIT(64'hAA6A6A6A6A555555)) - y_mul__1_carry__4_i_5 - (.I0(y_mul__1_carry__4_i_1_n_0), - .I1(cy0[22]), - .I2(\out_r_reg[0]_0 [0]), - .I3(cy0[20]), - .I4(\out_r_reg[0] [0]), - .I5(y_mul__1_carry__4_i_9_n_0), - .O(y_mul__1_carry__4_i_5_n_0)); - LUT6 #( - .INIT(64'hAA6A6A6A6A555555)) - y_mul__1_carry__4_i_5__0 - (.I0(y_mul__1_carry__4_0), - .I1(cy1[21]), - .I2(\out_r_reg[0]_0 [0]), - .I3(cy1[19]), - .I4(\out_r_reg[0] [0]), - .I5(y_mul__1_carry__4_1), - .O(\cy1[22]_0 [3])); - LUT6 #( - .INIT(64'hAA6A6A6A6A555555)) - y_mul__1_carry__4_i_5__1 - (.I0(y_mul__1_carry__4_2), - .I1(cy2[21]), - .I2(\out_r_reg[0]_0 [0]), - .I3(cy2[19]), - .I4(\out_r_reg[0] [0]), - .I5(y_mul__1_carry__4_3), - .O(\cy2[22]_0 [3])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_6 - (.I0(y_mul__1_carry__4_i_2_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[21]), - .I3(y_mul__1_carry__4_i_10_n_0), - .I4(cy0[22]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__4_i_6_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_6__0 - (.I0(\cy1[20] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[20]), - .I3(y_mul__1_carry__4_i_10__0_n_0), - .I4(cy1[21]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[22]_0 [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_6__1 - (.I0(\cy2[20] [2]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[20]), - .I3(y_mul__1_carry__4_i_10__1_n_0), - .I4(cy2[21]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[22]_0 [2])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_7 - (.I0(y_mul__1_carry__4_i_3_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[20]), - .I3(y_mul__1_carry__4_i_11_n_0), - .I4(cy0[21]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__4_i_7_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_7__0 - (.I0(\cy1[20] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[19]), - .I3(y_mul__1_carry__4_i_11__0_n_0), - .I4(cy1[20]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[22]_0 [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_7__1 - (.I0(\cy2[20] [1]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[19]), - .I3(y_mul__1_carry__4_i_11__1_n_0), - .I4(cy2[20]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[22]_0 [1])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_8 - (.I0(y_mul__1_carry__4_i_4_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[19]), - .I3(y_mul__1_carry__4_i_12_n_0), - .I4(cy0[20]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry__4_i_8_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_8__0 - (.I0(\cy1[20] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[18]), - .I3(y_mul__1_carry__4_i_12__0_n_0), - .I4(cy1[19]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[22]_0 [0])); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry__4_i_8__1 - (.I0(\cy2[20] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[18]), - .I3(y_mul__1_carry__4_i_12__1_n_0), - .I4(cy2[19]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[22]_0 [0])); - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_9 - (.I0(cy0[21]), - .I1(\out_r_reg[0]_0 [1]), - .O(y_mul__1_carry__4_i_9_n_0)); - CARRY4 y_mul__1_carry__5 - (.CI(y_mul__1_carry__4_n_0), - .CO({NLW_y_mul__1_carry__5_CO_UNCONNECTED[3],y_mul__1_carry__5_n_1,NLW_y_mul__1_carry__5_CO_UNCONNECTED[1],y_mul__1_carry__5_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,y_mul__1_carry__5_i_1_n_0,y_mul__1_carry__5_i_2_n_0}), - .O({NLW_y_mul__1_carry__5_O_UNCONNECTED[3:2],y_mul__1_carry__5_n_6,y_mul__1_carry__5_n_7}), - .S({1'b0,1'b1,y_mul__1_carry__5_i_3_n_0,y_mul__1_carry__5_i_4_n_0})); - LUT5 #( - .INIT(32'h57F77FFF)) - y_mul__1_carry__5_i_1 - (.I0(\out_r_reg[0] [0]), - .I1(cy0[22]), - .I2(\out_r_reg[0]_0 [1]), - .I3(cy0[23]), - .I4(cy0[21]), - .O(y_mul__1_carry__5_i_1_n_0)); - LUT5 #( - .INIT(32'h57F77FFF)) - y_mul__1_carry__5_i_1__0 - (.I0(\out_r_reg[0] [0]), - .I1(cy1[21]), - .I2(\out_r_reg[0]_0 [1]), - .I3(cy1[22]), - .I4(cy1[20]), - .O(\cy1[22] [1])); - LUT5 #( - .INIT(32'h57F77FFF)) - y_mul__1_carry__5_i_1__1 - (.I0(\out_r_reg[0] [0]), - .I1(cy2[21]), - .I2(\out_r_reg[0]_0 [1]), - .I3(cy2[22]), - .I4(cy2[20]), - .O(\cy2[22] [1])); - LUT6 #( - .INIT(64'h0777700070007000)) - y_mul__1_carry__5_i_2 - (.I0(\out_r_reg[0]_0 [0]), - .I1(cy0[23]), - .I2(cy0[21]), - .I3(\out_r_reg[0] [0]), - .I4(cy0[22]), - .I5(\out_r_reg[0]_0 [1]), - .O(y_mul__1_carry__5_i_2_n_0)); - LUT6 #( - .INIT(64'h0777700070007000)) - y_mul__1_carry__5_i_2__0 - (.I0(\out_r_reg[0]_0 [0]), - .I1(cy1[22]), - .I2(cy1[20]), - .I3(\out_r_reg[0] [0]), - .I4(cy1[21]), - .I5(\out_r_reg[0]_0 [1]), - .O(\cy1[22] [0])); - LUT6 #( - .INIT(64'h0777700070007000)) - y_mul__1_carry__5_i_2__1 - (.I0(\out_r_reg[0]_0 [0]), - .I1(cy2[22]), - .I2(cy2[20]), - .I3(\out_r_reg[0] [0]), - .I4(cy2[21]), - .I5(\out_r_reg[0]_0 [1]), - .O(\cy2[22] [0])); - LUT5 #( - .INIT(32'hE8FFDFFF)) - y_mul__1_carry__5_i_3 - (.I0(cy0[21]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[22]), - .I3(\out_r_reg[0] [0]), - .I4(cy0[23]), - .O(y_mul__1_carry__5_i_3_n_0)); - LUT6 #( - .INIT(64'h59956A956A959595)) - y_mul__1_carry__5_i_4 - (.I0(y_mul__1_carry__5_i_2_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[23]), - .I3(\out_r_reg[0] [0]), - .I4(cy0[22]), - .I5(cy0[21]), - .O(y_mul__1_carry__5_i_4_n_0)); - LUT6 #( - .INIT(64'h59956A956A959595)) - y_mul__1_carry__5_i_4__0 - (.I0(\cy1[22] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[22]), - .I3(\out_r_reg[0] [0]), - .I4(cy1[21]), - .I5(cy1[20]), - .O(\cy1[23] )); - LUT6 #( - .INIT(64'h59956A956A959595)) - y_mul__1_carry__5_i_4__1 - (.I0(\cy2[22] [0]), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[22]), - .I3(\out_r_reg[0] [0]), - .I4(cy2[21]), - .I5(cy2[20]), - .O(\cy2[23] )); - LUT4 #( - .INIT(16'hF777)) - y_mul__1_carry_i_1 - (.I0(\out_r_reg[0] [0]), - .I1(cy0[0]), - .I2(\out_r_reg[0]_0 [1]), - .I3(cy0[1]), - .O(y_mul__1_carry_i_1_n_0)); - LUT4 #( - .INIT(16'h7888)) - y_mul__1_carry_i_2 - (.I0(\out_r_reg[0]_0 [1]), - .I1(cy0[1]), - .I2(\out_r_reg[0] [0]), - .I3(cy0[0]), - .O(y_mul__1_carry_i_2_n_0)); - LUT2 #( - .INIT(4'h8)) - y_mul__1_carry_i_3 - (.I0(\out_r_reg[0]_0 [0]), - .I1(cy0[1]), - .O(y_mul__1_carry_i_3_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry_i_4 - (.I0(y_mul__1_carry_i_1_n_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy0[2]), - .I3(y_mul__1_carry_i_8_n_0), - .I4(cy0[3]), - .I5(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry_i_4_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry_i_4__0 - (.I0(y_mul__1_carry_0), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy1[1]), - .I3(y_mul__1_carry_i_8__0_n_0), - .I4(cy1[2]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy1[2] )); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - y_mul__1_carry_i_4__1 - (.I0(y_mul__1_carry_1), - .I1(\out_r_reg[0]_0 [1]), - .I2(cy2[1]), - .I3(y_mul__1_carry_i_8__1_n_0), - .I4(cy2[2]), - .I5(\out_r_reg[0]_0 [0]), - .O(\cy2[2] )); - LUT6 #( - .INIT(64'h8777788878887888)) - y_mul__1_carry_i_5 - (.I0(cy0[0]), - .I1(\out_r_reg[0] [0]), - .I2(cy0[1]), - .I3(\out_r_reg[0]_0 [1]), - .I4(\out_r_reg[0]_0 [0]), - .I5(cy0[2]), - .O(y_mul__1_carry_i_5_n_0)); - LUT4 #( - .INIT(16'h7888)) - y_mul__1_carry_i_6 - (.I0(\out_r_reg[0]_0 [0]), - .I1(cy0[1]), - .I2(\out_r_reg[0]_0 [1]), - .I3(cy0[0]), - .O(y_mul__1_carry_i_6_n_0)); - LUT2 #( - .INIT(4'h8)) - y_mul__1_carry_i_7 - (.I0(cy0[0]), - .I1(\out_r_reg[0]_0 [0]), - .O(y_mul__1_carry_i_7_n_0)); - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry_i_8 - (.I0(cy0[1]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry_i_8__0 - (.I0(cy1[0]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair36" *) - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry_i_8__1 - (.I0(cy2[0]), - .I1(\out_r_reg[0] [0]), - .O(y_mul__1_carry_i_8__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry - (.CI(1'b0), - .CO({\y_mul_inferred__1/i__carry_n_0 ,\y_mul_inferred__1/i__carry_n_1 ,\y_mul_inferred__1/i__carry_n_2 ,\y_mul_inferred__1/i__carry_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_86,y_mul__0_n_87,y_mul__0_n_88,1'b0}), - .O(y_mul__1__0[36:33]), - .S({i__carry_i_1_n_0,i__carry_i_2_n_0,i__carry_i_3_n_0,y_mul__0_n_89})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__0 - (.CI(\y_mul_inferred__1/i__carry_n_0 ), - .CO({\y_mul_inferred__1/i__carry__0_n_0 ,\y_mul_inferred__1/i__carry__0_n_1 ,\y_mul_inferred__1/i__carry__0_n_2 ,\y_mul_inferred__1/i__carry__0_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_82,y_mul__0_n_83,y_mul__0_n_84,y_mul__0_n_85}), - .O(y_mul__1__0[40:37]), - .S({i__carry__0_i_1_n_0,i__carry__0_i_2_n_0,i__carry__0_i_3_n_0,i__carry__0_i_4_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__1 - (.CI(\y_mul_inferred__1/i__carry__0_n_0 ), - .CO({\y_mul_inferred__1/i__carry__1_n_0 ,\y_mul_inferred__1/i__carry__1_n_1 ,\y_mul_inferred__1/i__carry__1_n_2 ,\y_mul_inferred__1/i__carry__1_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_78,y_mul__0_n_79,y_mul__0_n_80,y_mul__0_n_81}), - .O(y_mul__1__0[44:41]), - .S({i__carry__1_i_1_n_0,i__carry__1_i_2_n_0,i__carry__1_i_3_n_0,i__carry__1_i_4_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__2 - (.CI(\y_mul_inferred__1/i__carry__1_n_0 ), - .CO({\y_mul_inferred__1/i__carry__2_n_0 ,\y_mul_inferred__1/i__carry__2_n_1 ,\y_mul_inferred__1/i__carry__2_n_2 ,\y_mul_inferred__1/i__carry__2_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_74,y_mul__0_n_75,y_mul__0_n_76,y_mul__0_n_77}), - .O(y_mul__1__0[48:45]), - .S({i__carry__2_i_1_n_0,i__carry__2_i_2_n_0,i__carry__2_i_3_n_0,i__carry__2_i_4_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__3 - (.CI(\y_mul_inferred__1/i__carry__2_n_0 ), - .CO({\y_mul_inferred__1/i__carry__3_n_0 ,\y_mul_inferred__1/i__carry__3_n_1 ,\y_mul_inferred__1/i__carry__3_n_2 ,\y_mul_inferred__1/i__carry__3_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_70,y_mul__0_n_71,y_mul__0_n_72,y_mul__0_n_73}), - .O(y_mul__1__0[52:49]), - .S({i__carry__3_i_1_n_0,i__carry__3_i_2_n_0,i__carry__3_i_3_n_0,i__carry__3_i_4_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__4 - (.CI(\y_mul_inferred__1/i__carry__3_n_0 ), - .CO({\y_mul_inferred__1/i__carry__4_n_0 ,\y_mul_inferred__1/i__carry__4_n_1 ,\y_mul_inferred__1/i__carry__4_n_2 ,\y_mul_inferred__1/i__carry__4_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_66,y_mul__0_n_67,y_mul__0_n_68,y_mul__0_n_69}), - .O(y_mul__1__0[56:53]), - .S({i__carry__4_i_1_n_0,i__carry__4_i_2_n_0,i__carry__4_i_3_n_0,i__carry__4_i_4_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__5 - (.CI(\y_mul_inferred__1/i__carry__4_n_0 ), - .CO({\NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED [3],\y_mul_inferred__1/i__carry__5_n_1 ,\y_mul_inferred__1/i__carry__5_n_2 ,\y_mul_inferred__1/i__carry__5_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,y_mul__0_n_63,y_mul__0_n_64,y_mul__0_n_65}), - .O(y_mul__1__0[60:57]), - .S({i__carry__5_i_1_n_0,i__carry__5_i_2_n_0,i__carry__5_i_3_n_0,i__carry__5_i_4_n_0})); -endmodule - -(* ORIG_REF_NAME = "iir_filter_tap" *) -module audio_IIR_filter_0_0_iir_filter_tap_0 - (P, - \cy1[0] , - \cy1[21] , - O, - ch_reg, - \intreg_reg[0][39]_0 , - \intreg_reg[1][39]_0 , - \cy1[21]_0 , - \intreg_reg[1][38]_0 , - y_mul__0_0, - B, - cy1, - y_mul__0_1, - i__carry_i_3__0_0, - i__carry__0_i_3__0_0, - i__carry__0_i_3__0_1, - i__carry__1_i_3__0_0, - i__carry__1_i_3__0_1, - i__carry__2_i_3__0_0, - i__carry__2_i_3__0_1, - i__carry__3_i_3__0_0, - i__carry__3_i_3__0_1, - i__carry__4_i_3__0_0, - i__carry__4_i_3__0_1, - i__carry__5_i_3__0_0, - i__carry__5_i_3__0_1, - DI, - S, - y_mul__1_carry__5_0, - y_mul__1_carry__5_1, - Q, - p_4_out_carry__8_i_4__0_0, - ch, - cx1, - \intreg_reg[1][3]_0 , - x_mul1__0_carry__7_0, - tap2, - p_4_out_carry__8_i_4, - cx0, - \intreg_reg[1][3]_1 , - E, - clk, - reset, - out_m); - output [1:0]P; - output [0:0]\cy1[0] ; - output [0:0]\cy1[21] ; - output [0:0]O; - output [1:0]ch_reg; - output [38:0]\intreg_reg[0][39]_0 ; - output [38:0]\intreg_reg[1][39]_0 ; - output \cy1[21]_0 ; - output [36:0]\intreg_reg[1][38]_0 ; - output [0:0]y_mul__0_0; - input [16:0]B; - input [23:0]cy1; - input [16:0]y_mul__0_1; - input [0:0]i__carry_i_3__0_0; - input [3:0]i__carry__0_i_3__0_0; - input [3:0]i__carry__0_i_3__0_1; - input [3:0]i__carry__1_i_3__0_0; - input [3:0]i__carry__1_i_3__0_1; - input [3:0]i__carry__2_i_3__0_0; - input [3:0]i__carry__2_i_3__0_1; - input [3:0]i__carry__3_i_3__0_0; - input [3:0]i__carry__3_i_3__0_1; - input [2:0]i__carry__4_i_3__0_0; - input [3:0]i__carry__4_i_3__0_1; - input [1:0]i__carry__5_i_3__0_0; - input [0:0]i__carry__5_i_3__0_1; - input [1:0]DI; - input [0:0]S; - input [0:0]y_mul__1_carry__5_0; - input [1:0]y_mul__1_carry__5_1; - input [38:0]Q; - input [38:0]p_4_out_carry__8_i_4__0_0; - input ch; - input [3:0]cx1; - input [1:0]\intreg_reg[1][3]_0 ; - input [33:0]x_mul1__0_carry__7_0; - input [36:0]tap2; - input p_4_out_carry__8_i_4; - input [0:0]cx0; - input [0:0]\intreg_reg[1][3]_1 ; - input [0:0]E; - input clk; - input reset; - input out_m; - - wire [16:0]B; - wire [1:0]DI; - wire [0:0]E; - wire [0:0]O; - wire [1:0]P; - wire [38:0]Q; - wire [0:0]S; - wire ch; - wire [1:0]ch_reg; - wire clk; - wire [0:0]cx0; - wire [3:0]cx1; - wire [23:0]cy1; - wire [0:0]\cy1[0] ; - wire [0:0]\cy1[21] ; - wire \cy1[21]_0 ; - wire i__carry__0_i_1__0_n_0; - wire i__carry__0_i_2__0_n_0; - wire [3:0]i__carry__0_i_3__0_0; - wire [3:0]i__carry__0_i_3__0_1; - wire i__carry__0_i_3__0_n_0; - wire i__carry__0_i_4__0_n_0; - wire i__carry__1_i_1__0_n_0; - wire i__carry__1_i_2__0_n_0; - wire [3:0]i__carry__1_i_3__0_0; - wire [3:0]i__carry__1_i_3__0_1; - wire i__carry__1_i_3__0_n_0; - wire i__carry__1_i_4__0_n_0; - wire i__carry__2_i_1__0_n_0; - wire i__carry__2_i_2__0_n_0; - wire [3:0]i__carry__2_i_3__0_0; - wire [3:0]i__carry__2_i_3__0_1; - wire i__carry__2_i_3__0_n_0; - wire i__carry__2_i_4__0_n_0; - wire i__carry__3_i_1__0_n_0; - wire i__carry__3_i_2__0_n_0; - wire [3:0]i__carry__3_i_3__0_0; - wire [3:0]i__carry__3_i_3__0_1; - wire i__carry__3_i_3__0_n_0; - wire i__carry__3_i_4__0_n_0; - wire i__carry__4_i_1__0_n_0; - wire i__carry__4_i_2__0_n_0; - wire [2:0]i__carry__4_i_3__0_0; - wire [3:0]i__carry__4_i_3__0_1; - wire i__carry__4_i_3__0_n_0; - wire i__carry__4_i_4__0_n_0; - wire i__carry__5_i_1__0_n_0; - wire i__carry__5_i_2__0_n_0; - wire [1:0]i__carry__5_i_3__0_0; - wire [0:0]i__carry__5_i_3__0_1; - wire i__carry__5_i_3__0_n_0; - wire i__carry__5_i_4__0_n_0; - wire i__carry_i_1__0_n_0; - wire i__carry_i_2__0_n_0; - wire [0:0]i__carry_i_3__0_0; - wire i__carry_i_3__0_n_0; - wire [38:0]\intreg_reg[0][39]_0 ; - wire [38:38]\intreg_reg[0]_2 ; - wire [36:0]\intreg_reg[1][38]_0 ; - wire [38:0]\intreg_reg[1][39]_0 ; - wire [1:0]\intreg_reg[1][3]_0 ; - wire [0:0]\intreg_reg[1][3]_1 ; - wire [38:38]\intreg_reg[1]_3 ; - wire out_m; - wire [39:0]p_4_out; - wire p_4_out_carry__0_i_1__0_n_0; - wire p_4_out_carry__0_i_2__0_n_0; - wire p_4_out_carry__0_i_3__0_n_0; - wire p_4_out_carry__0_i_4__0_n_0; - wire p_4_out_carry__0_i_5__0_n_0; - wire p_4_out_carry__0_i_6__0_n_0; - wire p_4_out_carry__0_i_7__0_n_0; - wire p_4_out_carry__0_i_8__0_n_0; - wire p_4_out_carry__0_n_0; - wire p_4_out_carry__0_n_1; - wire p_4_out_carry__0_n_2; - wire p_4_out_carry__0_n_3; - wire p_4_out_carry__1_i_1__0_n_0; - wire p_4_out_carry__1_i_2__0_n_0; - wire p_4_out_carry__1_i_3__0_n_0; - wire p_4_out_carry__1_i_4__0_n_0; - wire p_4_out_carry__1_i_5__0_n_0; - wire p_4_out_carry__1_i_6__0_n_0; - wire p_4_out_carry__1_i_7__0_n_0; - wire p_4_out_carry__1_i_8__0_n_0; - wire p_4_out_carry__1_n_0; - wire p_4_out_carry__1_n_1; - wire p_4_out_carry__1_n_2; - wire p_4_out_carry__1_n_3; - wire p_4_out_carry__2_i_1__0_n_0; - wire p_4_out_carry__2_i_2__0_n_0; - wire p_4_out_carry__2_i_3__0_n_0; - wire p_4_out_carry__2_i_4__0_n_0; - wire p_4_out_carry__2_i_5__0_n_0; - wire p_4_out_carry__2_i_6__0_n_0; - wire p_4_out_carry__2_i_7__0_n_0; - wire p_4_out_carry__2_i_8__0_n_0; - wire p_4_out_carry__2_n_0; - wire p_4_out_carry__2_n_1; - wire p_4_out_carry__2_n_2; - wire p_4_out_carry__2_n_3; - wire p_4_out_carry__3_i_1__0_n_0; - wire p_4_out_carry__3_i_2__0_n_0; - wire p_4_out_carry__3_i_3__0_n_0; - wire p_4_out_carry__3_i_4__0_n_0; - wire p_4_out_carry__3_i_5__0_n_0; - wire p_4_out_carry__3_i_6__0_n_0; - wire p_4_out_carry__3_i_7__0_n_0; - wire p_4_out_carry__3_i_8__0_n_0; - wire p_4_out_carry__3_n_0; - wire p_4_out_carry__3_n_1; - wire p_4_out_carry__3_n_2; - wire p_4_out_carry__3_n_3; - wire p_4_out_carry__4_i_1__0_n_0; - wire p_4_out_carry__4_i_2__0_n_0; - wire p_4_out_carry__4_i_3__0_n_0; - wire p_4_out_carry__4_i_4__0_n_0; - wire p_4_out_carry__4_i_5__0_n_0; - wire p_4_out_carry__4_i_6__0_n_0; - wire p_4_out_carry__4_i_7__0_n_0; - wire p_4_out_carry__4_i_8__0_n_0; - wire p_4_out_carry__4_n_0; - wire p_4_out_carry__4_n_1; - wire p_4_out_carry__4_n_2; - wire p_4_out_carry__4_n_3; - wire p_4_out_carry__5_i_1__0_n_0; - wire p_4_out_carry__5_i_2__0_n_0; - wire p_4_out_carry__5_i_3__0_n_0; - wire p_4_out_carry__5_i_4__0_n_0; - wire p_4_out_carry__5_i_5__0_n_0; - wire p_4_out_carry__5_i_6__0_n_0; - wire p_4_out_carry__5_i_7__0_n_0; - wire p_4_out_carry__5_i_8__0_n_0; - wire p_4_out_carry__5_n_0; - wire p_4_out_carry__5_n_1; - wire p_4_out_carry__5_n_2; - wire p_4_out_carry__5_n_3; - wire p_4_out_carry__6_i_1__0_n_0; - wire p_4_out_carry__6_i_2__0_n_0; - wire p_4_out_carry__6_i_3__0_n_0; - wire p_4_out_carry__6_i_4__0_n_0; - wire p_4_out_carry__6_i_5__0_n_0; - wire p_4_out_carry__6_i_6__0_n_0; - wire p_4_out_carry__6_i_7__0_n_0; - wire p_4_out_carry__6_i_8__0_n_0; - wire p_4_out_carry__6_n_0; - wire p_4_out_carry__6_n_1; - wire p_4_out_carry__6_n_2; - wire p_4_out_carry__6_n_3; - wire p_4_out_carry__7_i_1__0_n_0; - wire p_4_out_carry__7_i_2__0_n_0; - wire p_4_out_carry__7_i_3__0_n_0; - wire p_4_out_carry__7_i_4__0_n_0; - wire p_4_out_carry__7_i_5__0_n_0; - wire p_4_out_carry__7_i_6__0_n_0; - wire p_4_out_carry__7_i_7__0_n_0; - wire p_4_out_carry__7_i_8__0_n_0; - wire p_4_out_carry__7_n_0; - wire p_4_out_carry__7_n_1; - wire p_4_out_carry__7_n_2; - wire p_4_out_carry__7_n_3; - wire p_4_out_carry__8_i_1__0_n_0; - wire p_4_out_carry__8_i_2__0_n_0; - wire p_4_out_carry__8_i_3__0_n_0; - wire p_4_out_carry__8_i_4; - wire [38:0]p_4_out_carry__8_i_4__0_0; - wire p_4_out_carry__8_i_4__0_n_0; - wire p_4_out_carry__8_i_5__0_n_0; - wire p_4_out_carry__8_i_6__0_n_0; - wire p_4_out_carry__8_i_7__0_n_0; - wire p_4_out_carry__8_i_9__0_n_0; - wire p_4_out_carry__8_n_1; - wire p_4_out_carry__8_n_2; - wire p_4_out_carry__8_n_3; - wire p_4_out_carry_i_1__0_n_0; - wire p_4_out_carry_i_2__0_n_0; - wire p_4_out_carry_i_5__0_n_0; - wire p_4_out_carry_i_6__0_n_0; - wire p_4_out_carry_i_8__0_n_0; - wire p_4_out_carry_n_0; - wire p_4_out_carry_n_1; - wire p_4_out_carry_n_2; - wire p_4_out_carry_n_3; - wire reset; - wire [1:1]tap1; - wire [36:0]tap2; - wire x_mul1__0_carry__0_i_10__0_n_0; - wire x_mul1__0_carry__0_i_11__0_n_0; - wire x_mul1__0_carry__0_i_12__0_n_0; - wire x_mul1__0_carry__0_i_1__0_n_0; - wire x_mul1__0_carry__0_i_2__0_n_0; - wire x_mul1__0_carry__0_i_3__0_n_0; - wire x_mul1__0_carry__0_i_4__0_n_0; - wire x_mul1__0_carry__0_i_5__0_n_0; - wire x_mul1__0_carry__0_i_6__0_n_0; - wire x_mul1__0_carry__0_i_7__0_n_0; - wire x_mul1__0_carry__0_i_8__0_n_0; - wire x_mul1__0_carry__0_i_9__0_n_0; - wire x_mul1__0_carry__0_n_0; - wire x_mul1__0_carry__0_n_1; - wire x_mul1__0_carry__0_n_2; - wire x_mul1__0_carry__0_n_3; - wire x_mul1__0_carry__1_i_10__0_n_0; - wire x_mul1__0_carry__1_i_11__0_n_0; - wire x_mul1__0_carry__1_i_12__0_n_0; - wire x_mul1__0_carry__1_i_1__0_n_0; - wire x_mul1__0_carry__1_i_2__0_n_0; - wire x_mul1__0_carry__1_i_3__0_n_0; - wire x_mul1__0_carry__1_i_4__0_n_0; - wire x_mul1__0_carry__1_i_5__0_n_0; - wire x_mul1__0_carry__1_i_6__0_n_0; - wire x_mul1__0_carry__1_i_7__0_n_0; - wire x_mul1__0_carry__1_i_8__0_n_0; - wire x_mul1__0_carry__1_i_9__0_n_0; - wire x_mul1__0_carry__1_n_0; - wire x_mul1__0_carry__1_n_1; - wire x_mul1__0_carry__1_n_2; - wire x_mul1__0_carry__1_n_3; - wire x_mul1__0_carry__2_i_10__0_n_0; - wire x_mul1__0_carry__2_i_11__0_n_0; - wire x_mul1__0_carry__2_i_12__0_n_0; - wire x_mul1__0_carry__2_i_1__0_n_0; - wire x_mul1__0_carry__2_i_2__0_n_0; - wire x_mul1__0_carry__2_i_3__0_n_0; - wire x_mul1__0_carry__2_i_4__0_n_0; - wire x_mul1__0_carry__2_i_5__0_n_0; - wire x_mul1__0_carry__2_i_6__0_n_0; - wire x_mul1__0_carry__2_i_7__0_n_0; - wire x_mul1__0_carry__2_i_8__0_n_0; - wire x_mul1__0_carry__2_i_9__0_n_0; - wire x_mul1__0_carry__2_n_0; - wire x_mul1__0_carry__2_n_1; - wire x_mul1__0_carry__2_n_2; - wire x_mul1__0_carry__2_n_3; - wire x_mul1__0_carry__3_i_10__0_n_0; - wire x_mul1__0_carry__3_i_11__0_n_0; - wire x_mul1__0_carry__3_i_12__0_n_0; - wire x_mul1__0_carry__3_i_1__0_n_0; - wire x_mul1__0_carry__3_i_2__0_n_0; - wire x_mul1__0_carry__3_i_3__0_n_0; - wire x_mul1__0_carry__3_i_4__0_n_0; - wire x_mul1__0_carry__3_i_5__0_n_0; - wire x_mul1__0_carry__3_i_6__0_n_0; - wire x_mul1__0_carry__3_i_7__0_n_0; - wire x_mul1__0_carry__3_i_8__0_n_0; - wire x_mul1__0_carry__3_i_9__0_n_0; - wire x_mul1__0_carry__3_n_0; - wire x_mul1__0_carry__3_n_1; - wire x_mul1__0_carry__3_n_2; - wire x_mul1__0_carry__3_n_3; - wire x_mul1__0_carry__4_i_10__0_n_0; - wire x_mul1__0_carry__4_i_11__0_n_0; - wire x_mul1__0_carry__4_i_12__0_n_0; - wire x_mul1__0_carry__4_i_1__0_n_0; - wire x_mul1__0_carry__4_i_2__0_n_0; - wire x_mul1__0_carry__4_i_3__0_n_0; - wire x_mul1__0_carry__4_i_4__0_n_0; - wire x_mul1__0_carry__4_i_5__0_n_0; - wire x_mul1__0_carry__4_i_6__0_n_0; - wire x_mul1__0_carry__4_i_7__0_n_0; - wire x_mul1__0_carry__4_i_8__0_n_0; - wire x_mul1__0_carry__4_i_9__0_n_0; - wire x_mul1__0_carry__4_n_0; - wire x_mul1__0_carry__4_n_1; - wire x_mul1__0_carry__4_n_2; - wire x_mul1__0_carry__4_n_3; - wire x_mul1__0_carry__5_i_10__0_n_0; - wire x_mul1__0_carry__5_i_11__0_n_0; - wire x_mul1__0_carry__5_i_12__0_n_0; - wire x_mul1__0_carry__5_i_1__0_n_0; - wire x_mul1__0_carry__5_i_2__0_n_0; - wire x_mul1__0_carry__5_i_3__0_n_0; - wire x_mul1__0_carry__5_i_4__0_n_0; - wire x_mul1__0_carry__5_i_5__0_n_0; - wire x_mul1__0_carry__5_i_6__0_n_0; - wire x_mul1__0_carry__5_i_7__0_n_0; - wire x_mul1__0_carry__5_i_8__0_n_0; - wire x_mul1__0_carry__5_i_9__0_n_0; - wire x_mul1__0_carry__5_n_0; - wire x_mul1__0_carry__5_n_1; - wire x_mul1__0_carry__5_n_2; - wire x_mul1__0_carry__5_n_3; - wire x_mul1__0_carry__6_i_10__0_n_0; - wire x_mul1__0_carry__6_i_11__0_n_0; - wire x_mul1__0_carry__6_i_12__0_n_0; - wire x_mul1__0_carry__6_i_1__0_n_0; - wire x_mul1__0_carry__6_i_2__0_n_0; - wire x_mul1__0_carry__6_i_3__0_n_0; - wire x_mul1__0_carry__6_i_4__0_n_0; - wire x_mul1__0_carry__6_i_5__0_n_0; - wire x_mul1__0_carry__6_i_6__0_n_0; - wire x_mul1__0_carry__6_i_7__0_n_0; - wire x_mul1__0_carry__6_i_8__0_n_0; - wire x_mul1__0_carry__6_n_0; - wire x_mul1__0_carry__6_n_1; - wire x_mul1__0_carry__6_n_2; - wire x_mul1__0_carry__6_n_3; - wire [33:0]x_mul1__0_carry__7_0; - wire x_mul1__0_carry__7_i_1__0_n_0; - wire x_mul1__0_carry__7_i_2__0_n_0; - wire x_mul1__0_carry__7_i_3__0_n_0; - wire x_mul1__0_carry__7_i_4__0_n_0; - wire x_mul1__0_carry__7_i_5__0_n_0; - wire x_mul1__0_carry__7_i_6__0_n_0; - wire x_mul1__0_carry__7_i_7__0_n_0; - wire x_mul1__0_carry__7_n_0; - wire x_mul1__0_carry__7_n_1; - wire x_mul1__0_carry__7_n_2; - wire x_mul1__0_carry__7_n_3; - wire x_mul1__0_carry__8_i_1__0_n_0; - wire x_mul1__0_carry__8_i_2__0_n_0; - wire x_mul1__0_carry__8_i_3__0_n_0; - wire x_mul1__0_carry__8_i_4__0_n_0; - wire x_mul1__0_carry__8_n_1; - wire x_mul1__0_carry__8_n_2; - wire x_mul1__0_carry__8_n_3; - wire x_mul1__0_carry_i_10__0_n_0; - wire x_mul1__0_carry_i_1__0_n_0; - wire x_mul1__0_carry_i_2__0_n_0; - wire x_mul1__0_carry_i_3__0_n_0; - wire x_mul1__0_carry_i_4__0_n_0; - wire x_mul1__0_carry_i_5__0_n_0; - wire x_mul1__0_carry_i_6__0_n_0; - wire x_mul1__0_carry_i_7__0_n_0; - wire x_mul1__0_carry_i_8__0_n_0; - wire x_mul1__0_carry_i_9__0_n_0; - wire x_mul1__0_carry_n_0; - wire x_mul1__0_carry_n_1; - wire x_mul1__0_carry_n_2; - wire x_mul1__0_carry_n_3; - wire [39:0]x_mul2_out; - wire [35:35]x_mul__39; - wire [0:0]y_mul__0_0; - wire [16:0]y_mul__0_1; - wire y_mul__0_n_102; - wire y_mul__0_n_103; - wire y_mul__0_n_104; - wire y_mul__0_n_105; - wire y_mul__0_n_62; - wire y_mul__0_n_63; - wire y_mul__0_n_64; - wire y_mul__0_n_65; - wire y_mul__0_n_66; - wire y_mul__0_n_67; - wire y_mul__0_n_68; - wire y_mul__0_n_69; - wire y_mul__0_n_70; - wire y_mul__0_n_71; - wire y_mul__0_n_72; - wire y_mul__0_n_73; - wire y_mul__0_n_74; - wire y_mul__0_n_75; - wire y_mul__0_n_76; - wire y_mul__0_n_77; - wire y_mul__0_n_78; - wire y_mul__0_n_79; - wire y_mul__0_n_80; - wire y_mul__0_n_81; - wire y_mul__0_n_82; - wire y_mul__0_n_83; - wire y_mul__0_n_84; - wire y_mul__0_n_85; - wire y_mul__0_n_86; - wire y_mul__0_n_87; - wire y_mul__0_n_88; - wire y_mul__0_n_89; - wire y_mul__0_n_90; - wire y_mul__0_n_91; - wire y_mul__0_n_92; - wire y_mul__0_n_93; - wire y_mul__0_n_94; - wire y_mul__0_n_95; - wire y_mul__0_n_96; - wire y_mul__0_n_97; - wire y_mul__0_n_98; - wire y_mul__0_n_99; - wire [60:33]y_mul__1__0; - wire y_mul__1_carry__0_n_0; - wire y_mul__1_carry__0_n_1; - wire y_mul__1_carry__0_n_2; - wire y_mul__1_carry__0_n_3; - wire y_mul__1_carry__0_n_4; - wire y_mul__1_carry__0_n_5; - wire y_mul__1_carry__0_n_6; - wire y_mul__1_carry__0_n_7; - wire y_mul__1_carry__1_n_0; - wire y_mul__1_carry__1_n_1; - wire y_mul__1_carry__1_n_2; - wire y_mul__1_carry__1_n_3; - wire y_mul__1_carry__1_n_4; - wire y_mul__1_carry__1_n_5; - wire y_mul__1_carry__1_n_6; - wire y_mul__1_carry__1_n_7; - wire y_mul__1_carry__2_n_0; - wire y_mul__1_carry__2_n_1; - wire y_mul__1_carry__2_n_2; - wire y_mul__1_carry__2_n_3; - wire y_mul__1_carry__2_n_4; - wire y_mul__1_carry__2_n_5; - wire y_mul__1_carry__2_n_6; - wire y_mul__1_carry__2_n_7; - wire y_mul__1_carry__3_n_0; - wire y_mul__1_carry__3_n_1; - wire y_mul__1_carry__3_n_2; - wire y_mul__1_carry__3_n_3; - wire y_mul__1_carry__3_n_4; - wire y_mul__1_carry__3_n_5; - wire y_mul__1_carry__3_n_6; - wire y_mul__1_carry__3_n_7; - wire y_mul__1_carry__4_n_0; - wire y_mul__1_carry__4_n_1; - wire y_mul__1_carry__4_n_2; - wire y_mul__1_carry__4_n_3; - wire y_mul__1_carry__4_n_4; - wire y_mul__1_carry__4_n_5; - wire y_mul__1_carry__4_n_6; - wire y_mul__1_carry__4_n_7; - wire [0:0]y_mul__1_carry__5_0; - wire [1:0]y_mul__1_carry__5_1; - wire y_mul__1_carry__5_i_3__0_n_0; - wire y_mul__1_carry__5_n_1; - wire y_mul__1_carry__5_n_3; - wire y_mul__1_carry__5_n_6; - wire y_mul__1_carry__5_n_7; - wire y_mul__1_carry_i_2__0_n_0; - wire y_mul__1_carry_i_3__0_n_0; - wire y_mul__1_carry_i_5__0_n_0; - wire y_mul__1_carry_i_6__0_n_0; - wire y_mul__1_carry_i_7__0_n_0; - wire y_mul__1_carry_n_0; - wire y_mul__1_carry_n_1; - wire y_mul__1_carry_n_2; - wire y_mul__1_carry_n_3; - wire y_mul__1_carry_n_4; - wire y_mul__1_carry_n_5; - wire y_mul__1_carry_n_6; - wire y_mul__1_carry_n_7; - wire \y_mul_inferred__1/i__carry__0_n_0 ; - wire \y_mul_inferred__1/i__carry__0_n_1 ; - wire \y_mul_inferred__1/i__carry__0_n_2 ; - wire \y_mul_inferred__1/i__carry__0_n_3 ; - wire \y_mul_inferred__1/i__carry__1_n_0 ; - wire \y_mul_inferred__1/i__carry__1_n_1 ; - wire \y_mul_inferred__1/i__carry__1_n_2 ; - wire \y_mul_inferred__1/i__carry__1_n_3 ; - wire \y_mul_inferred__1/i__carry__2_n_0 ; - wire \y_mul_inferred__1/i__carry__2_n_1 ; - wire \y_mul_inferred__1/i__carry__2_n_2 ; - wire \y_mul_inferred__1/i__carry__2_n_3 ; - wire \y_mul_inferred__1/i__carry__3_n_0 ; - wire \y_mul_inferred__1/i__carry__3_n_1 ; - wire \y_mul_inferred__1/i__carry__3_n_2 ; - wire \y_mul_inferred__1/i__carry__3_n_3 ; - wire \y_mul_inferred__1/i__carry__4_n_0 ; - wire \y_mul_inferred__1/i__carry__4_n_1 ; - wire \y_mul_inferred__1/i__carry__4_n_2 ; - wire \y_mul_inferred__1/i__carry__4_n_3 ; - wire \y_mul_inferred__1/i__carry__5_n_1 ; - wire \y_mul_inferred__1/i__carry__5_n_2 ; - wire \y_mul_inferred__1/i__carry__5_n_3 ; - wire \y_mul_inferred__1/i__carry_n_0 ; - wire \y_mul_inferred__1/i__carry_n_1 ; - wire \y_mul_inferred__1/i__carry_n_2 ; - wire \y_mul_inferred__1/i__carry_n_3 ; - wire y_mul_n_100; - wire y_mul_n_101; - wire y_mul_n_102; - wire y_mul_n_103; - wire y_mul_n_104; - wire y_mul_n_105; - wire y_mul_n_106; - wire y_mul_n_107; - wire y_mul_n_108; - wire y_mul_n_109; - wire y_mul_n_110; - wire y_mul_n_111; - wire y_mul_n_112; - wire y_mul_n_113; - wire y_mul_n_114; - wire y_mul_n_115; - wire y_mul_n_116; - wire y_mul_n_117; - wire y_mul_n_118; - wire y_mul_n_119; - wire y_mul_n_120; - wire y_mul_n_121; - wire y_mul_n_122; - wire y_mul_n_123; - wire y_mul_n_124; - wire y_mul_n_125; - wire y_mul_n_126; - wire y_mul_n_127; - wire y_mul_n_128; - wire y_mul_n_129; - wire y_mul_n_130; - wire y_mul_n_131; - wire y_mul_n_132; - wire y_mul_n_133; - wire y_mul_n_134; - wire y_mul_n_135; - wire y_mul_n_136; - wire y_mul_n_137; - wire y_mul_n_138; - wire y_mul_n_139; - wire y_mul_n_140; - wire y_mul_n_141; - wire y_mul_n_142; - wire y_mul_n_143; - wire y_mul_n_144; - wire y_mul_n_145; - wire y_mul_n_146; - wire y_mul_n_147; - wire y_mul_n_148; - wire y_mul_n_149; - wire y_mul_n_150; - wire y_mul_n_151; - wire y_mul_n_152; - wire y_mul_n_153; - wire y_mul_n_58; - wire y_mul_n_59; - wire y_mul_n_60; - wire y_mul_n_61; - wire y_mul_n_62; - wire y_mul_n_63; - wire y_mul_n_64; - wire y_mul_n_65; - wire y_mul_n_66; - wire y_mul_n_67; - wire y_mul_n_68; - wire y_mul_n_69; - wire y_mul_n_70; - wire y_mul_n_71; - wire y_mul_n_72; - wire y_mul_n_73; - wire y_mul_n_74; - wire y_mul_n_75; - wire y_mul_n_76; - wire y_mul_n_77; - wire y_mul_n_78; - wire y_mul_n_79; - wire y_mul_n_80; - wire y_mul_n_81; - wire y_mul_n_82; - wire y_mul_n_83; - wire y_mul_n_84; - wire y_mul_n_85; - wire y_mul_n_86; - wire y_mul_n_87; - wire y_mul_n_88; - wire y_mul_n_89; - wire y_mul_n_90; - wire y_mul_n_91; - wire y_mul_n_92; - wire y_mul_n_93; - wire y_mul_n_94; - wire y_mul_n_95; - wire y_mul_n_96; - wire y_mul_n_97; - wire y_mul_n_98; - wire y_mul_n_99; - wire [3:3]NLW_p_4_out_carry__8_CO_UNCONNECTED; - wire [3:3]NLW_x_mul1__0_carry__8_CO_UNCONNECTED; - wire NLW_y_mul_CARRYCASCOUT_UNCONNECTED; - wire NLW_y_mul_MULTSIGNOUT_UNCONNECTED; - wire NLW_y_mul_OVERFLOW_UNCONNECTED; - wire NLW_y_mul_PATTERNBDETECT_UNCONNECTED; - wire NLW_y_mul_PATTERNDETECT_UNCONNECTED; - wire NLW_y_mul_UNDERFLOW_UNCONNECTED; - wire [29:0]NLW_y_mul_ACOUT_UNCONNECTED; - wire [17:0]NLW_y_mul_BCOUT_UNCONNECTED; - wire [3:0]NLW_y_mul_CARRYOUT_UNCONNECTED; - wire NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED; - wire NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED; - wire NLW_y_mul__0_OVERFLOW_UNCONNECTED; - wire NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED; - wire NLW_y_mul__0_PATTERNDETECT_UNCONNECTED; - wire NLW_y_mul__0_UNDERFLOW_UNCONNECTED; - wire [29:0]NLW_y_mul__0_ACOUT_UNCONNECTED; - wire [17:0]NLW_y_mul__0_BCOUT_UNCONNECTED; - wire [3:0]NLW_y_mul__0_CARRYOUT_UNCONNECTED; - wire [47:44]NLW_y_mul__0_P_UNCONNECTED; - wire [47:0]NLW_y_mul__0_PCOUT_UNCONNECTED; - wire [3:1]NLW_y_mul__1_carry__5_CO_UNCONNECTED; - wire [3:2]NLW_y_mul__1_carry__5_O_UNCONNECTED; - wire [3:3]\NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED ; - - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_1__0 - (.I0(y_mul__0_n_82), - .I1(y_mul__1_carry__0_n_5), - .O(i__carry__0_i_1__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_2__0 - (.I0(y_mul__0_n_83), - .I1(y_mul__1_carry__0_n_6), - .O(i__carry__0_i_2__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_3__0 - (.I0(y_mul__0_n_84), - .I1(y_mul__1_carry__0_n_7), - .O(i__carry__0_i_3__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_4__0 - (.I0(y_mul__0_n_85), - .I1(y_mul__1_carry_n_4), - .O(i__carry__0_i_4__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_1__0 - (.I0(y_mul__0_n_78), - .I1(y_mul__1_carry__1_n_5), - .O(i__carry__1_i_1__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_2__0 - (.I0(y_mul__0_n_79), - .I1(y_mul__1_carry__1_n_6), - .O(i__carry__1_i_2__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_3__0 - (.I0(y_mul__0_n_80), - .I1(y_mul__1_carry__1_n_7), - .O(i__carry__1_i_3__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_4__0 - (.I0(y_mul__0_n_81), - .I1(y_mul__1_carry__0_n_4), - .O(i__carry__1_i_4__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_1__0 - (.I0(y_mul__0_n_74), - .I1(y_mul__1_carry__2_n_5), - .O(i__carry__2_i_1__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_2__0 - (.I0(y_mul__0_n_75), - .I1(y_mul__1_carry__2_n_6), - .O(i__carry__2_i_2__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_3__0 - (.I0(y_mul__0_n_76), - .I1(y_mul__1_carry__2_n_7), - .O(i__carry__2_i_3__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_4__0 - (.I0(y_mul__0_n_77), - .I1(y_mul__1_carry__1_n_4), - .O(i__carry__2_i_4__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_1__0 - (.I0(y_mul__0_n_70), - .I1(y_mul__1_carry__3_n_5), - .O(i__carry__3_i_1__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_2__0 - (.I0(y_mul__0_n_71), - .I1(y_mul__1_carry__3_n_6), - .O(i__carry__3_i_2__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_3__0 - (.I0(y_mul__0_n_72), - .I1(y_mul__1_carry__3_n_7), - .O(i__carry__3_i_3__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_4__0 - (.I0(y_mul__0_n_73), - .I1(y_mul__1_carry__2_n_4), - .O(i__carry__3_i_4__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_1__0 - (.I0(y_mul__0_n_66), - .I1(y_mul__1_carry__4_n_5), - .O(i__carry__4_i_1__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_2__0 - (.I0(y_mul__0_n_67), - .I1(y_mul__1_carry__4_n_6), - .O(i__carry__4_i_2__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_3__0 - (.I0(y_mul__0_n_68), - .I1(y_mul__1_carry__4_n_7), - .O(i__carry__4_i_3__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_4__0 - (.I0(y_mul__0_n_69), - .I1(y_mul__1_carry__3_n_4), - .O(i__carry__4_i_4__0_n_0)); - LUT2 #( - .INIT(4'h9)) - i__carry__5_i_1__0 - (.I0(y_mul__0_n_62), - .I1(y_mul__1_carry__5_n_1), - .O(i__carry__5_i_1__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_2__0 - (.I0(y_mul__0_n_63), - .I1(y_mul__1_carry__5_n_6), - .O(i__carry__5_i_2__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_3__0 - (.I0(y_mul__0_n_64), - .I1(y_mul__1_carry__5_n_7), - .O(i__carry__5_i_3__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_4__0 - (.I0(y_mul__0_n_65), - .I1(y_mul__1_carry__4_n_4), - .O(i__carry__5_i_4__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_1__0 - (.I0(y_mul__0_n_86), - .I1(y_mul__1_carry_n_5), - .O(i__carry_i_1__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_2__0 - (.I0(y_mul__0_n_87), - .I1(y_mul__1_carry_n_6), - .O(i__carry_i_2__0_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_3__0 - (.I0(y_mul__0_n_88), - .I1(y_mul__1_carry_n_7), - .O(i__carry_i_3__0_n_0)); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][0] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[0]), - .Q(\intreg_reg[0][39]_0 [0])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][10] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[10]), - .Q(\intreg_reg[0][39]_0 [10])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][11] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[11]), - .Q(\intreg_reg[0][39]_0 [11])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][12] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[12]), - .Q(\intreg_reg[0][39]_0 [12])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][13] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[13]), - .Q(\intreg_reg[0][39]_0 [13])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][14] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[14]), - .Q(\intreg_reg[0][39]_0 [14])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][15] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[15]), - .Q(\intreg_reg[0][39]_0 [15])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][16] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[16]), - .Q(\intreg_reg[0][39]_0 [16])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][17] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[17]), - .Q(\intreg_reg[0][39]_0 [17])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][18] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[18]), - .Q(\intreg_reg[0][39]_0 [18])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][19] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[19]), - .Q(\intreg_reg[0][39]_0 [19])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][1] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[1]), - .Q(\intreg_reg[0][39]_0 [1])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][20] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[20]), - .Q(\intreg_reg[0][39]_0 [20])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][21] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[21]), - .Q(\intreg_reg[0][39]_0 [21])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][22] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[22]), - .Q(\intreg_reg[0][39]_0 [22])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][23] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[23]), - .Q(\intreg_reg[0][39]_0 [23])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][24] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[24]), - .Q(\intreg_reg[0][39]_0 [24])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][25] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[25]), - .Q(\intreg_reg[0][39]_0 [25])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][26] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[26]), - .Q(\intreg_reg[0][39]_0 [26])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][27] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[27]), - .Q(\intreg_reg[0][39]_0 [27])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][28] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[28]), - .Q(\intreg_reg[0][39]_0 [28])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][29] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[29]), - .Q(\intreg_reg[0][39]_0 [29])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][2] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[2]), - .Q(\intreg_reg[0][39]_0 [2])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][30] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[30]), - .Q(\intreg_reg[0][39]_0 [30])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][31] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[31]), - .Q(\intreg_reg[0][39]_0 [31])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][32] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[32]), - .Q(\intreg_reg[0][39]_0 [32])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][33] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[33]), - .Q(\intreg_reg[0][39]_0 [33])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][34] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[34]), - .Q(\intreg_reg[0][39]_0 [34])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][35] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[35]), - .Q(\intreg_reg[0][39]_0 [35])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][36] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[36]), - .Q(\intreg_reg[0][39]_0 [36])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][37] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[37]), - .Q(\intreg_reg[0][39]_0 [37])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][38] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[38]), - .Q(\intreg_reg[0]_2 )); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][39] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[39]), - .Q(\intreg_reg[0][39]_0 [38])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][3] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[3]), - .Q(\intreg_reg[0][39]_0 [3])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][4] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[4]), - .Q(\intreg_reg[0][39]_0 [4])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][5] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[5]), - .Q(\intreg_reg[0][39]_0 [5])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][6] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[6]), - .Q(\intreg_reg[0][39]_0 [6])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][7] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[7]), - .Q(\intreg_reg[0][39]_0 [7])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][8] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[8]), - .Q(\intreg_reg[0][39]_0 [8])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][9] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[9]), - .Q(\intreg_reg[0][39]_0 [9])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][0] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[0]), - .Q(\intreg_reg[1][39]_0 [0])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][10] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[10]), - .Q(\intreg_reg[1][39]_0 [10])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][11] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[11]), - .Q(\intreg_reg[1][39]_0 [11])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][12] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[12]), - .Q(\intreg_reg[1][39]_0 [12])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][13] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[13]), - .Q(\intreg_reg[1][39]_0 [13])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][14] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[14]), - .Q(\intreg_reg[1][39]_0 [14])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][15] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[15]), - .Q(\intreg_reg[1][39]_0 [15])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][16] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[16]), - .Q(\intreg_reg[1][39]_0 [16])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][17] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[17]), - .Q(\intreg_reg[1][39]_0 [17])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][18] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[18]), - .Q(\intreg_reg[1][39]_0 [18])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][19] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[19]), - .Q(\intreg_reg[1][39]_0 [19])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][1] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[1]), - .Q(\intreg_reg[1][39]_0 [1])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][20] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[20]), - .Q(\intreg_reg[1][39]_0 [20])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][21] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[21]), - .Q(\intreg_reg[1][39]_0 [21])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][22] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[22]), - .Q(\intreg_reg[1][39]_0 [22])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][23] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[23]), - .Q(\intreg_reg[1][39]_0 [23])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][24] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[24]), - .Q(\intreg_reg[1][39]_0 [24])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][25] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[25]), - .Q(\intreg_reg[1][39]_0 [25])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][26] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[26]), - .Q(\intreg_reg[1][39]_0 [26])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][27] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[27]), - .Q(\intreg_reg[1][39]_0 [27])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][28] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[28]), - .Q(\intreg_reg[1][39]_0 [28])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][29] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[29]), - .Q(\intreg_reg[1][39]_0 [29])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][2] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[2]), - .Q(\intreg_reg[1][39]_0 [2])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][30] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[30]), - .Q(\intreg_reg[1][39]_0 [30])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][31] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[31]), - .Q(\intreg_reg[1][39]_0 [31])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][32] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[32]), - .Q(\intreg_reg[1][39]_0 [32])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][33] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[33]), - .Q(\intreg_reg[1][39]_0 [33])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][34] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[34]), - .Q(\intreg_reg[1][39]_0 [34])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][35] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[35]), - .Q(\intreg_reg[1][39]_0 [35])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][36] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[36]), - .Q(\intreg_reg[1][39]_0 [36])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][37] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[37]), - .Q(\intreg_reg[1][39]_0 [37])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][38] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[38]), - .Q(\intreg_reg[1]_3 )); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][39] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[39]), - .Q(\intreg_reg[1][39]_0 [38])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][3] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[3]), - .Q(\intreg_reg[1][39]_0 [3])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][4] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[4]), - .Q(\intreg_reg[1][39]_0 [4])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][5] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[5]), - .Q(\intreg_reg[1][39]_0 [5])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][6] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[6]), - .Q(\intreg_reg[1][39]_0 [6])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][7] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[7]), - .Q(\intreg_reg[1][39]_0 [7])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][8] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[8]), - .Q(\intreg_reg[1][39]_0 [8])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][9] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[9]), - .Q(\intreg_reg[1][39]_0 [9])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry - (.CI(1'b0), - .CO({p_4_out_carry_n_0,p_4_out_carry_n_1,p_4_out_carry_n_2,p_4_out_carry_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry_i_1__0_n_0,p_4_out_carry_i_2__0_n_0,DI}), - .O(p_4_out[3:0]), - .S({p_4_out_carry_i_5__0_n_0,p_4_out_carry_i_6__0_n_0,S,p_4_out_carry_i_8__0_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__0 - (.CI(p_4_out_carry_n_0), - .CO({p_4_out_carry__0_n_0,p_4_out_carry__0_n_1,p_4_out_carry__0_n_2,p_4_out_carry__0_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__0_i_1__0_n_0,p_4_out_carry__0_i_2__0_n_0,p_4_out_carry__0_i_3__0_n_0,p_4_out_carry__0_i_4__0_n_0}), - .O(p_4_out[7:4]), - .S({p_4_out_carry__0_i_5__0_n_0,p_4_out_carry__0_i_6__0_n_0,p_4_out_carry__0_i_7__0_n_0,p_4_out_carry__0_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair48" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__0_i_10 - (.I0(\intreg_reg[1][39]_0 [6]), - .I1(\intreg_reg[0][39]_0 [6]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [4])); - (* SOFT_HLUTNM = "soft_lutpair48" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__0_i_11 - (.I0(\intreg_reg[1][39]_0 [5]), - .I1(\intreg_reg[0][39]_0 [5]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [3])); - (* SOFT_HLUTNM = "soft_lutpair47" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__0_i_12 - (.I0(\intreg_reg[1][39]_0 [4]), - .I1(\intreg_reg[0][39]_0 [4]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [2])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__0_i_1__0 - (.I0(y_mul__0_n_95), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[6]), - .I3(Q[6]), - .I4(x_mul2_out[6]), - .I5(cx1[3]), - .O(p_4_out_carry__0_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__0_i_2__0 - (.I0(y_mul__0_n_96), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[5]), - .I3(Q[5]), - .I4(x_mul2_out[5]), - .I5(cx1[3]), - .O(p_4_out_carry__0_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__0_i_3__0 - (.I0(y_mul__0_n_97), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[4]), - .I3(Q[4]), - .I4(x_mul2_out[4]), - .I5(cx1[3]), - .O(p_4_out_carry__0_i_3__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__0_i_4__0 - (.I0(y_mul__0_n_98), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[3]), - .I3(Q[3]), - .I4(x_mul2_out[3]), - .I5(cx1[3]), - .O(p_4_out_carry__0_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__0_i_5__0 - (.I0(p_4_out_carry__0_i_1__0_n_0), - .I1(tap2[5]), - .I2(y_mul__0_n_94), - .I3(cx1[3]), - .I4(x_mul2_out[7]), - .O(p_4_out_carry__0_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__0_i_6__0 - (.I0(p_4_out_carry__0_i_2__0_n_0), - .I1(tap2[4]), - .I2(y_mul__0_n_95), - .I3(cx1[3]), - .I4(x_mul2_out[6]), - .O(p_4_out_carry__0_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__0_i_7__0 - (.I0(p_4_out_carry__0_i_3__0_n_0), - .I1(tap2[3]), - .I2(y_mul__0_n_96), - .I3(cx1[3]), - .I4(x_mul2_out[5]), - .O(p_4_out_carry__0_i_7__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__0_i_8__0 - (.I0(p_4_out_carry__0_i_4__0_n_0), - .I1(tap2[2]), - .I2(y_mul__0_n_97), - .I3(cx1[3]), - .I4(x_mul2_out[4]), - .O(p_4_out_carry__0_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair49" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__0_i_9 - (.I0(\intreg_reg[1][39]_0 [7]), - .I1(\intreg_reg[0][39]_0 [7]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [5])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__1 - (.CI(p_4_out_carry__0_n_0), - .CO({p_4_out_carry__1_n_0,p_4_out_carry__1_n_1,p_4_out_carry__1_n_2,p_4_out_carry__1_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__1_i_1__0_n_0,p_4_out_carry__1_i_2__0_n_0,p_4_out_carry__1_i_3__0_n_0,p_4_out_carry__1_i_4__0_n_0}), - .O(p_4_out[11:8]), - .S({p_4_out_carry__1_i_5__0_n_0,p_4_out_carry__1_i_6__0_n_0,p_4_out_carry__1_i_7__0_n_0,p_4_out_carry__1_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair50" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__1_i_10 - (.I0(\intreg_reg[1][39]_0 [10]), - .I1(\intreg_reg[0][39]_0 [10]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [8])); - (* SOFT_HLUTNM = "soft_lutpair50" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__1_i_11 - (.I0(\intreg_reg[1][39]_0 [9]), - .I1(\intreg_reg[0][39]_0 [9]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [7])); - (* SOFT_HLUTNM = "soft_lutpair49" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__1_i_12 - (.I0(\intreg_reg[1][39]_0 [8]), - .I1(\intreg_reg[0][39]_0 [8]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [6])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__1_i_1__0 - (.I0(y_mul__0_n_91), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[10]), - .I3(Q[10]), - .I4(x_mul2_out[10]), - .I5(cx1[3]), - .O(p_4_out_carry__1_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__1_i_2__0 - (.I0(y_mul__0_n_92), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[9]), - .I3(Q[9]), - .I4(x_mul2_out[9]), - .I5(cx1[3]), - .O(p_4_out_carry__1_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__1_i_3__0 - (.I0(y_mul__0_n_93), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[8]), - .I3(Q[8]), - .I4(x_mul2_out[8]), - .I5(cx1[3]), - .O(p_4_out_carry__1_i_3__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__1_i_4__0 - (.I0(y_mul__0_n_94), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[7]), - .I3(Q[7]), - .I4(x_mul2_out[7]), - .I5(cx1[3]), - .O(p_4_out_carry__1_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__1_i_5__0 - (.I0(p_4_out_carry__1_i_1__0_n_0), - .I1(tap2[9]), - .I2(y_mul__0_n_90), - .I3(cx1[3]), - .I4(x_mul2_out[11]), - .O(p_4_out_carry__1_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__1_i_6__0 - (.I0(p_4_out_carry__1_i_2__0_n_0), - .I1(tap2[8]), - .I2(y_mul__0_n_91), - .I3(cx1[3]), - .I4(x_mul2_out[10]), - .O(p_4_out_carry__1_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__1_i_7__0 - (.I0(p_4_out_carry__1_i_3__0_n_0), - .I1(tap2[7]), - .I2(y_mul__0_n_92), - .I3(cx1[3]), - .I4(x_mul2_out[9]), - .O(p_4_out_carry__1_i_7__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__1_i_8__0 - (.I0(p_4_out_carry__1_i_4__0_n_0), - .I1(tap2[6]), - .I2(y_mul__0_n_93), - .I3(cx1[3]), - .I4(x_mul2_out[8]), - .O(p_4_out_carry__1_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair51" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__1_i_9 - (.I0(\intreg_reg[1][39]_0 [11]), - .I1(\intreg_reg[0][39]_0 [11]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [9])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__2 - (.CI(p_4_out_carry__1_n_0), - .CO({p_4_out_carry__2_n_0,p_4_out_carry__2_n_1,p_4_out_carry__2_n_2,p_4_out_carry__2_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__2_i_1__0_n_0,p_4_out_carry__2_i_2__0_n_0,p_4_out_carry__2_i_3__0_n_0,p_4_out_carry__2_i_4__0_n_0}), - .O(p_4_out[15:12]), - .S({p_4_out_carry__2_i_5__0_n_0,p_4_out_carry__2_i_6__0_n_0,p_4_out_carry__2_i_7__0_n_0,p_4_out_carry__2_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair52" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__2_i_10 - (.I0(\intreg_reg[1][39]_0 [14]), - .I1(\intreg_reg[0][39]_0 [14]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [12])); - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__2_i_11 - (.I0(\intreg_reg[1][39]_0 [13]), - .I1(\intreg_reg[0][39]_0 [13]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [11])); - (* SOFT_HLUTNM = "soft_lutpair51" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__2_i_12 - (.I0(\intreg_reg[1][39]_0 [12]), - .I1(\intreg_reg[0][39]_0 [12]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [10])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__2_i_1__0 - (.I0(y_mul__1__0[35]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[14]), - .I3(Q[14]), - .I4(x_mul2_out[14]), - .I5(cx1[3]), - .O(p_4_out_carry__2_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__2_i_2__0 - (.I0(y_mul__1__0[34]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[13]), - .I3(Q[13]), - .I4(x_mul2_out[13]), - .I5(cx1[3]), - .O(p_4_out_carry__2_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__2_i_3__0 - (.I0(y_mul__1__0[33]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[12]), - .I3(Q[12]), - .I4(x_mul2_out[12]), - .I5(cx1[3]), - .O(p_4_out_carry__2_i_3__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__2_i_4__0 - (.I0(y_mul__0_n_90), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[11]), - .I3(Q[11]), - .I4(x_mul2_out[11]), - .I5(cx1[3]), - .O(p_4_out_carry__2_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__2_i_5__0 - (.I0(p_4_out_carry__2_i_1__0_n_0), - .I1(tap2[13]), - .I2(y_mul__1__0[36]), - .I3(cx1[3]), - .I4(x_mul2_out[15]), - .O(p_4_out_carry__2_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__2_i_6__0 - (.I0(p_4_out_carry__2_i_2__0_n_0), - .I1(tap2[12]), - .I2(y_mul__1__0[35]), - .I3(cx1[3]), - .I4(x_mul2_out[14]), - .O(p_4_out_carry__2_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__2_i_7__0 - (.I0(p_4_out_carry__2_i_3__0_n_0), - .I1(tap2[11]), - .I2(y_mul__1__0[34]), - .I3(cx1[3]), - .I4(x_mul2_out[13]), - .O(p_4_out_carry__2_i_7__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__2_i_8__0 - (.I0(p_4_out_carry__2_i_4__0_n_0), - .I1(tap2[10]), - .I2(y_mul__1__0[33]), - .I3(cx1[3]), - .I4(x_mul2_out[12]), - .O(p_4_out_carry__2_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair52" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__2_i_9 - (.I0(\intreg_reg[1][39]_0 [15]), - .I1(\intreg_reg[0][39]_0 [15]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [13])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__3 - (.CI(p_4_out_carry__2_n_0), - .CO({p_4_out_carry__3_n_0,p_4_out_carry__3_n_1,p_4_out_carry__3_n_2,p_4_out_carry__3_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__3_i_1__0_n_0,p_4_out_carry__3_i_2__0_n_0,p_4_out_carry__3_i_3__0_n_0,p_4_out_carry__3_i_4__0_n_0}), - .O(p_4_out[19:16]), - .S({p_4_out_carry__3_i_5__0_n_0,p_4_out_carry__3_i_6__0_n_0,p_4_out_carry__3_i_7__0_n_0,p_4_out_carry__3_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair54" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__3_i_10 - (.I0(\intreg_reg[1][39]_0 [18]), - .I1(\intreg_reg[0][39]_0 [18]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [16])); - (* SOFT_HLUTNM = "soft_lutpair53" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__3_i_11 - (.I0(\intreg_reg[1][39]_0 [17]), - .I1(\intreg_reg[0][39]_0 [17]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [15])); - (* SOFT_HLUTNM = "soft_lutpair53" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__3_i_12 - (.I0(\intreg_reg[1][39]_0 [16]), - .I1(\intreg_reg[0][39]_0 [16]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [14])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__3_i_1__0 - (.I0(y_mul__1__0[39]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[18]), - .I3(Q[18]), - .I4(x_mul2_out[18]), - .I5(cx1[3]), - .O(p_4_out_carry__3_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__3_i_2__0 - (.I0(y_mul__1__0[38]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[17]), - .I3(Q[17]), - .I4(x_mul2_out[17]), - .I5(cx1[3]), - .O(p_4_out_carry__3_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__3_i_3__0 - (.I0(y_mul__1__0[37]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[16]), - .I3(Q[16]), - .I4(x_mul2_out[16]), - .I5(cx1[3]), - .O(p_4_out_carry__3_i_3__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__3_i_4__0 - (.I0(y_mul__1__0[36]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[15]), - .I3(Q[15]), - .I4(x_mul2_out[15]), - .I5(cx1[3]), - .O(p_4_out_carry__3_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__3_i_5__0 - (.I0(p_4_out_carry__3_i_1__0_n_0), - .I1(tap2[17]), - .I2(y_mul__1__0[40]), - .I3(cx1[3]), - .I4(x_mul2_out[19]), - .O(p_4_out_carry__3_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__3_i_6__0 - (.I0(p_4_out_carry__3_i_2__0_n_0), - .I1(tap2[16]), - .I2(y_mul__1__0[39]), - .I3(cx1[3]), - .I4(x_mul2_out[18]), - .O(p_4_out_carry__3_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__3_i_7__0 - (.I0(p_4_out_carry__3_i_3__0_n_0), - .I1(tap2[15]), - .I2(y_mul__1__0[38]), - .I3(cx1[3]), - .I4(x_mul2_out[17]), - .O(p_4_out_carry__3_i_7__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__3_i_8__0 - (.I0(p_4_out_carry__3_i_4__0_n_0), - .I1(tap2[14]), - .I2(y_mul__1__0[37]), - .I3(cx1[3]), - .I4(x_mul2_out[16]), - .O(p_4_out_carry__3_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair54" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__3_i_9 - (.I0(\intreg_reg[1][39]_0 [19]), - .I1(\intreg_reg[0][39]_0 [19]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [17])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__4 - (.CI(p_4_out_carry__3_n_0), - .CO({p_4_out_carry__4_n_0,p_4_out_carry__4_n_1,p_4_out_carry__4_n_2,p_4_out_carry__4_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__4_i_1__0_n_0,p_4_out_carry__4_i_2__0_n_0,p_4_out_carry__4_i_3__0_n_0,p_4_out_carry__4_i_4__0_n_0}), - .O(p_4_out[23:20]), - .S({p_4_out_carry__4_i_5__0_n_0,p_4_out_carry__4_i_6__0_n_0,p_4_out_carry__4_i_7__0_n_0,p_4_out_carry__4_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair56" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__4_i_10 - (.I0(\intreg_reg[1][39]_0 [22]), - .I1(\intreg_reg[0][39]_0 [22]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [20])); - (* SOFT_HLUTNM = "soft_lutpair55" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__4_i_11 - (.I0(\intreg_reg[1][39]_0 [21]), - .I1(\intreg_reg[0][39]_0 [21]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [19])); - (* SOFT_HLUTNM = "soft_lutpair55" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__4_i_12 - (.I0(\intreg_reg[1][39]_0 [20]), - .I1(\intreg_reg[0][39]_0 [20]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [18])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__4_i_1__0 - (.I0(y_mul__1__0[43]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[22]), - .I3(Q[22]), - .I4(x_mul2_out[22]), - .I5(cx1[3]), - .O(p_4_out_carry__4_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__4_i_2__0 - (.I0(y_mul__1__0[42]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[21]), - .I3(Q[21]), - .I4(x_mul2_out[21]), - .I5(cx1[3]), - .O(p_4_out_carry__4_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__4_i_3__0 - (.I0(y_mul__1__0[41]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[20]), - .I3(Q[20]), - .I4(x_mul2_out[20]), - .I5(cx1[3]), - .O(p_4_out_carry__4_i_3__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__4_i_4__0 - (.I0(y_mul__1__0[40]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[19]), - .I3(Q[19]), - .I4(x_mul2_out[19]), - .I5(cx1[3]), - .O(p_4_out_carry__4_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__4_i_5__0 - (.I0(p_4_out_carry__4_i_1__0_n_0), - .I1(tap2[21]), - .I2(y_mul__1__0[44]), - .I3(cx1[3]), - .I4(x_mul2_out[23]), - .O(p_4_out_carry__4_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__4_i_6__0 - (.I0(p_4_out_carry__4_i_2__0_n_0), - .I1(tap2[20]), - .I2(y_mul__1__0[43]), - .I3(cx1[3]), - .I4(x_mul2_out[22]), - .O(p_4_out_carry__4_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__4_i_7__0 - (.I0(p_4_out_carry__4_i_3__0_n_0), - .I1(tap2[19]), - .I2(y_mul__1__0[42]), - .I3(cx1[3]), - .I4(x_mul2_out[21]), - .O(p_4_out_carry__4_i_7__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__4_i_8__0 - (.I0(p_4_out_carry__4_i_4__0_n_0), - .I1(tap2[18]), - .I2(y_mul__1__0[41]), - .I3(cx1[3]), - .I4(x_mul2_out[20]), - .O(p_4_out_carry__4_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair56" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__4_i_9 - (.I0(\intreg_reg[1][39]_0 [23]), - .I1(\intreg_reg[0][39]_0 [23]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [21])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__5 - (.CI(p_4_out_carry__4_n_0), - .CO({p_4_out_carry__5_n_0,p_4_out_carry__5_n_1,p_4_out_carry__5_n_2,p_4_out_carry__5_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__5_i_1__0_n_0,p_4_out_carry__5_i_2__0_n_0,p_4_out_carry__5_i_3__0_n_0,p_4_out_carry__5_i_4__0_n_0}), - .O(p_4_out[27:24]), - .S({p_4_out_carry__5_i_5__0_n_0,p_4_out_carry__5_i_6__0_n_0,p_4_out_carry__5_i_7__0_n_0,p_4_out_carry__5_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair58" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__5_i_10 - (.I0(\intreg_reg[1][39]_0 [26]), - .I1(\intreg_reg[0][39]_0 [26]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [24])); - (* SOFT_HLUTNM = "soft_lutpair57" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__5_i_11 - (.I0(\intreg_reg[1][39]_0 [25]), - .I1(\intreg_reg[0][39]_0 [25]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [23])); - (* SOFT_HLUTNM = "soft_lutpair57" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__5_i_12 - (.I0(\intreg_reg[1][39]_0 [24]), - .I1(\intreg_reg[0][39]_0 [24]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [22])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__5_i_1__0 - (.I0(y_mul__1__0[47]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[26]), - .I3(Q[26]), - .I4(x_mul2_out[26]), - .I5(cx1[3]), - .O(p_4_out_carry__5_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__5_i_2__0 - (.I0(y_mul__1__0[46]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[25]), - .I3(Q[25]), - .I4(x_mul2_out[25]), - .I5(cx1[3]), - .O(p_4_out_carry__5_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__5_i_3__0 - (.I0(y_mul__1__0[45]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[24]), - .I3(Q[24]), - .I4(x_mul2_out[24]), - .I5(cx1[3]), - .O(p_4_out_carry__5_i_3__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__5_i_4__0 - (.I0(y_mul__1__0[44]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[23]), - .I3(Q[23]), - .I4(x_mul2_out[23]), - .I5(cx1[3]), - .O(p_4_out_carry__5_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__5_i_5__0 - (.I0(p_4_out_carry__5_i_1__0_n_0), - .I1(tap2[25]), - .I2(y_mul__1__0[48]), - .I3(cx1[3]), - .I4(x_mul2_out[27]), - .O(p_4_out_carry__5_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__5_i_6__0 - (.I0(p_4_out_carry__5_i_2__0_n_0), - .I1(tap2[24]), - .I2(y_mul__1__0[47]), - .I3(cx1[3]), - .I4(x_mul2_out[26]), - .O(p_4_out_carry__5_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__5_i_7__0 - (.I0(p_4_out_carry__5_i_3__0_n_0), - .I1(tap2[23]), - .I2(y_mul__1__0[46]), - .I3(cx1[3]), - .I4(x_mul2_out[25]), - .O(p_4_out_carry__5_i_7__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__5_i_8__0 - (.I0(p_4_out_carry__5_i_4__0_n_0), - .I1(tap2[22]), - .I2(y_mul__1__0[45]), - .I3(cx1[3]), - .I4(x_mul2_out[24]), - .O(p_4_out_carry__5_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair58" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__5_i_9 - (.I0(\intreg_reg[1][39]_0 [27]), - .I1(\intreg_reg[0][39]_0 [27]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [25])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__6 - (.CI(p_4_out_carry__5_n_0), - .CO({p_4_out_carry__6_n_0,p_4_out_carry__6_n_1,p_4_out_carry__6_n_2,p_4_out_carry__6_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__6_i_1__0_n_0,p_4_out_carry__6_i_2__0_n_0,p_4_out_carry__6_i_3__0_n_0,p_4_out_carry__6_i_4__0_n_0}), - .O(p_4_out[31:28]), - .S({p_4_out_carry__6_i_5__0_n_0,p_4_out_carry__6_i_6__0_n_0,p_4_out_carry__6_i_7__0_n_0,p_4_out_carry__6_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair60" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__6_i_10 - (.I0(\intreg_reg[1][39]_0 [30]), - .I1(\intreg_reg[0][39]_0 [30]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [28])); - (* SOFT_HLUTNM = "soft_lutpair59" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__6_i_11 - (.I0(\intreg_reg[1][39]_0 [29]), - .I1(\intreg_reg[0][39]_0 [29]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [27])); - (* SOFT_HLUTNM = "soft_lutpair59" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__6_i_12 - (.I0(\intreg_reg[1][39]_0 [28]), - .I1(\intreg_reg[0][39]_0 [28]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [26])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__6_i_1__0 - (.I0(y_mul__1__0[51]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[30]), - .I3(Q[30]), - .I4(x_mul2_out[30]), - .I5(cx1[3]), - .O(p_4_out_carry__6_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__6_i_2__0 - (.I0(y_mul__1__0[50]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[29]), - .I3(Q[29]), - .I4(x_mul2_out[29]), - .I5(cx1[3]), - .O(p_4_out_carry__6_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__6_i_3__0 - (.I0(y_mul__1__0[49]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[28]), - .I3(Q[28]), - .I4(x_mul2_out[28]), - .I5(cx1[3]), - .O(p_4_out_carry__6_i_3__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__6_i_4__0 - (.I0(y_mul__1__0[48]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[27]), - .I3(Q[27]), - .I4(x_mul2_out[27]), - .I5(cx1[3]), - .O(p_4_out_carry__6_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__6_i_5__0 - (.I0(p_4_out_carry__6_i_1__0_n_0), - .I1(tap2[29]), - .I2(y_mul__1__0[52]), - .I3(cx1[3]), - .I4(x_mul2_out[31]), - .O(p_4_out_carry__6_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__6_i_6__0 - (.I0(p_4_out_carry__6_i_2__0_n_0), - .I1(tap2[28]), - .I2(y_mul__1__0[51]), - .I3(cx1[3]), - .I4(x_mul2_out[30]), - .O(p_4_out_carry__6_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__6_i_7__0 - (.I0(p_4_out_carry__6_i_3__0_n_0), - .I1(tap2[27]), - .I2(y_mul__1__0[50]), - .I3(cx1[3]), - .I4(x_mul2_out[29]), - .O(p_4_out_carry__6_i_7__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__6_i_8__0 - (.I0(p_4_out_carry__6_i_4__0_n_0), - .I1(tap2[26]), - .I2(y_mul__1__0[49]), - .I3(cx1[3]), - .I4(x_mul2_out[28]), - .O(p_4_out_carry__6_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair60" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__6_i_9 - (.I0(\intreg_reg[1][39]_0 [31]), - .I1(\intreg_reg[0][39]_0 [31]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [29])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__7 - (.CI(p_4_out_carry__6_n_0), - .CO({p_4_out_carry__7_n_0,p_4_out_carry__7_n_1,p_4_out_carry__7_n_2,p_4_out_carry__7_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__7_i_1__0_n_0,p_4_out_carry__7_i_2__0_n_0,p_4_out_carry__7_i_3__0_n_0,p_4_out_carry__7_i_4__0_n_0}), - .O(p_4_out[35:32]), - .S({p_4_out_carry__7_i_5__0_n_0,p_4_out_carry__7_i_6__0_n_0,p_4_out_carry__7_i_7__0_n_0,p_4_out_carry__7_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair62" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__7_i_10 - (.I0(\intreg_reg[1][39]_0 [34]), - .I1(\intreg_reg[0][39]_0 [34]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [32])); - (* SOFT_HLUTNM = "soft_lutpair61" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__7_i_11 - (.I0(\intreg_reg[1][39]_0 [33]), - .I1(\intreg_reg[0][39]_0 [33]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [31])); - (* SOFT_HLUTNM = "soft_lutpair61" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__7_i_12 - (.I0(\intreg_reg[1][39]_0 [32]), - .I1(\intreg_reg[0][39]_0 [32]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [30])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__7_i_1__0 - (.I0(y_mul__1__0[55]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[34]), - .I3(Q[34]), - .I4(x_mul2_out[34]), - .I5(cx1[3]), - .O(p_4_out_carry__7_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__7_i_2__0 - (.I0(y_mul__1__0[54]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[33]), - .I3(Q[33]), - .I4(x_mul2_out[33]), - .I5(cx1[3]), - .O(p_4_out_carry__7_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__7_i_3__0 - (.I0(y_mul__1__0[53]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[32]), - .I3(Q[32]), - .I4(x_mul2_out[32]), - .I5(cx1[3]), - .O(p_4_out_carry__7_i_3__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__7_i_4__0 - (.I0(y_mul__1__0[52]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[31]), - .I3(Q[31]), - .I4(x_mul2_out[31]), - .I5(cx1[3]), - .O(p_4_out_carry__7_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__7_i_5__0 - (.I0(p_4_out_carry__7_i_1__0_n_0), - .I1(tap2[33]), - .I2(y_mul__1__0[56]), - .I3(cx1[3]), - .I4(x_mul2_out[35]), - .O(p_4_out_carry__7_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__7_i_6__0 - (.I0(p_4_out_carry__7_i_2__0_n_0), - .I1(tap2[32]), - .I2(y_mul__1__0[55]), - .I3(cx1[3]), - .I4(x_mul2_out[34]), - .O(p_4_out_carry__7_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__7_i_7__0 - (.I0(p_4_out_carry__7_i_3__0_n_0), - .I1(tap2[31]), - .I2(y_mul__1__0[54]), - .I3(cx1[3]), - .I4(x_mul2_out[33]), - .O(p_4_out_carry__7_i_7__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__7_i_8__0 - (.I0(p_4_out_carry__7_i_4__0_n_0), - .I1(tap2[30]), - .I2(y_mul__1__0[53]), - .I3(cx1[3]), - .I4(x_mul2_out[32]), - .O(p_4_out_carry__7_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair62" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__7_i_9 - (.I0(\intreg_reg[1][39]_0 [35]), - .I1(\intreg_reg[0][39]_0 [35]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [33])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__8 - (.CI(p_4_out_carry__7_n_0), - .CO({NLW_p_4_out_carry__8_CO_UNCONNECTED[3],p_4_out_carry__8_n_1,p_4_out_carry__8_n_2,p_4_out_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,p_4_out_carry__8_i_1__0_n_0,p_4_out_carry__8_i_2__0_n_0,p_4_out_carry__8_i_3__0_n_0}), - .O(p_4_out[39:36]), - .S({p_4_out_carry__8_i_4__0_n_0,p_4_out_carry__8_i_5__0_n_0,p_4_out_carry__8_i_6__0_n_0,p_4_out_carry__8_i_7__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair63" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__8_i_10 - (.I0(\intreg_reg[1][39]_0 [37]), - .I1(\intreg_reg[0][39]_0 [37]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [35])); - (* SOFT_HLUTNM = "soft_lutpair63" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__8_i_11 - (.I0(\intreg_reg[1][39]_0 [36]), - .I1(\intreg_reg[0][39]_0 [36]), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [34])); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__8_i_1__0 - (.I0(y_mul__1__0[58]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[37]), - .I3(Q[37]), - .I4(x_mul2_out[37]), - .I5(cx1[3]), - .O(p_4_out_carry__8_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__8_i_2__0 - (.I0(y_mul__1__0[57]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[36]), - .I3(Q[36]), - .I4(x_mul2_out[36]), - .I5(cx1[3]), - .O(p_4_out_carry__8_i_2__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry__8_i_3__0 - (.I0(y_mul__1__0[56]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[35]), - .I3(Q[35]), - .I4(x_mul2_out[35]), - .I5(cx1[3]), - .O(p_4_out_carry__8_i_3__0_n_0)); - LUT5 #( - .INIT(32'h9F0960F6)) - p_4_out_carry__8_i_4__0 - (.I0(cx1[3]), - .I1(x_mul2_out[38]), - .I2(tap2[36]), - .I3(y_mul__1__0[59]), - .I4(p_4_out_carry__8_i_9__0_n_0), - .O(p_4_out_carry__8_i_4__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__8_i_5__0 - (.I0(p_4_out_carry__8_i_1__0_n_0), - .I1(tap2[36]), - .I2(y_mul__1__0[59]), - .I3(cx1[3]), - .I4(x_mul2_out[38]), - .O(p_4_out_carry__8_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__8_i_6__0 - (.I0(p_4_out_carry__8_i_2__0_n_0), - .I1(tap2[35]), - .I2(y_mul__1__0[58]), - .I3(cx1[3]), - .I4(x_mul2_out[37]), - .O(p_4_out_carry__8_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry__8_i_7__0 - (.I0(p_4_out_carry__8_i_3__0_n_0), - .I1(tap2[34]), - .I2(y_mul__1__0[57]), - .I3(cx1[3]), - .I4(x_mul2_out[36]), - .O(p_4_out_carry__8_i_7__0_n_0)); - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__8_i_8 - (.I0(\intreg_reg[1]_3 ), - .I1(\intreg_reg[0]_2 ), - .I2(p_4_out_carry__8_i_4), - .O(\intreg_reg[1][38]_0 [36])); - LUT6 #( - .INIT(64'h9669966996966969)) - p_4_out_carry__8_i_9__0 - (.I0(x_mul2_out[39]), - .I1(cx1[3]), - .I2(y_mul__1__0[60]), - .I3(Q[38]), - .I4(p_4_out_carry__8_i_4__0_0[38]), - .I5(ch), - .O(p_4_out_carry__8_i_9__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair46" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry_i_10 - (.I0(\intreg_reg[1][39]_0 [2]), - .I1(\intreg_reg[0][39]_0 [2]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [0])); - (* SOFT_HLUTNM = "soft_lutpair46" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry_i_11 - (.I0(\intreg_reg[1][39]_0 [1]), - .I1(\intreg_reg[0][39]_0 [1]), - .I2(ch), - .O(tap1)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry_i_1__0 - (.I0(y_mul__0_n_99), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[2]), - .I3(Q[2]), - .I4(x_mul2_out[2]), - .I5(cx1[3]), - .O(p_4_out_carry_i_1__0_n_0)); - LUT6 #( - .INIT(64'h5410FD75FD755410)) - p_4_out_carry_i_2__0 - (.I0(P[1]), - .I1(ch), - .I2(p_4_out_carry__8_i_4__0_0[1]), - .I3(Q[1]), - .I4(O), - .I5(cx1[3]), - .O(p_4_out_carry_i_2__0_n_0)); - LUT4 #( - .INIT(16'hE4FF)) - p_4_out_carry_i_3__0 - (.I0(ch), - .I1(\intreg_reg[0][39]_0 [0]), - .I2(\intreg_reg[1][39]_0 [0]), - .I3(\intreg_reg[1][3]_0 [0]), - .O(ch_reg[1])); - LUT4 #( - .INIT(16'h665A)) - p_4_out_carry_i_4 - (.I0(\intreg_reg[1][3]_0 [0]), - .I1(\intreg_reg[1][39]_0 [0]), - .I2(\intreg_reg[0][39]_0 [0]), - .I3(ch), - .O(ch_reg[0])); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry_i_5__0 - (.I0(p_4_out_carry_i_1__0_n_0), - .I1(tap2[1]), - .I2(y_mul__0_n_98), - .I3(cx1[3]), - .I4(x_mul2_out[3]), - .O(p_4_out_carry_i_5__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry_i_6__0 - (.I0(p_4_out_carry_i_2__0_n_0), - .I1(tap2[0]), - .I2(y_mul__0_n_99), - .I3(cx1[3]), - .I4(x_mul2_out[2]), - .O(p_4_out_carry_i_6__0_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry_i_7 - (.I0(ch_reg[1]), - .I1(tap1), - .I2(\intreg_reg[1][3]_0 [1]), - .I3(cx0), - .I4(\intreg_reg[1][3]_1 ), - .O(y_mul__0_0)); - LUT6 #( - .INIT(64'h665A99A599A5665A)) - p_4_out_carry_i_8__0 - (.I0(P[0]), - .I1(Q[0]), - .I2(p_4_out_carry__8_i_4__0_0[0]), - .I3(ch), - .I4(x_mul2_out[0]), - .I5(cx1[3]), - .O(p_4_out_carry_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair47" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry_i_9 - (.I0(\intreg_reg[1][39]_0 [3]), - .I1(\intreg_reg[0][39]_0 [3]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [1])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry - (.CI(1'b0), - .CO({x_mul1__0_carry_n_0,x_mul1__0_carry_n_1,x_mul1__0_carry_n_2,x_mul1__0_carry_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry_i_1__0_n_0,x_mul1__0_carry_i_2__0_n_0,x_mul1__0_carry_i_3__0_n_0,1'b0}), - .O({x_mul2_out[3:2],O,x_mul2_out[0]}), - .S({x_mul1__0_carry_i_4__0_n_0,x_mul1__0_carry_i_5__0_n_0,x_mul1__0_carry_i_6__0_n_0,x_mul1__0_carry_i_7__0_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__0 - (.CI(x_mul1__0_carry_n_0), - .CO({x_mul1__0_carry__0_n_0,x_mul1__0_carry__0_n_1,x_mul1__0_carry__0_n_2,x_mul1__0_carry__0_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__0_i_1__0_n_0,x_mul1__0_carry__0_i_2__0_n_0,x_mul1__0_carry__0_i_3__0_n_0,x_mul1__0_carry__0_i_4__0_n_0}), - .O(x_mul2_out[7:4]), - .S({x_mul1__0_carry__0_i_5__0_n_0,x_mul1__0_carry__0_i_6__0_n_0,x_mul1__0_carry__0_i_7__0_n_0,x_mul1__0_carry__0_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair76" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_10__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[7]), - .O(x_mul1__0_carry__0_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair76" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_11__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[6]), - .O(x_mul1__0_carry__0_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair77" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_12__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[5]), - .O(x_mul1__0_carry__0_i_12__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_1__0 - (.I0(x_mul1__0_carry__7_0[7]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[6]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[8]), - .I5(cx1[0]), - .O(x_mul1__0_carry__0_i_1__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_2__0 - (.I0(x_mul1__0_carry__7_0[6]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[5]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[7]), - .I5(cx1[0]), - .O(x_mul1__0_carry__0_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_3__0 - (.I0(x_mul1__0_carry__7_0[5]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[4]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[6]), - .I5(cx1[0]), - .O(x_mul1__0_carry__0_i_3__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_4__0 - (.I0(x_mul1__0_carry__7_0[4]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[3]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[5]), - .I5(cx1[0]), - .O(x_mul1__0_carry__0_i_4__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_5__0 - (.I0(x_mul1__0_carry__0_i_1__0_n_0), - .I1(x_mul1__0_carry__7_0[7]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__0_i_9__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[9]), - .O(x_mul1__0_carry__0_i_5__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_6__0 - (.I0(x_mul1__0_carry__0_i_2__0_n_0), - .I1(x_mul1__0_carry__7_0[6]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__0_i_10__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[8]), - .O(x_mul1__0_carry__0_i_6__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_7__0 - (.I0(x_mul1__0_carry__0_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[5]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__0_i_11__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[7]), - .O(x_mul1__0_carry__0_i_7__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_8__0 - (.I0(x_mul1__0_carry__0_i_4__0_n_0), - .I1(x_mul1__0_carry__7_0[4]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__0_i_12__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[6]), - .O(x_mul1__0_carry__0_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair75" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_9__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[8]), - .O(x_mul1__0_carry__0_i_9__0_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__1 - (.CI(x_mul1__0_carry__0_n_0), - .CO({x_mul1__0_carry__1_n_0,x_mul1__0_carry__1_n_1,x_mul1__0_carry__1_n_2,x_mul1__0_carry__1_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__1_i_1__0_n_0,x_mul1__0_carry__1_i_2__0_n_0,x_mul1__0_carry__1_i_3__0_n_0,x_mul1__0_carry__1_i_4__0_n_0}), - .O(x_mul2_out[11:8]), - .S({x_mul1__0_carry__1_i_5__0_n_0,x_mul1__0_carry__1_i_6__0_n_0,x_mul1__0_carry__1_i_7__0_n_0,x_mul1__0_carry__1_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair74" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_10__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[11]), - .O(x_mul1__0_carry__1_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair74" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_11__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[10]), - .O(x_mul1__0_carry__1_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair75" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_12__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[9]), - .O(x_mul1__0_carry__1_i_12__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_1__0 - (.I0(x_mul1__0_carry__7_0[11]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[10]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[12]), - .I5(cx1[0]), - .O(x_mul1__0_carry__1_i_1__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_2__0 - (.I0(x_mul1__0_carry__7_0[10]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[9]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[11]), - .I5(cx1[0]), - .O(x_mul1__0_carry__1_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_3__0 - (.I0(x_mul1__0_carry__7_0[9]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[8]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[10]), - .I5(cx1[0]), - .O(x_mul1__0_carry__1_i_3__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_4__0 - (.I0(x_mul1__0_carry__7_0[8]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[7]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[9]), - .I5(cx1[0]), - .O(x_mul1__0_carry__1_i_4__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_5__0 - (.I0(x_mul1__0_carry__1_i_1__0_n_0), - .I1(x_mul1__0_carry__7_0[11]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__1_i_9__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[13]), - .O(x_mul1__0_carry__1_i_5__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_6__0 - (.I0(x_mul1__0_carry__1_i_2__0_n_0), - .I1(x_mul1__0_carry__7_0[10]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__1_i_10__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[12]), - .O(x_mul1__0_carry__1_i_6__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_7__0 - (.I0(x_mul1__0_carry__1_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[9]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__1_i_11__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[11]), - .O(x_mul1__0_carry__1_i_7__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_8__0 - (.I0(x_mul1__0_carry__1_i_4__0_n_0), - .I1(x_mul1__0_carry__7_0[8]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__1_i_12__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[10]), - .O(x_mul1__0_carry__1_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair73" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_9__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[12]), - .O(x_mul1__0_carry__1_i_9__0_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__2 - (.CI(x_mul1__0_carry__1_n_0), - .CO({x_mul1__0_carry__2_n_0,x_mul1__0_carry__2_n_1,x_mul1__0_carry__2_n_2,x_mul1__0_carry__2_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__2_i_1__0_n_0,x_mul1__0_carry__2_i_2__0_n_0,x_mul1__0_carry__2_i_3__0_n_0,x_mul1__0_carry__2_i_4__0_n_0}), - .O(x_mul2_out[15:12]), - .S({x_mul1__0_carry__2_i_5__0_n_0,x_mul1__0_carry__2_i_6__0_n_0,x_mul1__0_carry__2_i_7__0_n_0,x_mul1__0_carry__2_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair72" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_10__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[15]), - .O(x_mul1__0_carry__2_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair72" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_11__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[14]), - .O(x_mul1__0_carry__2_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair73" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_12__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[13]), - .O(x_mul1__0_carry__2_i_12__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_1__0 - (.I0(x_mul1__0_carry__7_0[15]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[14]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[16]), - .I5(cx1[0]), - .O(x_mul1__0_carry__2_i_1__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_2__0 - (.I0(x_mul1__0_carry__7_0[14]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[13]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[15]), - .I5(cx1[0]), - .O(x_mul1__0_carry__2_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_3__0 - (.I0(x_mul1__0_carry__7_0[13]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[12]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[14]), - .I5(cx1[0]), - .O(x_mul1__0_carry__2_i_3__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_4__0 - (.I0(x_mul1__0_carry__7_0[12]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[11]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[13]), - .I5(cx1[0]), - .O(x_mul1__0_carry__2_i_4__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_5__0 - (.I0(x_mul1__0_carry__2_i_1__0_n_0), - .I1(x_mul1__0_carry__7_0[15]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__2_i_9__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[17]), - .O(x_mul1__0_carry__2_i_5__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_6__0 - (.I0(x_mul1__0_carry__2_i_2__0_n_0), - .I1(x_mul1__0_carry__7_0[14]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__2_i_10__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[16]), - .O(x_mul1__0_carry__2_i_6__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_7__0 - (.I0(x_mul1__0_carry__2_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[13]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__2_i_11__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[15]), - .O(x_mul1__0_carry__2_i_7__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_8__0 - (.I0(x_mul1__0_carry__2_i_4__0_n_0), - .I1(x_mul1__0_carry__7_0[12]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__2_i_12__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[14]), - .O(x_mul1__0_carry__2_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair71" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_9__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[16]), - .O(x_mul1__0_carry__2_i_9__0_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__3 - (.CI(x_mul1__0_carry__2_n_0), - .CO({x_mul1__0_carry__3_n_0,x_mul1__0_carry__3_n_1,x_mul1__0_carry__3_n_2,x_mul1__0_carry__3_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__3_i_1__0_n_0,x_mul1__0_carry__3_i_2__0_n_0,x_mul1__0_carry__3_i_3__0_n_0,x_mul1__0_carry__3_i_4__0_n_0}), - .O(x_mul2_out[19:16]), - .S({x_mul1__0_carry__3_i_5__0_n_0,x_mul1__0_carry__3_i_6__0_n_0,x_mul1__0_carry__3_i_7__0_n_0,x_mul1__0_carry__3_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair70" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_10__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[19]), - .O(x_mul1__0_carry__3_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair70" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_11__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[18]), - .O(x_mul1__0_carry__3_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair71" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_12__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[17]), - .O(x_mul1__0_carry__3_i_12__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_1__0 - (.I0(x_mul1__0_carry__7_0[19]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[18]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[20]), - .I5(cx1[0]), - .O(x_mul1__0_carry__3_i_1__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_2__0 - (.I0(x_mul1__0_carry__7_0[18]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[17]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[19]), - .I5(cx1[0]), - .O(x_mul1__0_carry__3_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_3__0 - (.I0(x_mul1__0_carry__7_0[17]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[16]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[18]), - .I5(cx1[0]), - .O(x_mul1__0_carry__3_i_3__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_4__0 - (.I0(x_mul1__0_carry__7_0[16]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[15]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[17]), - .I5(cx1[0]), - .O(x_mul1__0_carry__3_i_4__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_5__0 - (.I0(x_mul1__0_carry__3_i_1__0_n_0), - .I1(x_mul1__0_carry__7_0[19]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__3_i_9__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[21]), - .O(x_mul1__0_carry__3_i_5__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_6__0 - (.I0(x_mul1__0_carry__3_i_2__0_n_0), - .I1(x_mul1__0_carry__7_0[18]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__3_i_10__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[20]), - .O(x_mul1__0_carry__3_i_6__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_7__0 - (.I0(x_mul1__0_carry__3_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[17]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__3_i_11__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[19]), - .O(x_mul1__0_carry__3_i_7__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_8__0 - (.I0(x_mul1__0_carry__3_i_4__0_n_0), - .I1(x_mul1__0_carry__7_0[16]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__3_i_12__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[18]), - .O(x_mul1__0_carry__3_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair69" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_9__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[20]), - .O(x_mul1__0_carry__3_i_9__0_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__4 - (.CI(x_mul1__0_carry__3_n_0), - .CO({x_mul1__0_carry__4_n_0,x_mul1__0_carry__4_n_1,x_mul1__0_carry__4_n_2,x_mul1__0_carry__4_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__4_i_1__0_n_0,x_mul1__0_carry__4_i_2__0_n_0,x_mul1__0_carry__4_i_3__0_n_0,x_mul1__0_carry__4_i_4__0_n_0}), - .O(x_mul2_out[23:20]), - .S({x_mul1__0_carry__4_i_5__0_n_0,x_mul1__0_carry__4_i_6__0_n_0,x_mul1__0_carry__4_i_7__0_n_0,x_mul1__0_carry__4_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair68" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_10__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[23]), - .O(x_mul1__0_carry__4_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair68" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_11__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[22]), - .O(x_mul1__0_carry__4_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair69" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_12__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[21]), - .O(x_mul1__0_carry__4_i_12__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_1__0 - (.I0(x_mul1__0_carry__7_0[23]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[22]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[24]), - .I5(cx1[0]), - .O(x_mul1__0_carry__4_i_1__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_2__0 - (.I0(x_mul1__0_carry__7_0[22]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[21]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[23]), - .I5(cx1[0]), - .O(x_mul1__0_carry__4_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_3__0 - (.I0(x_mul1__0_carry__7_0[21]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[20]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[22]), - .I5(cx1[0]), - .O(x_mul1__0_carry__4_i_3__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_4__0 - (.I0(x_mul1__0_carry__7_0[20]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[19]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[21]), - .I5(cx1[0]), - .O(x_mul1__0_carry__4_i_4__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_5__0 - (.I0(x_mul1__0_carry__4_i_1__0_n_0), - .I1(x_mul1__0_carry__7_0[23]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__4_i_9__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[25]), - .O(x_mul1__0_carry__4_i_5__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_6__0 - (.I0(x_mul1__0_carry__4_i_2__0_n_0), - .I1(x_mul1__0_carry__7_0[22]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__4_i_10__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[24]), - .O(x_mul1__0_carry__4_i_6__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_7__0 - (.I0(x_mul1__0_carry__4_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[21]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__4_i_11__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[23]), - .O(x_mul1__0_carry__4_i_7__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_8__0 - (.I0(x_mul1__0_carry__4_i_4__0_n_0), - .I1(x_mul1__0_carry__7_0[20]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__4_i_12__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[22]), - .O(x_mul1__0_carry__4_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair67" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_9__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[24]), - .O(x_mul1__0_carry__4_i_9__0_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__5 - (.CI(x_mul1__0_carry__4_n_0), - .CO({x_mul1__0_carry__5_n_0,x_mul1__0_carry__5_n_1,x_mul1__0_carry__5_n_2,x_mul1__0_carry__5_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__5_i_1__0_n_0,x_mul1__0_carry__5_i_2__0_n_0,x_mul1__0_carry__5_i_3__0_n_0,x_mul1__0_carry__5_i_4__0_n_0}), - .O(x_mul2_out[27:24]), - .S({x_mul1__0_carry__5_i_5__0_n_0,x_mul1__0_carry__5_i_6__0_n_0,x_mul1__0_carry__5_i_7__0_n_0,x_mul1__0_carry__5_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair66" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_10__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[27]), - .O(x_mul1__0_carry__5_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair66" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_11__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[26]), - .O(x_mul1__0_carry__5_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair67" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_12__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[25]), - .O(x_mul1__0_carry__5_i_12__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_1__0 - (.I0(x_mul1__0_carry__7_0[27]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[26]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[28]), - .I5(cx1[0]), - .O(x_mul1__0_carry__5_i_1__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_2__0 - (.I0(x_mul1__0_carry__7_0[26]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[25]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[27]), - .I5(cx1[0]), - .O(x_mul1__0_carry__5_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_3__0 - (.I0(x_mul1__0_carry__7_0[25]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[24]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[26]), - .I5(cx1[0]), - .O(x_mul1__0_carry__5_i_3__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_4__0 - (.I0(x_mul1__0_carry__7_0[24]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[23]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[25]), - .I5(cx1[0]), - .O(x_mul1__0_carry__5_i_4__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_5__0 - (.I0(x_mul1__0_carry__5_i_1__0_n_0), - .I1(x_mul1__0_carry__7_0[27]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__5_i_9__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[29]), - .O(x_mul1__0_carry__5_i_5__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_6__0 - (.I0(x_mul1__0_carry__5_i_2__0_n_0), - .I1(x_mul1__0_carry__7_0[26]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__5_i_10__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[28]), - .O(x_mul1__0_carry__5_i_6__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_7__0 - (.I0(x_mul1__0_carry__5_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[25]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__5_i_11__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[27]), - .O(x_mul1__0_carry__5_i_7__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_8__0 - (.I0(x_mul1__0_carry__5_i_4__0_n_0), - .I1(x_mul1__0_carry__7_0[24]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__5_i_12__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[26]), - .O(x_mul1__0_carry__5_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair65" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_9__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[28]), - .O(x_mul1__0_carry__5_i_9__0_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__6 - (.CI(x_mul1__0_carry__5_n_0), - .CO({x_mul1__0_carry__6_n_0,x_mul1__0_carry__6_n_1,x_mul1__0_carry__6_n_2,x_mul1__0_carry__6_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__6_i_1__0_n_0,x_mul1__0_carry__6_i_2__0_n_0,x_mul1__0_carry__6_i_3__0_n_0,x_mul1__0_carry__6_i_4__0_n_0}), - .O(x_mul2_out[31:28]), - .S({x_mul1__0_carry__6_i_5__0_n_0,x_mul1__0_carry__6_i_6__0_n_0,x_mul1__0_carry__6_i_7__0_n_0,x_mul1__0_carry__6_i_8__0_n_0})); - (* SOFT_HLUTNM = "soft_lutpair64" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_10__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[31]), - .O(x_mul1__0_carry__6_i_10__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair64" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_11__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[30]), - .O(x_mul1__0_carry__6_i_11__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair65" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_12__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[29]), - .O(x_mul1__0_carry__6_i_12__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_1__0 - (.I0(x_mul1__0_carry__7_0[31]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[30]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[32]), - .I5(cx1[0]), - .O(x_mul1__0_carry__6_i_1__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_2__0 - (.I0(x_mul1__0_carry__7_0[30]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[29]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[31]), - .I5(cx1[0]), - .O(x_mul1__0_carry__6_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_3__0 - (.I0(x_mul1__0_carry__7_0[29]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[28]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[30]), - .I5(cx1[0]), - .O(x_mul1__0_carry__6_i_3__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_4__0 - (.I0(x_mul1__0_carry__7_0[28]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[27]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[29]), - .I5(cx1[0]), - .O(x_mul1__0_carry__6_i_4__0_n_0)); - LUT6 #( - .INIT(64'h6A959595956A6A6A)) - x_mul1__0_carry__6_i_5__0 - (.I0(x_mul1__0_carry__6_i_1__0_n_0), - .I1(x_mul1__0_carry__7_0[31]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__7_0[32]), - .I4(cx1[1]), - .I5(x_mul__39), - .O(x_mul1__0_carry__6_i_5__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_6__0 - (.I0(x_mul1__0_carry__6_i_2__0_n_0), - .I1(x_mul1__0_carry__7_0[30]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__6_i_10__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[32]), - .O(x_mul1__0_carry__6_i_6__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_7__0 - (.I0(x_mul1__0_carry__6_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[29]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__6_i_11__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[31]), - .O(x_mul1__0_carry__6_i_7__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_8__0 - (.I0(x_mul1__0_carry__6_i_4__0_n_0), - .I1(x_mul1__0_carry__7_0[28]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__6_i_12__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[30]), - .O(x_mul1__0_carry__6_i_8__0_n_0)); - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_9__0 - (.I0(cx1[0]), - .I1(x_mul1__0_carry__7_0[33]), - .O(x_mul__39)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__7 - (.CI(x_mul1__0_carry__6_n_0), - .CO({x_mul1__0_carry__7_n_0,x_mul1__0_carry__7_n_1,x_mul1__0_carry__7_n_2,x_mul1__0_carry__7_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__7_i_1__0_n_0,x_mul1__0_carry__7_i_1__0_n_0,x_mul1__0_carry__7_i_2__0_n_0,x_mul1__0_carry__7_i_3__0_n_0}), - .O(x_mul2_out[35:32]), - .S({x_mul1__0_carry__7_i_4__0_n_0,x_mul1__0_carry__7_i_5__0_n_0,x_mul1__0_carry__7_i_6__0_n_0,x_mul1__0_carry__7_i_7__0_n_0})); - (* HLUTNM = "lutpair1" *) - LUT4 #( - .INIT(16'hC880)) - x_mul1__0_carry__7_i_1__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[33]), - .I2(cx1[2]), - .I3(cx1[0]), - .O(x_mul1__0_carry__7_i_1__0_n_0)); - LUT5 #( - .INIT(32'hA8888000)) - x_mul1__0_carry__7_i_2__0 - (.I0(x_mul1__0_carry__7_0[33]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[32]), - .I3(cx1[2]), - .I4(cx1[0]), - .O(x_mul1__0_carry__7_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__7_i_3__0 - (.I0(x_mul1__0_carry__7_0[32]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[31]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[33]), - .I5(cx1[0]), - .O(x_mul1__0_carry__7_i_3__0_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_4__0 - (.I0(x_mul1__0_carry__7_i_1__0_n_0), - .I1(cx1[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx1[1]), - .I4(cx1[0]), - .O(x_mul1__0_carry__7_i_4__0_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_5__0 - (.I0(x_mul1__0_carry__7_i_1__0_n_0), - .I1(cx1[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx1[1]), - .I4(cx1[0]), - .O(x_mul1__0_carry__7_i_5__0_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_6__0 - (.I0(x_mul1__0_carry__7_i_2__0_n_0), - .I1(cx1[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx1[1]), - .I4(cx1[0]), - .O(x_mul1__0_carry__7_i_6__0_n_0)); - LUT6 #( - .INIT(64'h6A6A956A956A6A6A)) - x_mul1__0_carry__7_i_7__0 - (.I0(x_mul1__0_carry__7_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[32]), - .I2(cx1[2]), - .I3(x_mul1__0_carry__7_0[33]), - .I4(cx1[1]), - .I5(cx1[0]), - .O(x_mul1__0_carry__7_i_7__0_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__8 - (.CI(x_mul1__0_carry__7_n_0), - .CO({NLW_x_mul1__0_carry__8_CO_UNCONNECTED[3],x_mul1__0_carry__8_n_1,x_mul1__0_carry__8_n_2,x_mul1__0_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,x_mul1__0_carry__7_i_1__0_n_0,x_mul1__0_carry__7_i_1__0_n_0,x_mul1__0_carry__7_i_1__0_n_0}), - .O(x_mul2_out[39:36]), - .S({x_mul1__0_carry__8_i_1__0_n_0,x_mul1__0_carry__8_i_2__0_n_0,x_mul1__0_carry__8_i_3__0_n_0,x_mul1__0_carry__8_i_4__0_n_0})); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_1__0 - (.I0(x_mul1__0_carry__7_i_1__0_n_0), - .I1(cx1[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx1[1]), - .I4(cx1[0]), - .O(x_mul1__0_carry__8_i_1__0_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_2__0 - (.I0(x_mul1__0_carry__7_i_1__0_n_0), - .I1(cx1[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx1[1]), - .I4(cx1[0]), - .O(x_mul1__0_carry__8_i_2__0_n_0)); - (* HLUTNM = "lutpair1" *) - LUT5 #( - .INIT(32'h7BB78448)) - x_mul1__0_carry__8_i_3__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[33]), - .I2(cx1[2]), - .I3(cx1[0]), - .I4(x_mul1__0_carry__7_i_1__0_n_0), - .O(x_mul1__0_carry__8_i_3__0_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_4__0 - (.I0(x_mul1__0_carry__7_i_1__0_n_0), - .I1(cx1[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx1[1]), - .I4(cx1[0]), - .O(x_mul1__0_carry__8_i_4__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair78" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_10__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[2]), - .O(x_mul1__0_carry_i_10__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_1__0 - (.I0(x_mul1__0_carry__7_0[3]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[2]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[4]), - .I5(cx1[0]), - .O(x_mul1__0_carry_i_1__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_2__0 - (.I0(x_mul1__0_carry__7_0[2]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[1]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[3]), - .I5(cx1[0]), - .O(x_mul1__0_carry_i_2__0_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_3__0 - (.I0(x_mul1__0_carry__7_0[1]), - .I1(cx1[1]), - .I2(x_mul1__0_carry__7_0[0]), - .I3(cx1[2]), - .I4(x_mul1__0_carry__7_0[2]), - .I5(cx1[0]), - .O(x_mul1__0_carry_i_3__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_4__0 - (.I0(x_mul1__0_carry_i_1__0_n_0), - .I1(x_mul1__0_carry__7_0[3]), - .I2(cx1[2]), - .I3(x_mul1__0_carry_i_8__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[5]), - .O(x_mul1__0_carry_i_4__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_5__0 - (.I0(x_mul1__0_carry_i_2__0_n_0), - .I1(x_mul1__0_carry__7_0[2]), - .I2(cx1[2]), - .I3(x_mul1__0_carry_i_9__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[4]), - .O(x_mul1__0_carry_i_5__0_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_6__0 - (.I0(x_mul1__0_carry_i_3__0_n_0), - .I1(x_mul1__0_carry__7_0[1]), - .I2(cx1[2]), - .I3(x_mul1__0_carry_i_10__0_n_0), - .I4(cx1[0]), - .I5(x_mul1__0_carry__7_0[3]), - .O(x_mul1__0_carry_i_6__0_n_0)); - LUT6 #( - .INIT(64'h8777788878887888)) - x_mul1__0_carry_i_7__0 - (.I0(x_mul1__0_carry__7_0[2]), - .I1(cx1[0]), - .I2(cx1[1]), - .I3(x_mul1__0_carry__7_0[1]), - .I4(cx1[2]), - .I5(x_mul1__0_carry__7_0[0]), - .O(x_mul1__0_carry_i_7__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair77" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_8__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[4]), - .O(x_mul1__0_carry_i_8__0_n_0)); - (* SOFT_HLUTNM = "soft_lutpair78" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_9__0 - (.I0(cx1[1]), - .I1(x_mul1__0_carry__7_0[3]), - .O(x_mul1__0_carry_i_9__0_n_0)); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-10 {cell *THIS*} {string 18x24 3}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(0), - .BREG(0), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(1), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - y_mul - (.A({cy1[23],cy1[23],cy1[23],cy1[23],cy1[23],cy1[23],cy1}), - .ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .ACOUT(NLW_y_mul_ACOUT_UNCONNECTED[29:0]), - .ALUMODE({1'b0,1'b0,1'b0,1'b0}), - .B({1'b0,B}), - .BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .BCOUT(NLW_y_mul_BCOUT_UNCONNECTED[17:0]), - .C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CARRYCASCIN(1'b0), - .CARRYCASCOUT(NLW_y_mul_CARRYCASCOUT_UNCONNECTED), - .CARRYIN(1'b0), - .CARRYINSEL({1'b0,1'b0,1'b0}), - .CARRYOUT(NLW_y_mul_CARRYOUT_UNCONNECTED[3:0]), - .CEA1(1'b0), - .CEA2(1'b0), - .CEAD(1'b0), - .CEALUMODE(1'b0), - .CEB1(1'b0), - .CEB2(1'b0), - .CEC(1'b0), - .CECARRYIN(1'b0), - .CECTRL(1'b0), - .CED(1'b0), - .CEINMODE(1'b0), - .CEM(1'b0), - .CEP(1'b0), - .CLK(1'b0), - .D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}), - .MULTSIGNIN(1'b0), - .MULTSIGNOUT(NLW_y_mul_MULTSIGNOUT_UNCONNECTED), - .OPMODE({1'b0,1'b0,1'b0,1'b0,1'b1,1'b0,1'b1}), - .OVERFLOW(NLW_y_mul_OVERFLOW_UNCONNECTED), - .P({y_mul_n_58,y_mul_n_59,y_mul_n_60,y_mul_n_61,y_mul_n_62,y_mul_n_63,y_mul_n_64,y_mul_n_65,y_mul_n_66,y_mul_n_67,y_mul_n_68,y_mul_n_69,y_mul_n_70,y_mul_n_71,y_mul_n_72,y_mul_n_73,y_mul_n_74,y_mul_n_75,y_mul_n_76,y_mul_n_77,y_mul_n_78,y_mul_n_79,y_mul_n_80,y_mul_n_81,y_mul_n_82,y_mul_n_83,y_mul_n_84,y_mul_n_85,y_mul_n_86,y_mul_n_87,y_mul_n_88,y_mul_n_89,y_mul_n_90,y_mul_n_91,y_mul_n_92,y_mul_n_93,y_mul_n_94,y_mul_n_95,y_mul_n_96,y_mul_n_97,y_mul_n_98,y_mul_n_99,y_mul_n_100,y_mul_n_101,y_mul_n_102,y_mul_n_103,y_mul_n_104,y_mul_n_105}), - .PATTERNBDETECT(NLW_y_mul_PATTERNBDETECT_UNCONNECTED), - .PATTERNDETECT(NLW_y_mul_PATTERNDETECT_UNCONNECTED), - .PCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .PCOUT({y_mul_n_106,y_mul_n_107,y_mul_n_108,y_mul_n_109,y_mul_n_110,y_mul_n_111,y_mul_n_112,y_mul_n_113,y_mul_n_114,y_mul_n_115,y_mul_n_116,y_mul_n_117,y_mul_n_118,y_mul_n_119,y_mul_n_120,y_mul_n_121,y_mul_n_122,y_mul_n_123,y_mul_n_124,y_mul_n_125,y_mul_n_126,y_mul_n_127,y_mul_n_128,y_mul_n_129,y_mul_n_130,y_mul_n_131,y_mul_n_132,y_mul_n_133,y_mul_n_134,y_mul_n_135,y_mul_n_136,y_mul_n_137,y_mul_n_138,y_mul_n_139,y_mul_n_140,y_mul_n_141,y_mul_n_142,y_mul_n_143,y_mul_n_144,y_mul_n_145,y_mul_n_146,y_mul_n_147,y_mul_n_148,y_mul_n_149,y_mul_n_150,y_mul_n_151,y_mul_n_152,y_mul_n_153}), - .RSTA(1'b0), - .RSTALLCARRYIN(1'b0), - .RSTALUMODE(1'b0), - .RSTB(1'b0), - .RSTC(1'b0), - .RSTCTRL(1'b0), - .RSTD(1'b0), - .RSTINMODE(1'b0), - .RSTM(1'b0), - .RSTP(1'b0), - .UNDERFLOW(NLW_y_mul_UNDERFLOW_UNCONNECTED)); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-13 {cell *THIS*}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(0), - .BREG(0), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(1), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - y_mul__0 - (.A({cy1[23],cy1[23],cy1[23],cy1[23],cy1[23],cy1[23],cy1}), - .ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .ACOUT(NLW_y_mul__0_ACOUT_UNCONNECTED[29:0]), - .ALUMODE({1'b0,1'b0,1'b0,1'b0}), - .B({1'b0,y_mul__0_1}), - .BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .BCOUT(NLW_y_mul__0_BCOUT_UNCONNECTED[17:0]), - .C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CARRYCASCIN(1'b0), - .CARRYCASCOUT(NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED), - .CARRYIN(1'b0), - .CARRYINSEL({1'b0,1'b0,1'b0}), - .CARRYOUT(NLW_y_mul__0_CARRYOUT_UNCONNECTED[3:0]), - .CEA1(1'b0), - .CEA2(1'b0), - .CEAD(1'b0), - .CEALUMODE(1'b0), - .CEB1(1'b0), - .CEB2(1'b0), - .CEC(1'b0), - .CECARRYIN(1'b0), - .CECTRL(1'b0), - .CED(1'b0), - .CEINMODE(1'b0), - .CEM(1'b0), - .CEP(1'b0), - .CLK(1'b0), - .D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}), - .MULTSIGNIN(1'b0), - .MULTSIGNOUT(NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED), - .OPMODE({1'b1,1'b0,1'b1,1'b0,1'b1,1'b0,1'b1}), - .OVERFLOW(NLW_y_mul__0_OVERFLOW_UNCONNECTED), - .P({NLW_y_mul__0_P_UNCONNECTED[47:44],y_mul__0_n_62,y_mul__0_n_63,y_mul__0_n_64,y_mul__0_n_65,y_mul__0_n_66,y_mul__0_n_67,y_mul__0_n_68,y_mul__0_n_69,y_mul__0_n_70,y_mul__0_n_71,y_mul__0_n_72,y_mul__0_n_73,y_mul__0_n_74,y_mul__0_n_75,y_mul__0_n_76,y_mul__0_n_77,y_mul__0_n_78,y_mul__0_n_79,y_mul__0_n_80,y_mul__0_n_81,y_mul__0_n_82,y_mul__0_n_83,y_mul__0_n_84,y_mul__0_n_85,y_mul__0_n_86,y_mul__0_n_87,y_mul__0_n_88,y_mul__0_n_89,y_mul__0_n_90,y_mul__0_n_91,y_mul__0_n_92,y_mul__0_n_93,y_mul__0_n_94,y_mul__0_n_95,y_mul__0_n_96,y_mul__0_n_97,y_mul__0_n_98,y_mul__0_n_99,P,y_mul__0_n_102,y_mul__0_n_103,y_mul__0_n_104,y_mul__0_n_105}), - .PATTERNBDETECT(NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED), - .PATTERNDETECT(NLW_y_mul__0_PATTERNDETECT_UNCONNECTED), - .PCIN({y_mul_n_106,y_mul_n_107,y_mul_n_108,y_mul_n_109,y_mul_n_110,y_mul_n_111,y_mul_n_112,y_mul_n_113,y_mul_n_114,y_mul_n_115,y_mul_n_116,y_mul_n_117,y_mul_n_118,y_mul_n_119,y_mul_n_120,y_mul_n_121,y_mul_n_122,y_mul_n_123,y_mul_n_124,y_mul_n_125,y_mul_n_126,y_mul_n_127,y_mul_n_128,y_mul_n_129,y_mul_n_130,y_mul_n_131,y_mul_n_132,y_mul_n_133,y_mul_n_134,y_mul_n_135,y_mul_n_136,y_mul_n_137,y_mul_n_138,y_mul_n_139,y_mul_n_140,y_mul_n_141,y_mul_n_142,y_mul_n_143,y_mul_n_144,y_mul_n_145,y_mul_n_146,y_mul_n_147,y_mul_n_148,y_mul_n_149,y_mul_n_150,y_mul_n_151,y_mul_n_152,y_mul_n_153}), - .PCOUT(NLW_y_mul__0_PCOUT_UNCONNECTED[47:0]), - .RSTA(1'b0), - .RSTALLCARRYIN(1'b0), - .RSTALUMODE(1'b0), - .RSTB(1'b0), - .RSTC(1'b0), - .RSTCTRL(1'b0), - .RSTD(1'b0), - .RSTINMODE(1'b0), - .RSTM(1'b0), - .RSTP(1'b0), - .UNDERFLOW(NLW_y_mul__0_UNDERFLOW_UNCONNECTED)); - CARRY4 y_mul__1_carry - (.CI(1'b0), - .CO({y_mul__1_carry_n_0,y_mul__1_carry_n_1,y_mul__1_carry_n_2,y_mul__1_carry_n_3}), - .CYINIT(1'b0), - .DI({\cy1[0] ,y_mul__1_carry_i_2__0_n_0,y_mul__1_carry_i_3__0_n_0,1'b0}), - .O({y_mul__1_carry_n_4,y_mul__1_carry_n_5,y_mul__1_carry_n_6,y_mul__1_carry_n_7}), - .S({i__carry_i_3__0_0,y_mul__1_carry_i_5__0_n_0,y_mul__1_carry_i_6__0_n_0,y_mul__1_carry_i_7__0_n_0})); - CARRY4 y_mul__1_carry__0 - (.CI(y_mul__1_carry_n_0), - .CO({y_mul__1_carry__0_n_0,y_mul__1_carry__0_n_1,y_mul__1_carry__0_n_2,y_mul__1_carry__0_n_3}), - .CYINIT(1'b0), - .DI(i__carry__0_i_3__0_0), - .O({y_mul__1_carry__0_n_4,y_mul__1_carry__0_n_5,y_mul__1_carry__0_n_6,y_mul__1_carry__0_n_7}), - .S(i__carry__0_i_3__0_1)); - CARRY4 y_mul__1_carry__1 - (.CI(y_mul__1_carry__0_n_0), - .CO({y_mul__1_carry__1_n_0,y_mul__1_carry__1_n_1,y_mul__1_carry__1_n_2,y_mul__1_carry__1_n_3}), - .CYINIT(1'b0), - .DI(i__carry__1_i_3__0_0), - .O({y_mul__1_carry__1_n_4,y_mul__1_carry__1_n_5,y_mul__1_carry__1_n_6,y_mul__1_carry__1_n_7}), - .S(i__carry__1_i_3__0_1)); - CARRY4 y_mul__1_carry__2 - (.CI(y_mul__1_carry__1_n_0), - .CO({y_mul__1_carry__2_n_0,y_mul__1_carry__2_n_1,y_mul__1_carry__2_n_2,y_mul__1_carry__2_n_3}), - .CYINIT(1'b0), - .DI(i__carry__2_i_3__0_0), - .O({y_mul__1_carry__2_n_4,y_mul__1_carry__2_n_5,y_mul__1_carry__2_n_6,y_mul__1_carry__2_n_7}), - .S(i__carry__2_i_3__0_1)); - CARRY4 y_mul__1_carry__3 - (.CI(y_mul__1_carry__2_n_0), - .CO({y_mul__1_carry__3_n_0,y_mul__1_carry__3_n_1,y_mul__1_carry__3_n_2,y_mul__1_carry__3_n_3}), - .CYINIT(1'b0), - .DI(i__carry__3_i_3__0_0), - .O({y_mul__1_carry__3_n_4,y_mul__1_carry__3_n_5,y_mul__1_carry__3_n_6,y_mul__1_carry__3_n_7}), - .S(i__carry__3_i_3__0_1)); - CARRY4 y_mul__1_carry__4 - (.CI(y_mul__1_carry__3_n_0), - .CO({y_mul__1_carry__4_n_0,y_mul__1_carry__4_n_1,y_mul__1_carry__4_n_2,y_mul__1_carry__4_n_3}), - .CYINIT(1'b0), - .DI({\cy1[21] ,i__carry__4_i_3__0_0}), - .O({y_mul__1_carry__4_n_4,y_mul__1_carry__4_n_5,y_mul__1_carry__4_n_6,y_mul__1_carry__4_n_7}), - .S(i__carry__4_i_3__0_1)); - LUT6 #( - .INIT(64'h7888877787778777)) - y_mul__1_carry__4_i_1__0 - (.I0(cy1[21]), - .I1(y_mul__1_carry__5_0), - .I2(cy1[22]), - .I3(y_mul__1_carry__5_1[1]), - .I4(y_mul__1_carry__5_1[0]), - .I5(cy1[23]), - .O(\cy1[21] )); - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_9__0 - (.I0(cy1[21]), - .I1(y_mul__1_carry__5_1[1]), - .O(\cy1[21]_0 )); - CARRY4 y_mul__1_carry__5 - (.CI(y_mul__1_carry__4_n_0), - .CO({NLW_y_mul__1_carry__5_CO_UNCONNECTED[3],y_mul__1_carry__5_n_1,NLW_y_mul__1_carry__5_CO_UNCONNECTED[1],y_mul__1_carry__5_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,i__carry__5_i_3__0_0}), - .O({NLW_y_mul__1_carry__5_O_UNCONNECTED[3:2],y_mul__1_carry__5_n_6,y_mul__1_carry__5_n_7}), - .S({1'b0,1'b1,y_mul__1_carry__5_i_3__0_n_0,i__carry__5_i_3__0_1})); - LUT5 #( - .INIT(32'hE8FFDFFF)) - y_mul__1_carry__5_i_3__0 - (.I0(cy1[21]), - .I1(y_mul__1_carry__5_1[1]), - .I2(cy1[22]), - .I3(y_mul__1_carry__5_0), - .I4(cy1[23]), - .O(y_mul__1_carry__5_i_3__0_n_0)); - LUT4 #( - .INIT(16'hF777)) - y_mul__1_carry_i_1__0 - (.I0(y_mul__1_carry__5_0), - .I1(cy1[0]), - .I2(y_mul__1_carry__5_1[1]), - .I3(cy1[1]), - .O(\cy1[0] )); - LUT4 #( - .INIT(16'h7888)) - y_mul__1_carry_i_2__0 - (.I0(y_mul__1_carry__5_1[1]), - .I1(cy1[1]), - .I2(y_mul__1_carry__5_0), - .I3(cy1[0]), - .O(y_mul__1_carry_i_2__0_n_0)); - LUT2 #( - .INIT(4'h8)) - y_mul__1_carry_i_3__0 - (.I0(y_mul__1_carry__5_1[0]), - .I1(cy1[1]), - .O(y_mul__1_carry_i_3__0_n_0)); - LUT6 #( - .INIT(64'h8777788878887888)) - y_mul__1_carry_i_5__0 - (.I0(cy1[0]), - .I1(y_mul__1_carry__5_0), - .I2(cy1[1]), - .I3(y_mul__1_carry__5_1[1]), - .I4(y_mul__1_carry__5_1[0]), - .I5(cy1[2]), - .O(y_mul__1_carry_i_5__0_n_0)); - LUT4 #( - .INIT(16'h7888)) - y_mul__1_carry_i_6__0 - (.I0(y_mul__1_carry__5_1[0]), - .I1(cy1[1]), - .I2(y_mul__1_carry__5_1[1]), - .I3(cy1[0]), - .O(y_mul__1_carry_i_6__0_n_0)); - LUT2 #( - .INIT(4'h8)) - y_mul__1_carry_i_7__0 - (.I0(cy1[0]), - .I1(y_mul__1_carry__5_1[0]), - .O(y_mul__1_carry_i_7__0_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry - (.CI(1'b0), - .CO({\y_mul_inferred__1/i__carry_n_0 ,\y_mul_inferred__1/i__carry_n_1 ,\y_mul_inferred__1/i__carry_n_2 ,\y_mul_inferred__1/i__carry_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_86,y_mul__0_n_87,y_mul__0_n_88,1'b0}), - .O(y_mul__1__0[36:33]), - .S({i__carry_i_1__0_n_0,i__carry_i_2__0_n_0,i__carry_i_3__0_n_0,y_mul__0_n_89})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__0 - (.CI(\y_mul_inferred__1/i__carry_n_0 ), - .CO({\y_mul_inferred__1/i__carry__0_n_0 ,\y_mul_inferred__1/i__carry__0_n_1 ,\y_mul_inferred__1/i__carry__0_n_2 ,\y_mul_inferred__1/i__carry__0_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_82,y_mul__0_n_83,y_mul__0_n_84,y_mul__0_n_85}), - .O(y_mul__1__0[40:37]), - .S({i__carry__0_i_1__0_n_0,i__carry__0_i_2__0_n_0,i__carry__0_i_3__0_n_0,i__carry__0_i_4__0_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__1 - (.CI(\y_mul_inferred__1/i__carry__0_n_0 ), - .CO({\y_mul_inferred__1/i__carry__1_n_0 ,\y_mul_inferred__1/i__carry__1_n_1 ,\y_mul_inferred__1/i__carry__1_n_2 ,\y_mul_inferred__1/i__carry__1_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_78,y_mul__0_n_79,y_mul__0_n_80,y_mul__0_n_81}), - .O(y_mul__1__0[44:41]), - .S({i__carry__1_i_1__0_n_0,i__carry__1_i_2__0_n_0,i__carry__1_i_3__0_n_0,i__carry__1_i_4__0_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__2 - (.CI(\y_mul_inferred__1/i__carry__1_n_0 ), - .CO({\y_mul_inferred__1/i__carry__2_n_0 ,\y_mul_inferred__1/i__carry__2_n_1 ,\y_mul_inferred__1/i__carry__2_n_2 ,\y_mul_inferred__1/i__carry__2_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_74,y_mul__0_n_75,y_mul__0_n_76,y_mul__0_n_77}), - .O(y_mul__1__0[48:45]), - .S({i__carry__2_i_1__0_n_0,i__carry__2_i_2__0_n_0,i__carry__2_i_3__0_n_0,i__carry__2_i_4__0_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__3 - (.CI(\y_mul_inferred__1/i__carry__2_n_0 ), - .CO({\y_mul_inferred__1/i__carry__3_n_0 ,\y_mul_inferred__1/i__carry__3_n_1 ,\y_mul_inferred__1/i__carry__3_n_2 ,\y_mul_inferred__1/i__carry__3_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_70,y_mul__0_n_71,y_mul__0_n_72,y_mul__0_n_73}), - .O(y_mul__1__0[52:49]), - .S({i__carry__3_i_1__0_n_0,i__carry__3_i_2__0_n_0,i__carry__3_i_3__0_n_0,i__carry__3_i_4__0_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__4 - (.CI(\y_mul_inferred__1/i__carry__3_n_0 ), - .CO({\y_mul_inferred__1/i__carry__4_n_0 ,\y_mul_inferred__1/i__carry__4_n_1 ,\y_mul_inferred__1/i__carry__4_n_2 ,\y_mul_inferred__1/i__carry__4_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_66,y_mul__0_n_67,y_mul__0_n_68,y_mul__0_n_69}), - .O(y_mul__1__0[56:53]), - .S({i__carry__4_i_1__0_n_0,i__carry__4_i_2__0_n_0,i__carry__4_i_3__0_n_0,i__carry__4_i_4__0_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__5 - (.CI(\y_mul_inferred__1/i__carry__4_n_0 ), - .CO({\NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED [3],\y_mul_inferred__1/i__carry__5_n_1 ,\y_mul_inferred__1/i__carry__5_n_2 ,\y_mul_inferred__1/i__carry__5_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,y_mul__0_n_63,y_mul__0_n_64,y_mul__0_n_65}), - .O(y_mul__1__0[60:57]), - .S({i__carry__5_i_1__0_n_0,i__carry__5_i_2__0_n_0,i__carry__5_i_3__0_n_0,i__carry__5_i_4__0_n_0})); -endmodule - -(* ORIG_REF_NAME = "iir_filter_tap" *) -module audio_IIR_filter_0_0_iir_filter_tap_1 - (\cy2[0] , - \cy2[21] , - DI, - Q, - \intreg_reg[1][39]_0 , - E, - \cy2[21]_0 , - out_m, - \intreg_reg[1][38]_0 , - S, - B, - cy2, - y_mul__0_0, - i__carry_i_3__1_0, - i__carry__0_i_3__1_0, - i__carry__0_i_3__1_1, - i__carry__1_i_3__1_0, - i__carry__1_i_3__1_1, - i__carry__2_i_3__1_0, - i__carry__2_i_3__1_1, - i__carry__3_i_3__1_0, - i__carry__3_i_3__1_1, - i__carry__4_i_3__1_0, - i__carry__4_i_3__1_1, - i__carry__5_i_3__1_0, - i__carry__5_i_3__1_1, - y_mul__1_carry__5_0, - y_mul__1_carry__5_1, - cx2, - ch, - P, - ce, - x_mul1__0_carry__7_0, - cx1, - O, - clk, - reset); - output [0:0]\cy2[0] ; - output [0:0]\cy2[21] ; - output [1:0]DI; - output [38:0]Q; - output [38:0]\intreg_reg[1][39]_0 ; - output [0:0]E; - output \cy2[21]_0 ; - output out_m; - output [36:0]\intreg_reg[1][38]_0 ; - output [0:0]S; - input [16:0]B; - input [23:0]cy2; - input [16:0]y_mul__0_0; - input [0:0]i__carry_i_3__1_0; - input [3:0]i__carry__0_i_3__1_0; - input [3:0]i__carry__0_i_3__1_1; - input [3:0]i__carry__1_i_3__1_0; - input [3:0]i__carry__1_i_3__1_1; - input [3:0]i__carry__2_i_3__1_0; - input [3:0]i__carry__2_i_3__1_1; - input [3:0]i__carry__3_i_3__1_0; - input [3:0]i__carry__3_i_3__1_1; - input [2:0]i__carry__4_i_3__1_0; - input [3:0]i__carry__4_i_3__1_1; - input [1:0]i__carry__5_i_3__1_0; - input [0:0]i__carry__5_i_3__1_1; - input [0:0]y_mul__1_carry__5_0; - input [1:0]y_mul__1_carry__5_1; - input [3:0]cx2; - input ch; - input [1:0]P; - input ce; - input [33:0]x_mul1__0_carry__7_0; - input [0:0]cx1; - input [0:0]O; - input clk; - input reset; - - wire [16:0]B; - wire [1:0]DI; - wire [0:0]E; - wire [0:0]O; - wire [1:0]P; - wire [38:0]Q; - wire [0:0]S; - wire ce; - wire ch; - wire clk; - wire [0:0]cx1; - wire [3:0]cx2; - wire [23:0]cy2; - wire [0:0]\cy2[0] ; - wire [0:0]\cy2[21] ; - wire \cy2[21]_0 ; - wire i__carry__0_i_1__1_n_0; - wire i__carry__0_i_2__1_n_0; - wire [3:0]i__carry__0_i_3__1_0; - wire [3:0]i__carry__0_i_3__1_1; - wire i__carry__0_i_3__1_n_0; - wire i__carry__0_i_4__1_n_0; - wire i__carry__1_i_1__1_n_0; - wire i__carry__1_i_2__1_n_0; - wire [3:0]i__carry__1_i_3__1_0; - wire [3:0]i__carry__1_i_3__1_1; - wire i__carry__1_i_3__1_n_0; - wire i__carry__1_i_4__1_n_0; - wire i__carry__2_i_1__1_n_0; - wire i__carry__2_i_2__1_n_0; - wire [3:0]i__carry__2_i_3__1_0; - wire [3:0]i__carry__2_i_3__1_1; - wire i__carry__2_i_3__1_n_0; - wire i__carry__2_i_4__1_n_0; - wire i__carry__3_i_1__1_n_0; - wire i__carry__3_i_2__1_n_0; - wire [3:0]i__carry__3_i_3__1_0; - wire [3:0]i__carry__3_i_3__1_1; - wire i__carry__3_i_3__1_n_0; - wire i__carry__3_i_4__1_n_0; - wire i__carry__4_i_1__1_n_0; - wire i__carry__4_i_2__1_n_0; - wire [2:0]i__carry__4_i_3__1_0; - wire [3:0]i__carry__4_i_3__1_1; - wire i__carry__4_i_3__1_n_0; - wire i__carry__4_i_4__1_n_0; - wire i__carry__5_i_1__1_n_0; - wire i__carry__5_i_2__1_n_0; - wire [1:0]i__carry__5_i_3__1_0; - wire [0:0]i__carry__5_i_3__1_1; - wire i__carry__5_i_3__1_n_0; - wire i__carry__5_i_4__1_n_0; - wire i__carry_i_1__1_n_0; - wire i__carry_i_2__1_n_0; - wire [0:0]i__carry_i_3__1_0; - wire i__carry_i_3__1_n_0; - wire [38:38]\intreg_reg[0]_4 ; - wire [36:0]\intreg_reg[1][38]_0 ; - wire [38:0]\intreg_reg[1][39]_0 ; - wire [38:38]\intreg_reg[1]_5 ; - wire out_m; - wire [39:0]p_4_out; - wire p_4_out_carry__0_i_1__1_n_0; - wire p_4_out_carry__0_i_2__1_n_0; - wire p_4_out_carry__0_i_3__1_n_0; - wire p_4_out_carry__0_i_4__1_n_0; - wire p_4_out_carry__0_i_5__1_n_0; - wire p_4_out_carry__0_i_6__1_n_0; - wire p_4_out_carry__0_i_7__1_n_0; - wire p_4_out_carry__0_i_8__1_n_0; - wire p_4_out_carry__0_n_0; - wire p_4_out_carry__0_n_1; - wire p_4_out_carry__0_n_2; - wire p_4_out_carry__0_n_3; - wire p_4_out_carry__1_i_1__1_n_0; - wire p_4_out_carry__1_i_2__1_n_0; - wire p_4_out_carry__1_i_3__1_n_0; - wire p_4_out_carry__1_i_4__1_n_0; - wire p_4_out_carry__1_i_5__1_n_0; - wire p_4_out_carry__1_i_6__1_n_0; - wire p_4_out_carry__1_i_7__1_n_0; - wire p_4_out_carry__1_i_8__1_n_0; - wire p_4_out_carry__1_n_0; - wire p_4_out_carry__1_n_1; - wire p_4_out_carry__1_n_2; - wire p_4_out_carry__1_n_3; - wire p_4_out_carry__2_i_1__1_n_0; - wire p_4_out_carry__2_i_2__1_n_0; - wire p_4_out_carry__2_i_3__1_n_0; - wire p_4_out_carry__2_i_4__1_n_0; - wire p_4_out_carry__2_i_5__1_n_0; - wire p_4_out_carry__2_i_6__1_n_0; - wire p_4_out_carry__2_i_7__1_n_0; - wire p_4_out_carry__2_i_8__1_n_0; - wire p_4_out_carry__2_n_0; - wire p_4_out_carry__2_n_1; - wire p_4_out_carry__2_n_2; - wire p_4_out_carry__2_n_3; - wire p_4_out_carry__3_i_1__1_n_0; - wire p_4_out_carry__3_i_2__1_n_0; - wire p_4_out_carry__3_i_3__1_n_0; - wire p_4_out_carry__3_i_4__1_n_0; - wire p_4_out_carry__3_i_5__1_n_0; - wire p_4_out_carry__3_i_6__1_n_0; - wire p_4_out_carry__3_i_7__1_n_0; - wire p_4_out_carry__3_i_8__1_n_0; - wire p_4_out_carry__3_n_0; - wire p_4_out_carry__3_n_1; - wire p_4_out_carry__3_n_2; - wire p_4_out_carry__3_n_3; - wire p_4_out_carry__4_i_1__1_n_0; - wire p_4_out_carry__4_i_2__1_n_0; - wire p_4_out_carry__4_i_3__1_n_0; - wire p_4_out_carry__4_i_4__1_n_0; - wire p_4_out_carry__4_i_5__1_n_0; - wire p_4_out_carry__4_i_6__1_n_0; - wire p_4_out_carry__4_i_7__1_n_0; - wire p_4_out_carry__4_i_8__1_n_0; - wire p_4_out_carry__4_n_0; - wire p_4_out_carry__4_n_1; - wire p_4_out_carry__4_n_2; - wire p_4_out_carry__4_n_3; - wire p_4_out_carry__5_i_1__1_n_0; - wire p_4_out_carry__5_i_2__1_n_0; - wire p_4_out_carry__5_i_3__1_n_0; - wire p_4_out_carry__5_i_4__1_n_0; - wire p_4_out_carry__5_i_5__1_n_0; - wire p_4_out_carry__5_i_6__1_n_0; - wire p_4_out_carry__5_i_7__1_n_0; - wire p_4_out_carry__5_i_8__1_n_0; - wire p_4_out_carry__5_n_0; - wire p_4_out_carry__5_n_1; - wire p_4_out_carry__5_n_2; - wire p_4_out_carry__5_n_3; - wire p_4_out_carry__6_i_1__1_n_0; - wire p_4_out_carry__6_i_2__1_n_0; - wire p_4_out_carry__6_i_3__1_n_0; - wire p_4_out_carry__6_i_4__1_n_0; - wire p_4_out_carry__6_i_5__1_n_0; - wire p_4_out_carry__6_i_6__1_n_0; - wire p_4_out_carry__6_i_7__1_n_0; - wire p_4_out_carry__6_i_8__1_n_0; - wire p_4_out_carry__6_n_0; - wire p_4_out_carry__6_n_1; - wire p_4_out_carry__6_n_2; - wire p_4_out_carry__6_n_3; - wire p_4_out_carry__7_i_1__1_n_0; - wire p_4_out_carry__7_i_2__1_n_0; - wire p_4_out_carry__7_i_3__1_n_0; - wire p_4_out_carry__7_i_4__1_n_0; - wire p_4_out_carry__7_i_5__1_n_0; - wire p_4_out_carry__7_i_6__1_n_0; - wire p_4_out_carry__7_i_7__1_n_0; - wire p_4_out_carry__7_i_8__1_n_0; - wire p_4_out_carry__7_n_0; - wire p_4_out_carry__7_n_1; - wire p_4_out_carry__7_n_2; - wire p_4_out_carry__7_n_3; - wire p_4_out_carry__8_i_1__1_n_0; - wire p_4_out_carry__8_i_2__1_n_0; - wire p_4_out_carry__8_i_3__1_n_0; - wire p_4_out_carry__8_i_4__1_n_0; - wire p_4_out_carry__8_i_5__1_n_0; - wire p_4_out_carry__8_i_6__1_n_0; - wire p_4_out_carry__8_i_7__1_n_0; - wire p_4_out_carry__8_n_1; - wire p_4_out_carry__8_n_2; - wire p_4_out_carry__8_n_3; - wire p_4_out_carry_i_1__1_n_0; - wire p_4_out_carry_i_2__1_n_0; - wire p_4_out_carry_i_3_n_0; - wire p_4_out_carry_i_4__1_n_0; - wire p_4_out_carry_i_5__1_n_0; - wire p_4_out_carry_i_6__1_n_0; - wire p_4_out_carry_i_7__1_n_0; - wire p_4_out_carry_n_0; - wire p_4_out_carry_n_1; - wire p_4_out_carry_n_2; - wire p_4_out_carry_n_3; - wire reset; - wire [1:1]tap2; - wire x_mul1__0_carry__0_i_10_n_0; - wire x_mul1__0_carry__0_i_11_n_0; - wire x_mul1__0_carry__0_i_12_n_0; - wire x_mul1__0_carry__0_i_1__1_n_0; - wire x_mul1__0_carry__0_i_2__1_n_0; - wire x_mul1__0_carry__0_i_3__1_n_0; - wire x_mul1__0_carry__0_i_4__1_n_0; - wire x_mul1__0_carry__0_i_5__1_n_0; - wire x_mul1__0_carry__0_i_6__1_n_0; - wire x_mul1__0_carry__0_i_7__1_n_0; - wire x_mul1__0_carry__0_i_8__1_n_0; - wire x_mul1__0_carry__0_i_9_n_0; - wire x_mul1__0_carry__0_n_0; - wire x_mul1__0_carry__0_n_1; - wire x_mul1__0_carry__0_n_2; - wire x_mul1__0_carry__0_n_3; - wire x_mul1__0_carry__1_i_10_n_0; - wire x_mul1__0_carry__1_i_11_n_0; - wire x_mul1__0_carry__1_i_12_n_0; - wire x_mul1__0_carry__1_i_1__1_n_0; - wire x_mul1__0_carry__1_i_2__1_n_0; - wire x_mul1__0_carry__1_i_3__1_n_0; - wire x_mul1__0_carry__1_i_4__1_n_0; - wire x_mul1__0_carry__1_i_5__1_n_0; - wire x_mul1__0_carry__1_i_6__1_n_0; - wire x_mul1__0_carry__1_i_7__1_n_0; - wire x_mul1__0_carry__1_i_8__1_n_0; - wire x_mul1__0_carry__1_i_9_n_0; - wire x_mul1__0_carry__1_n_0; - wire x_mul1__0_carry__1_n_1; - wire x_mul1__0_carry__1_n_2; - wire x_mul1__0_carry__1_n_3; - wire x_mul1__0_carry__2_i_10_n_0; - wire x_mul1__0_carry__2_i_11_n_0; - wire x_mul1__0_carry__2_i_12_n_0; - wire x_mul1__0_carry__2_i_1__1_n_0; - wire x_mul1__0_carry__2_i_2__1_n_0; - wire x_mul1__0_carry__2_i_3__1_n_0; - wire x_mul1__0_carry__2_i_4__1_n_0; - wire x_mul1__0_carry__2_i_5__1_n_0; - wire x_mul1__0_carry__2_i_6__1_n_0; - wire x_mul1__0_carry__2_i_7__1_n_0; - wire x_mul1__0_carry__2_i_8__1_n_0; - wire x_mul1__0_carry__2_i_9_n_0; - wire x_mul1__0_carry__2_n_0; - wire x_mul1__0_carry__2_n_1; - wire x_mul1__0_carry__2_n_2; - wire x_mul1__0_carry__2_n_3; - wire x_mul1__0_carry__3_i_10_n_0; - wire x_mul1__0_carry__3_i_11_n_0; - wire x_mul1__0_carry__3_i_12_n_0; - wire x_mul1__0_carry__3_i_1__1_n_0; - wire x_mul1__0_carry__3_i_2__1_n_0; - wire x_mul1__0_carry__3_i_3__1_n_0; - wire x_mul1__0_carry__3_i_4__1_n_0; - wire x_mul1__0_carry__3_i_5__1_n_0; - wire x_mul1__0_carry__3_i_6__1_n_0; - wire x_mul1__0_carry__3_i_7__1_n_0; - wire x_mul1__0_carry__3_i_8__1_n_0; - wire x_mul1__0_carry__3_i_9_n_0; - wire x_mul1__0_carry__3_n_0; - wire x_mul1__0_carry__3_n_1; - wire x_mul1__0_carry__3_n_2; - wire x_mul1__0_carry__3_n_3; - wire x_mul1__0_carry__4_i_10_n_0; - wire x_mul1__0_carry__4_i_11_n_0; - wire x_mul1__0_carry__4_i_12_n_0; - wire x_mul1__0_carry__4_i_1__1_n_0; - wire x_mul1__0_carry__4_i_2__1_n_0; - wire x_mul1__0_carry__4_i_3__1_n_0; - wire x_mul1__0_carry__4_i_4__1_n_0; - wire x_mul1__0_carry__4_i_5__1_n_0; - wire x_mul1__0_carry__4_i_6__1_n_0; - wire x_mul1__0_carry__4_i_7__1_n_0; - wire x_mul1__0_carry__4_i_8__1_n_0; - wire x_mul1__0_carry__4_i_9_n_0; - wire x_mul1__0_carry__4_n_0; - wire x_mul1__0_carry__4_n_1; - wire x_mul1__0_carry__4_n_2; - wire x_mul1__0_carry__4_n_3; - wire x_mul1__0_carry__5_i_10_n_0; - wire x_mul1__0_carry__5_i_11_n_0; - wire x_mul1__0_carry__5_i_12_n_0; - wire x_mul1__0_carry__5_i_1__1_n_0; - wire x_mul1__0_carry__5_i_2__1_n_0; - wire x_mul1__0_carry__5_i_3__1_n_0; - wire x_mul1__0_carry__5_i_4__1_n_0; - wire x_mul1__0_carry__5_i_5__1_n_0; - wire x_mul1__0_carry__5_i_6__1_n_0; - wire x_mul1__0_carry__5_i_7__1_n_0; - wire x_mul1__0_carry__5_i_8__1_n_0; - wire x_mul1__0_carry__5_i_9_n_0; - wire x_mul1__0_carry__5_n_0; - wire x_mul1__0_carry__5_n_1; - wire x_mul1__0_carry__5_n_2; - wire x_mul1__0_carry__5_n_3; - wire x_mul1__0_carry__6_i_10_n_0; - wire x_mul1__0_carry__6_i_11_n_0; - wire x_mul1__0_carry__6_i_12_n_0; - wire x_mul1__0_carry__6_i_1__1_n_0; - wire x_mul1__0_carry__6_i_2__1_n_0; - wire x_mul1__0_carry__6_i_3__1_n_0; - wire x_mul1__0_carry__6_i_4__1_n_0; - wire x_mul1__0_carry__6_i_5__1_n_0; - wire x_mul1__0_carry__6_i_6__1_n_0; - wire x_mul1__0_carry__6_i_7__1_n_0; - wire x_mul1__0_carry__6_i_8__1_n_0; - wire x_mul1__0_carry__6_n_0; - wire x_mul1__0_carry__6_n_1; - wire x_mul1__0_carry__6_n_2; - wire x_mul1__0_carry__6_n_3; - wire [33:0]x_mul1__0_carry__7_0; - wire x_mul1__0_carry__7_i_1__1_n_0; - wire x_mul1__0_carry__7_i_2__1_n_0; - wire x_mul1__0_carry__7_i_3__1_n_0; - wire x_mul1__0_carry__7_i_4__1_n_0; - wire x_mul1__0_carry__7_i_5__1_n_0; - wire x_mul1__0_carry__7_i_6__1_n_0; - wire x_mul1__0_carry__7_i_7__1_n_0; - wire x_mul1__0_carry__7_n_0; - wire x_mul1__0_carry__7_n_1; - wire x_mul1__0_carry__7_n_2; - wire x_mul1__0_carry__7_n_3; - wire x_mul1__0_carry__8_i_1__1_n_0; - wire x_mul1__0_carry__8_i_2__1_n_0; - wire x_mul1__0_carry__8_i_3__1_n_0; - wire x_mul1__0_carry__8_i_4__1_n_0; - wire x_mul1__0_carry__8_n_1; - wire x_mul1__0_carry__8_n_2; - wire x_mul1__0_carry__8_n_3; - wire x_mul1__0_carry_i_10_n_0; - wire x_mul1__0_carry_i_1__1_n_0; - wire x_mul1__0_carry_i_2__1_n_0; - wire x_mul1__0_carry_i_3__1_n_0; - wire x_mul1__0_carry_i_4__1_n_0; - wire x_mul1__0_carry_i_5__1_n_0; - wire x_mul1__0_carry_i_6__1_n_0; - wire x_mul1__0_carry_i_7__1_n_0; - wire x_mul1__0_carry_i_8_n_0; - wire x_mul1__0_carry_i_9_n_0; - wire x_mul1__0_carry_n_0; - wire x_mul1__0_carry_n_1; - wire x_mul1__0_carry_n_2; - wire x_mul1__0_carry_n_3; - wire [39:0]x_mul2_out; - wire [34:34]x_mul__39; - wire [16:0]y_mul__0_0; - wire y_mul__0_n_100; - wire y_mul__0_n_101; - wire y_mul__0_n_102; - wire y_mul__0_n_103; - wire y_mul__0_n_104; - wire y_mul__0_n_105; - wire y_mul__0_n_62; - wire y_mul__0_n_63; - wire y_mul__0_n_64; - wire y_mul__0_n_65; - wire y_mul__0_n_66; - wire y_mul__0_n_67; - wire y_mul__0_n_68; - wire y_mul__0_n_69; - wire y_mul__0_n_70; - wire y_mul__0_n_71; - wire y_mul__0_n_72; - wire y_mul__0_n_73; - wire y_mul__0_n_74; - wire y_mul__0_n_75; - wire y_mul__0_n_76; - wire y_mul__0_n_77; - wire y_mul__0_n_78; - wire y_mul__0_n_79; - wire y_mul__0_n_80; - wire y_mul__0_n_81; - wire y_mul__0_n_82; - wire y_mul__0_n_83; - wire y_mul__0_n_84; - wire y_mul__0_n_85; - wire y_mul__0_n_86; - wire y_mul__0_n_87; - wire y_mul__0_n_88; - wire y_mul__0_n_89; - wire y_mul__0_n_90; - wire y_mul__0_n_91; - wire y_mul__0_n_92; - wire y_mul__0_n_93; - wire y_mul__0_n_94; - wire y_mul__0_n_95; - wire y_mul__0_n_96; - wire y_mul__0_n_97; - wire y_mul__0_n_98; - wire y_mul__0_n_99; - wire [60:33]y_mul__1__0; - wire y_mul__1_carry__0_n_0; - wire y_mul__1_carry__0_n_1; - wire y_mul__1_carry__0_n_2; - wire y_mul__1_carry__0_n_3; - wire y_mul__1_carry__0_n_4; - wire y_mul__1_carry__0_n_5; - wire y_mul__1_carry__0_n_6; - wire y_mul__1_carry__0_n_7; - wire y_mul__1_carry__1_n_0; - wire y_mul__1_carry__1_n_1; - wire y_mul__1_carry__1_n_2; - wire y_mul__1_carry__1_n_3; - wire y_mul__1_carry__1_n_4; - wire y_mul__1_carry__1_n_5; - wire y_mul__1_carry__1_n_6; - wire y_mul__1_carry__1_n_7; - wire y_mul__1_carry__2_n_0; - wire y_mul__1_carry__2_n_1; - wire y_mul__1_carry__2_n_2; - wire y_mul__1_carry__2_n_3; - wire y_mul__1_carry__2_n_4; - wire y_mul__1_carry__2_n_5; - wire y_mul__1_carry__2_n_6; - wire y_mul__1_carry__2_n_7; - wire y_mul__1_carry__3_n_0; - wire y_mul__1_carry__3_n_1; - wire y_mul__1_carry__3_n_2; - wire y_mul__1_carry__3_n_3; - wire y_mul__1_carry__3_n_4; - wire y_mul__1_carry__3_n_5; - wire y_mul__1_carry__3_n_6; - wire y_mul__1_carry__3_n_7; - wire y_mul__1_carry__4_n_0; - wire y_mul__1_carry__4_n_1; - wire y_mul__1_carry__4_n_2; - wire y_mul__1_carry__4_n_3; - wire y_mul__1_carry__4_n_4; - wire y_mul__1_carry__4_n_5; - wire y_mul__1_carry__4_n_6; - wire y_mul__1_carry__4_n_7; - wire [0:0]y_mul__1_carry__5_0; - wire [1:0]y_mul__1_carry__5_1; - wire y_mul__1_carry__5_i_3__1_n_0; - wire y_mul__1_carry__5_n_1; - wire y_mul__1_carry__5_n_3; - wire y_mul__1_carry__5_n_6; - wire y_mul__1_carry__5_n_7; - wire y_mul__1_carry_i_2__1_n_0; - wire y_mul__1_carry_i_3__1_n_0; - wire y_mul__1_carry_i_5__1_n_0; - wire y_mul__1_carry_i_6__1_n_0; - wire y_mul__1_carry_i_7__1_n_0; - wire y_mul__1_carry_n_0; - wire y_mul__1_carry_n_1; - wire y_mul__1_carry_n_2; - wire y_mul__1_carry_n_3; - wire y_mul__1_carry_n_4; - wire y_mul__1_carry_n_5; - wire y_mul__1_carry_n_6; - wire y_mul__1_carry_n_7; - wire \y_mul_inferred__1/i__carry__0_n_0 ; - wire \y_mul_inferred__1/i__carry__0_n_1 ; - wire \y_mul_inferred__1/i__carry__0_n_2 ; - wire \y_mul_inferred__1/i__carry__0_n_3 ; - wire \y_mul_inferred__1/i__carry__1_n_0 ; - wire \y_mul_inferred__1/i__carry__1_n_1 ; - wire \y_mul_inferred__1/i__carry__1_n_2 ; - wire \y_mul_inferred__1/i__carry__1_n_3 ; - wire \y_mul_inferred__1/i__carry__2_n_0 ; - wire \y_mul_inferred__1/i__carry__2_n_1 ; - wire \y_mul_inferred__1/i__carry__2_n_2 ; - wire \y_mul_inferred__1/i__carry__2_n_3 ; - wire \y_mul_inferred__1/i__carry__3_n_0 ; - wire \y_mul_inferred__1/i__carry__3_n_1 ; - wire \y_mul_inferred__1/i__carry__3_n_2 ; - wire \y_mul_inferred__1/i__carry__3_n_3 ; - wire \y_mul_inferred__1/i__carry__4_n_0 ; - wire \y_mul_inferred__1/i__carry__4_n_1 ; - wire \y_mul_inferred__1/i__carry__4_n_2 ; - wire \y_mul_inferred__1/i__carry__4_n_3 ; - wire \y_mul_inferred__1/i__carry__5_n_1 ; - wire \y_mul_inferred__1/i__carry__5_n_2 ; - wire \y_mul_inferred__1/i__carry__5_n_3 ; - wire \y_mul_inferred__1/i__carry_n_0 ; - wire \y_mul_inferred__1/i__carry_n_1 ; - wire \y_mul_inferred__1/i__carry_n_2 ; - wire \y_mul_inferred__1/i__carry_n_3 ; - wire y_mul_n_100; - wire y_mul_n_101; - wire y_mul_n_102; - wire y_mul_n_103; - wire y_mul_n_104; - wire y_mul_n_105; - wire y_mul_n_106; - wire y_mul_n_107; - wire y_mul_n_108; - wire y_mul_n_109; - wire y_mul_n_110; - wire y_mul_n_111; - wire y_mul_n_112; - wire y_mul_n_113; - wire y_mul_n_114; - wire y_mul_n_115; - wire y_mul_n_116; - wire y_mul_n_117; - wire y_mul_n_118; - wire y_mul_n_119; - wire y_mul_n_120; - wire y_mul_n_121; - wire y_mul_n_122; - wire y_mul_n_123; - wire y_mul_n_124; - wire y_mul_n_125; - wire y_mul_n_126; - wire y_mul_n_127; - wire y_mul_n_128; - wire y_mul_n_129; - wire y_mul_n_130; - wire y_mul_n_131; - wire y_mul_n_132; - wire y_mul_n_133; - wire y_mul_n_134; - wire y_mul_n_135; - wire y_mul_n_136; - wire y_mul_n_137; - wire y_mul_n_138; - wire y_mul_n_139; - wire y_mul_n_140; - wire y_mul_n_141; - wire y_mul_n_142; - wire y_mul_n_143; - wire y_mul_n_144; - wire y_mul_n_145; - wire y_mul_n_146; - wire y_mul_n_147; - wire y_mul_n_148; - wire y_mul_n_149; - wire y_mul_n_150; - wire y_mul_n_151; - wire y_mul_n_152; - wire y_mul_n_153; - wire y_mul_n_58; - wire y_mul_n_59; - wire y_mul_n_60; - wire y_mul_n_61; - wire y_mul_n_62; - wire y_mul_n_63; - wire y_mul_n_64; - wire y_mul_n_65; - wire y_mul_n_66; - wire y_mul_n_67; - wire y_mul_n_68; - wire y_mul_n_69; - wire y_mul_n_70; - wire y_mul_n_71; - wire y_mul_n_72; - wire y_mul_n_73; - wire y_mul_n_74; - wire y_mul_n_75; - wire y_mul_n_76; - wire y_mul_n_77; - wire y_mul_n_78; - wire y_mul_n_79; - wire y_mul_n_80; - wire y_mul_n_81; - wire y_mul_n_82; - wire y_mul_n_83; - wire y_mul_n_84; - wire y_mul_n_85; - wire y_mul_n_86; - wire y_mul_n_87; - wire y_mul_n_88; - wire y_mul_n_89; - wire y_mul_n_90; - wire y_mul_n_91; - wire y_mul_n_92; - wire y_mul_n_93; - wire y_mul_n_94; - wire y_mul_n_95; - wire y_mul_n_96; - wire y_mul_n_97; - wire y_mul_n_98; - wire y_mul_n_99; - wire [3:3]NLW_p_4_out_carry__8_CO_UNCONNECTED; - wire [3:3]NLW_x_mul1__0_carry__8_CO_UNCONNECTED; - wire NLW_y_mul_CARRYCASCOUT_UNCONNECTED; - wire NLW_y_mul_MULTSIGNOUT_UNCONNECTED; - wire NLW_y_mul_OVERFLOW_UNCONNECTED; - wire NLW_y_mul_PATTERNBDETECT_UNCONNECTED; - wire NLW_y_mul_PATTERNDETECT_UNCONNECTED; - wire NLW_y_mul_UNDERFLOW_UNCONNECTED; - wire [29:0]NLW_y_mul_ACOUT_UNCONNECTED; - wire [17:0]NLW_y_mul_BCOUT_UNCONNECTED; - wire [3:0]NLW_y_mul_CARRYOUT_UNCONNECTED; - wire NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED; - wire NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED; - wire NLW_y_mul__0_OVERFLOW_UNCONNECTED; - wire NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED; - wire NLW_y_mul__0_PATTERNDETECT_UNCONNECTED; - wire NLW_y_mul__0_UNDERFLOW_UNCONNECTED; - wire [29:0]NLW_y_mul__0_ACOUT_UNCONNECTED; - wire [17:0]NLW_y_mul__0_BCOUT_UNCONNECTED; - wire [3:0]NLW_y_mul__0_CARRYOUT_UNCONNECTED; - wire [47:44]NLW_y_mul__0_P_UNCONNECTED; - wire [47:0]NLW_y_mul__0_PCOUT_UNCONNECTED; - wire [3:1]NLW_y_mul__1_carry__5_CO_UNCONNECTED; - wire [3:2]NLW_y_mul__1_carry__5_O_UNCONNECTED; - wire [3:3]\NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED ; - - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_1__1 - (.I0(y_mul__0_n_82), - .I1(y_mul__1_carry__0_n_5), - .O(i__carry__0_i_1__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_2__1 - (.I0(y_mul__0_n_83), - .I1(y_mul__1_carry__0_n_6), - .O(i__carry__0_i_2__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_3__1 - (.I0(y_mul__0_n_84), - .I1(y_mul__1_carry__0_n_7), - .O(i__carry__0_i_3__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__0_i_4__1 - (.I0(y_mul__0_n_85), - .I1(y_mul__1_carry_n_4), - .O(i__carry__0_i_4__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_1__1 - (.I0(y_mul__0_n_78), - .I1(y_mul__1_carry__1_n_5), - .O(i__carry__1_i_1__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_2__1 - (.I0(y_mul__0_n_79), - .I1(y_mul__1_carry__1_n_6), - .O(i__carry__1_i_2__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_3__1 - (.I0(y_mul__0_n_80), - .I1(y_mul__1_carry__1_n_7), - .O(i__carry__1_i_3__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__1_i_4__1 - (.I0(y_mul__0_n_81), - .I1(y_mul__1_carry__0_n_4), - .O(i__carry__1_i_4__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_1__1 - (.I0(y_mul__0_n_74), - .I1(y_mul__1_carry__2_n_5), - .O(i__carry__2_i_1__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_2__1 - (.I0(y_mul__0_n_75), - .I1(y_mul__1_carry__2_n_6), - .O(i__carry__2_i_2__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_3__1 - (.I0(y_mul__0_n_76), - .I1(y_mul__1_carry__2_n_7), - .O(i__carry__2_i_3__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__2_i_4__1 - (.I0(y_mul__0_n_77), - .I1(y_mul__1_carry__1_n_4), - .O(i__carry__2_i_4__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_1__1 - (.I0(y_mul__0_n_70), - .I1(y_mul__1_carry__3_n_5), - .O(i__carry__3_i_1__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_2__1 - (.I0(y_mul__0_n_71), - .I1(y_mul__1_carry__3_n_6), - .O(i__carry__3_i_2__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_3__1 - (.I0(y_mul__0_n_72), - .I1(y_mul__1_carry__3_n_7), - .O(i__carry__3_i_3__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__3_i_4__1 - (.I0(y_mul__0_n_73), - .I1(y_mul__1_carry__2_n_4), - .O(i__carry__3_i_4__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_1__1 - (.I0(y_mul__0_n_66), - .I1(y_mul__1_carry__4_n_5), - .O(i__carry__4_i_1__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_2__1 - (.I0(y_mul__0_n_67), - .I1(y_mul__1_carry__4_n_6), - .O(i__carry__4_i_2__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_3__1 - (.I0(y_mul__0_n_68), - .I1(y_mul__1_carry__4_n_7), - .O(i__carry__4_i_3__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__4_i_4__1 - (.I0(y_mul__0_n_69), - .I1(y_mul__1_carry__3_n_4), - .O(i__carry__4_i_4__1_n_0)); - LUT2 #( - .INIT(4'h9)) - i__carry__5_i_1__1 - (.I0(y_mul__0_n_62), - .I1(y_mul__1_carry__5_n_1), - .O(i__carry__5_i_1__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_2__1 - (.I0(y_mul__0_n_63), - .I1(y_mul__1_carry__5_n_6), - .O(i__carry__5_i_2__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_3__1 - (.I0(y_mul__0_n_64), - .I1(y_mul__1_carry__5_n_7), - .O(i__carry__5_i_3__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry__5_i_4__1 - (.I0(y_mul__0_n_65), - .I1(y_mul__1_carry__4_n_4), - .O(i__carry__5_i_4__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_1__1 - (.I0(y_mul__0_n_86), - .I1(y_mul__1_carry_n_5), - .O(i__carry_i_1__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_2__1 - (.I0(y_mul__0_n_87), - .I1(y_mul__1_carry_n_6), - .O(i__carry_i_2__1_n_0)); - LUT2 #( - .INIT(4'h6)) - i__carry_i_3__1 - (.I0(y_mul__0_n_88), - .I1(y_mul__1_carry_n_7), - .O(i__carry_i_3__1_n_0)); - LUT2 #( - .INIT(4'h2)) - \intreg[0][39]_i_1 - (.I0(ce), - .I1(ch), - .O(E)); - LUT2 #( - .INIT(4'h8)) - \intreg[1][39]_i_1 - (.I0(ce), - .I1(ch), - .O(out_m)); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][0] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[0]), - .Q(Q[0])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][10] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[10]), - .Q(Q[10])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][11] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[11]), - .Q(Q[11])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][12] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[12]), - .Q(Q[12])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][13] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[13]), - .Q(Q[13])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][14] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[14]), - .Q(Q[14])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][15] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[15]), - .Q(Q[15])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][16] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[16]), - .Q(Q[16])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][17] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[17]), - .Q(Q[17])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][18] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[18]), - .Q(Q[18])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][19] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[19]), - .Q(Q[19])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][1] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[1]), - .Q(Q[1])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][20] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[20]), - .Q(Q[20])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][21] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[21]), - .Q(Q[21])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][22] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[22]), - .Q(Q[22])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][23] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[23]), - .Q(Q[23])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][24] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[24]), - .Q(Q[24])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][25] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[25]), - .Q(Q[25])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][26] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[26]), - .Q(Q[26])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][27] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[27]), - .Q(Q[27])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][28] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[28]), - .Q(Q[28])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][29] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[29]), - .Q(Q[29])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][2] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[2]), - .Q(Q[2])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][30] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[30]), - .Q(Q[30])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][31] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[31]), - .Q(Q[31])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][32] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[32]), - .Q(Q[32])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][33] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[33]), - .Q(Q[33])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][34] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[34]), - .Q(Q[34])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][35] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[35]), - .Q(Q[35])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][36] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[36]), - .Q(Q[36])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][37] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[37]), - .Q(Q[37])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][38] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[38]), - .Q(\intreg_reg[0]_4 )); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][39] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[39]), - .Q(Q[38])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][3] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[3]), - .Q(Q[3])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][4] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[4]), - .Q(Q[4])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][5] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[5]), - .Q(Q[5])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][6] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[6]), - .Q(Q[6])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][7] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[7]), - .Q(Q[7])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][8] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[8]), - .Q(Q[8])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[0][9] - (.C(clk), - .CE(E), - .CLR(reset), - .D(p_4_out[9]), - .Q(Q[9])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][0] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[0]), - .Q(\intreg_reg[1][39]_0 [0])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][10] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[10]), - .Q(\intreg_reg[1][39]_0 [10])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][11] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[11]), - .Q(\intreg_reg[1][39]_0 [11])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][12] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[12]), - .Q(\intreg_reg[1][39]_0 [12])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][13] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[13]), - .Q(\intreg_reg[1][39]_0 [13])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][14] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[14]), - .Q(\intreg_reg[1][39]_0 [14])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][15] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[15]), - .Q(\intreg_reg[1][39]_0 [15])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][16] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[16]), - .Q(\intreg_reg[1][39]_0 [16])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][17] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[17]), - .Q(\intreg_reg[1][39]_0 [17])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][18] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[18]), - .Q(\intreg_reg[1][39]_0 [18])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][19] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[19]), - .Q(\intreg_reg[1][39]_0 [19])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][1] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[1]), - .Q(\intreg_reg[1][39]_0 [1])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][20] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[20]), - .Q(\intreg_reg[1][39]_0 [20])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][21] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[21]), - .Q(\intreg_reg[1][39]_0 [21])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][22] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[22]), - .Q(\intreg_reg[1][39]_0 [22])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][23] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[23]), - .Q(\intreg_reg[1][39]_0 [23])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][24] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[24]), - .Q(\intreg_reg[1][39]_0 [24])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][25] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[25]), - .Q(\intreg_reg[1][39]_0 [25])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][26] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[26]), - .Q(\intreg_reg[1][39]_0 [26])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][27] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[27]), - .Q(\intreg_reg[1][39]_0 [27])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][28] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[28]), - .Q(\intreg_reg[1][39]_0 [28])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][29] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[29]), - .Q(\intreg_reg[1][39]_0 [29])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][2] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[2]), - .Q(\intreg_reg[1][39]_0 [2])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][30] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[30]), - .Q(\intreg_reg[1][39]_0 [30])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][31] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[31]), - .Q(\intreg_reg[1][39]_0 [31])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][32] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[32]), - .Q(\intreg_reg[1][39]_0 [32])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][33] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[33]), - .Q(\intreg_reg[1][39]_0 [33])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][34] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[34]), - .Q(\intreg_reg[1][39]_0 [34])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][35] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[35]), - .Q(\intreg_reg[1][39]_0 [35])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][36] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[36]), - .Q(\intreg_reg[1][39]_0 [36])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][37] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[37]), - .Q(\intreg_reg[1][39]_0 [37])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][38] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[38]), - .Q(\intreg_reg[1]_5 )); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][39] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[39]), - .Q(\intreg_reg[1][39]_0 [38])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][3] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[3]), - .Q(\intreg_reg[1][39]_0 [3])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][4] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[4]), - .Q(\intreg_reg[1][39]_0 [4])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][5] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[5]), - .Q(\intreg_reg[1][39]_0 [5])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][6] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[6]), - .Q(\intreg_reg[1][39]_0 [6])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][7] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[7]), - .Q(\intreg_reg[1][39]_0 [7])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][8] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[8]), - .Q(\intreg_reg[1][39]_0 [8])); - (* ramstyle = "logic" *) - FDCE \intreg_reg[1][9] - (.C(clk), - .CE(out_m), - .CLR(reset), - .D(p_4_out[9]), - .Q(\intreg_reg[1][39]_0 [9])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry - (.CI(1'b0), - .CO({p_4_out_carry_n_0,p_4_out_carry_n_1,p_4_out_carry_n_2,p_4_out_carry_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry_i_1__1_n_0,p_4_out_carry_i_2__1_n_0,p_4_out_carry_i_3_n_0,y_mul__0_n_101}), - .O(p_4_out[3:0]), - .S({p_4_out_carry_i_4__1_n_0,p_4_out_carry_i_5__1_n_0,p_4_out_carry_i_6__1_n_0,p_4_out_carry_i_7__1_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__0 - (.CI(p_4_out_carry_n_0), - .CO({p_4_out_carry__0_n_0,p_4_out_carry__0_n_1,p_4_out_carry__0_n_2,p_4_out_carry__0_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__0_i_1__1_n_0,p_4_out_carry__0_i_2__1_n_0,p_4_out_carry__0_i_3__1_n_0,p_4_out_carry__0_i_4__1_n_0}), - .O(p_4_out[7:4]), - .S({p_4_out_carry__0_i_5__1_n_0,p_4_out_carry__0_i_6__1_n_0,p_4_out_carry__0_i_7__1_n_0,p_4_out_carry__0_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair81" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__0_i_10__0 - (.I0(\intreg_reg[1][39]_0 [6]), - .I1(Q[6]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [4])); - (* SOFT_HLUTNM = "soft_lutpair81" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__0_i_11__0 - (.I0(\intreg_reg[1][39]_0 [5]), - .I1(Q[5]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [3])); - (* SOFT_HLUTNM = "soft_lutpair80" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__0_i_12__0 - (.I0(\intreg_reg[1][39]_0 [4]), - .I1(Q[4]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [2])); - (* HLUTNM = "lutpair9" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__0_i_1__1 - (.I0(x_mul2_out[6]), - .I1(cx2[3]), - .I2(y_mul__0_n_95), - .O(p_4_out_carry__0_i_1__1_n_0)); - (* HLUTNM = "lutpair8" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__0_i_2__1 - (.I0(x_mul2_out[5]), - .I1(cx2[3]), - .I2(y_mul__0_n_96), - .O(p_4_out_carry__0_i_2__1_n_0)); - (* HLUTNM = "lutpair7" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__0_i_3__1 - (.I0(x_mul2_out[4]), - .I1(cx2[3]), - .I2(y_mul__0_n_97), - .O(p_4_out_carry__0_i_3__1_n_0)); - (* HLUTNM = "lutpair6" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__0_i_4__1 - (.I0(x_mul2_out[3]), - .I1(cx2[3]), - .I2(y_mul__0_n_98), - .O(p_4_out_carry__0_i_4__1_n_0)); - (* HLUTNM = "lutpair10" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__0_i_5__1 - (.I0(x_mul2_out[7]), - .I1(cx2[3]), - .I2(y_mul__0_n_94), - .I3(p_4_out_carry__0_i_1__1_n_0), - .O(p_4_out_carry__0_i_5__1_n_0)); - (* HLUTNM = "lutpair9" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__0_i_6__1 - (.I0(x_mul2_out[6]), - .I1(cx2[3]), - .I2(y_mul__0_n_95), - .I3(p_4_out_carry__0_i_2__1_n_0), - .O(p_4_out_carry__0_i_6__1_n_0)); - (* HLUTNM = "lutpair8" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__0_i_7__1 - (.I0(x_mul2_out[5]), - .I1(cx2[3]), - .I2(y_mul__0_n_96), - .I3(p_4_out_carry__0_i_3__1_n_0), - .O(p_4_out_carry__0_i_7__1_n_0)); - (* HLUTNM = "lutpair7" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__0_i_8__1 - (.I0(x_mul2_out[4]), - .I1(cx2[3]), - .I2(y_mul__0_n_97), - .I3(p_4_out_carry__0_i_4__1_n_0), - .O(p_4_out_carry__0_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair82" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__0_i_9__0 - (.I0(\intreg_reg[1][39]_0 [7]), - .I1(Q[7]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [5])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__1 - (.CI(p_4_out_carry__0_n_0), - .CO({p_4_out_carry__1_n_0,p_4_out_carry__1_n_1,p_4_out_carry__1_n_2,p_4_out_carry__1_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__1_i_1__1_n_0,p_4_out_carry__1_i_2__1_n_0,p_4_out_carry__1_i_3__1_n_0,p_4_out_carry__1_i_4__1_n_0}), - .O(p_4_out[11:8]), - .S({p_4_out_carry__1_i_5__1_n_0,p_4_out_carry__1_i_6__1_n_0,p_4_out_carry__1_i_7__1_n_0,p_4_out_carry__1_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair83" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__1_i_10__0 - (.I0(\intreg_reg[1][39]_0 [10]), - .I1(Q[10]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [8])); - (* SOFT_HLUTNM = "soft_lutpair83" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__1_i_11__0 - (.I0(\intreg_reg[1][39]_0 [9]), - .I1(Q[9]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [7])); - (* SOFT_HLUTNM = "soft_lutpair82" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__1_i_12__0 - (.I0(\intreg_reg[1][39]_0 [8]), - .I1(Q[8]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [6])); - (* HLUTNM = "lutpair13" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__1_i_1__1 - (.I0(x_mul2_out[10]), - .I1(cx2[3]), - .I2(y_mul__0_n_91), - .O(p_4_out_carry__1_i_1__1_n_0)); - (* HLUTNM = "lutpair12" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__1_i_2__1 - (.I0(x_mul2_out[9]), - .I1(cx2[3]), - .I2(y_mul__0_n_92), - .O(p_4_out_carry__1_i_2__1_n_0)); - (* HLUTNM = "lutpair11" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__1_i_3__1 - (.I0(x_mul2_out[8]), - .I1(cx2[3]), - .I2(y_mul__0_n_93), - .O(p_4_out_carry__1_i_3__1_n_0)); - (* HLUTNM = "lutpair10" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__1_i_4__1 - (.I0(x_mul2_out[7]), - .I1(cx2[3]), - .I2(y_mul__0_n_94), - .O(p_4_out_carry__1_i_4__1_n_0)); - (* HLUTNM = "lutpair14" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__1_i_5__1 - (.I0(x_mul2_out[11]), - .I1(cx2[3]), - .I2(y_mul__0_n_90), - .I3(p_4_out_carry__1_i_1__1_n_0), - .O(p_4_out_carry__1_i_5__1_n_0)); - (* HLUTNM = "lutpair13" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__1_i_6__1 - (.I0(x_mul2_out[10]), - .I1(cx2[3]), - .I2(y_mul__0_n_91), - .I3(p_4_out_carry__1_i_2__1_n_0), - .O(p_4_out_carry__1_i_6__1_n_0)); - (* HLUTNM = "lutpair12" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__1_i_7__1 - (.I0(x_mul2_out[9]), - .I1(cx2[3]), - .I2(y_mul__0_n_92), - .I3(p_4_out_carry__1_i_3__1_n_0), - .O(p_4_out_carry__1_i_7__1_n_0)); - (* HLUTNM = "lutpair11" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__1_i_8__1 - (.I0(x_mul2_out[8]), - .I1(cx2[3]), - .I2(y_mul__0_n_93), - .I3(p_4_out_carry__1_i_4__1_n_0), - .O(p_4_out_carry__1_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair84" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__1_i_9__0 - (.I0(\intreg_reg[1][39]_0 [11]), - .I1(Q[11]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [9])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__2 - (.CI(p_4_out_carry__1_n_0), - .CO({p_4_out_carry__2_n_0,p_4_out_carry__2_n_1,p_4_out_carry__2_n_2,p_4_out_carry__2_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__2_i_1__1_n_0,p_4_out_carry__2_i_2__1_n_0,p_4_out_carry__2_i_3__1_n_0,p_4_out_carry__2_i_4__1_n_0}), - .O(p_4_out[15:12]), - .S({p_4_out_carry__2_i_5__1_n_0,p_4_out_carry__2_i_6__1_n_0,p_4_out_carry__2_i_7__1_n_0,p_4_out_carry__2_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair85" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__2_i_10__0 - (.I0(\intreg_reg[1][39]_0 [14]), - .I1(Q[14]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [12])); - (* SOFT_HLUTNM = "soft_lutpair85" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__2_i_11__0 - (.I0(\intreg_reg[1][39]_0 [13]), - .I1(Q[13]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [11])); - (* SOFT_HLUTNM = "soft_lutpair84" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__2_i_12__0 - (.I0(\intreg_reg[1][39]_0 [12]), - .I1(Q[12]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [10])); - (* HLUTNM = "lutpair17" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__2_i_1__1 - (.I0(x_mul2_out[14]), - .I1(cx2[3]), - .I2(y_mul__1__0[35]), - .O(p_4_out_carry__2_i_1__1_n_0)); - (* HLUTNM = "lutpair16" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__2_i_2__1 - (.I0(x_mul2_out[13]), - .I1(cx2[3]), - .I2(y_mul__1__0[34]), - .O(p_4_out_carry__2_i_2__1_n_0)); - (* HLUTNM = "lutpair15" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__2_i_3__1 - (.I0(x_mul2_out[12]), - .I1(cx2[3]), - .I2(y_mul__1__0[33]), - .O(p_4_out_carry__2_i_3__1_n_0)); - (* HLUTNM = "lutpair14" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__2_i_4__1 - (.I0(x_mul2_out[11]), - .I1(cx2[3]), - .I2(y_mul__0_n_90), - .O(p_4_out_carry__2_i_4__1_n_0)); - (* HLUTNM = "lutpair18" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__2_i_5__1 - (.I0(x_mul2_out[15]), - .I1(cx2[3]), - .I2(y_mul__1__0[36]), - .I3(p_4_out_carry__2_i_1__1_n_0), - .O(p_4_out_carry__2_i_5__1_n_0)); - (* HLUTNM = "lutpair17" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__2_i_6__1 - (.I0(x_mul2_out[14]), - .I1(cx2[3]), - .I2(y_mul__1__0[35]), - .I3(p_4_out_carry__2_i_2__1_n_0), - .O(p_4_out_carry__2_i_6__1_n_0)); - (* HLUTNM = "lutpair16" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__2_i_7__1 - (.I0(x_mul2_out[13]), - .I1(cx2[3]), - .I2(y_mul__1__0[34]), - .I3(p_4_out_carry__2_i_3__1_n_0), - .O(p_4_out_carry__2_i_7__1_n_0)); - (* HLUTNM = "lutpair15" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__2_i_8__1 - (.I0(x_mul2_out[12]), - .I1(cx2[3]), - .I2(y_mul__1__0[33]), - .I3(p_4_out_carry__2_i_4__1_n_0), - .O(p_4_out_carry__2_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair86" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__2_i_9__0 - (.I0(\intreg_reg[1][39]_0 [15]), - .I1(Q[15]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [13])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__3 - (.CI(p_4_out_carry__2_n_0), - .CO({p_4_out_carry__3_n_0,p_4_out_carry__3_n_1,p_4_out_carry__3_n_2,p_4_out_carry__3_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__3_i_1__1_n_0,p_4_out_carry__3_i_2__1_n_0,p_4_out_carry__3_i_3__1_n_0,p_4_out_carry__3_i_4__1_n_0}), - .O(p_4_out[19:16]), - .S({p_4_out_carry__3_i_5__1_n_0,p_4_out_carry__3_i_6__1_n_0,p_4_out_carry__3_i_7__1_n_0,p_4_out_carry__3_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair87" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__3_i_10__0 - (.I0(\intreg_reg[1][39]_0 [18]), - .I1(Q[18]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [16])); - (* SOFT_HLUTNM = "soft_lutpair87" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__3_i_11__0 - (.I0(\intreg_reg[1][39]_0 [17]), - .I1(Q[17]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [15])); - (* SOFT_HLUTNM = "soft_lutpair86" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__3_i_12__0 - (.I0(\intreg_reg[1][39]_0 [16]), - .I1(Q[16]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [14])); - (* HLUTNM = "lutpair21" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__3_i_1__1 - (.I0(x_mul2_out[18]), - .I1(cx2[3]), - .I2(y_mul__1__0[39]), - .O(p_4_out_carry__3_i_1__1_n_0)); - (* HLUTNM = "lutpair20" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__3_i_2__1 - (.I0(x_mul2_out[17]), - .I1(cx2[3]), - .I2(y_mul__1__0[38]), - .O(p_4_out_carry__3_i_2__1_n_0)); - (* HLUTNM = "lutpair19" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__3_i_3__1 - (.I0(x_mul2_out[16]), - .I1(cx2[3]), - .I2(y_mul__1__0[37]), - .O(p_4_out_carry__3_i_3__1_n_0)); - (* HLUTNM = "lutpair18" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__3_i_4__1 - (.I0(x_mul2_out[15]), - .I1(cx2[3]), - .I2(y_mul__1__0[36]), - .O(p_4_out_carry__3_i_4__1_n_0)); - (* HLUTNM = "lutpair22" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__3_i_5__1 - (.I0(x_mul2_out[19]), - .I1(cx2[3]), - .I2(y_mul__1__0[40]), - .I3(p_4_out_carry__3_i_1__1_n_0), - .O(p_4_out_carry__3_i_5__1_n_0)); - (* HLUTNM = "lutpair21" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__3_i_6__1 - (.I0(x_mul2_out[18]), - .I1(cx2[3]), - .I2(y_mul__1__0[39]), - .I3(p_4_out_carry__3_i_2__1_n_0), - .O(p_4_out_carry__3_i_6__1_n_0)); - (* HLUTNM = "lutpair20" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__3_i_7__1 - (.I0(x_mul2_out[17]), - .I1(cx2[3]), - .I2(y_mul__1__0[38]), - .I3(p_4_out_carry__3_i_3__1_n_0), - .O(p_4_out_carry__3_i_7__1_n_0)); - (* HLUTNM = "lutpair19" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__3_i_8__1 - (.I0(x_mul2_out[16]), - .I1(cx2[3]), - .I2(y_mul__1__0[37]), - .I3(p_4_out_carry__3_i_4__1_n_0), - .O(p_4_out_carry__3_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair88" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__3_i_9__0 - (.I0(\intreg_reg[1][39]_0 [19]), - .I1(Q[19]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [17])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__4 - (.CI(p_4_out_carry__3_n_0), - .CO({p_4_out_carry__4_n_0,p_4_out_carry__4_n_1,p_4_out_carry__4_n_2,p_4_out_carry__4_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__4_i_1__1_n_0,p_4_out_carry__4_i_2__1_n_0,p_4_out_carry__4_i_3__1_n_0,p_4_out_carry__4_i_4__1_n_0}), - .O(p_4_out[23:20]), - .S({p_4_out_carry__4_i_5__1_n_0,p_4_out_carry__4_i_6__1_n_0,p_4_out_carry__4_i_7__1_n_0,p_4_out_carry__4_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair89" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__4_i_10__0 - (.I0(\intreg_reg[1][39]_0 [22]), - .I1(Q[22]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [20])); - (* SOFT_HLUTNM = "soft_lutpair89" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__4_i_11__0 - (.I0(\intreg_reg[1][39]_0 [21]), - .I1(Q[21]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [19])); - (* SOFT_HLUTNM = "soft_lutpair88" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__4_i_12__0 - (.I0(\intreg_reg[1][39]_0 [20]), - .I1(Q[20]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [18])); - (* HLUTNM = "lutpair25" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__4_i_1__1 - (.I0(x_mul2_out[22]), - .I1(cx2[3]), - .I2(y_mul__1__0[43]), - .O(p_4_out_carry__4_i_1__1_n_0)); - (* HLUTNM = "lutpair24" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__4_i_2__1 - (.I0(x_mul2_out[21]), - .I1(cx2[3]), - .I2(y_mul__1__0[42]), - .O(p_4_out_carry__4_i_2__1_n_0)); - (* HLUTNM = "lutpair23" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__4_i_3__1 - (.I0(x_mul2_out[20]), - .I1(cx2[3]), - .I2(y_mul__1__0[41]), - .O(p_4_out_carry__4_i_3__1_n_0)); - (* HLUTNM = "lutpair22" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__4_i_4__1 - (.I0(x_mul2_out[19]), - .I1(cx2[3]), - .I2(y_mul__1__0[40]), - .O(p_4_out_carry__4_i_4__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__4_i_5__1 - (.I0(x_mul2_out[23]), - .I1(cx2[3]), - .I2(y_mul__1__0[44]), - .I3(p_4_out_carry__4_i_1__1_n_0), - .O(p_4_out_carry__4_i_5__1_n_0)); - (* HLUTNM = "lutpair25" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__4_i_6__1 - (.I0(x_mul2_out[22]), - .I1(cx2[3]), - .I2(y_mul__1__0[43]), - .I3(p_4_out_carry__4_i_2__1_n_0), - .O(p_4_out_carry__4_i_6__1_n_0)); - (* HLUTNM = "lutpair24" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__4_i_7__1 - (.I0(x_mul2_out[21]), - .I1(cx2[3]), - .I2(y_mul__1__0[42]), - .I3(p_4_out_carry__4_i_3__1_n_0), - .O(p_4_out_carry__4_i_7__1_n_0)); - (* HLUTNM = "lutpair23" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__4_i_8__1 - (.I0(x_mul2_out[20]), - .I1(cx2[3]), - .I2(y_mul__1__0[41]), - .I3(p_4_out_carry__4_i_4__1_n_0), - .O(p_4_out_carry__4_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair90" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__4_i_9__0 - (.I0(\intreg_reg[1][39]_0 [23]), - .I1(Q[23]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [21])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__5 - (.CI(p_4_out_carry__4_n_0), - .CO({p_4_out_carry__5_n_0,p_4_out_carry__5_n_1,p_4_out_carry__5_n_2,p_4_out_carry__5_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__5_i_1__1_n_0,p_4_out_carry__5_i_2__1_n_0,p_4_out_carry__5_i_3__1_n_0,p_4_out_carry__5_i_4__1_n_0}), - .O(p_4_out[27:24]), - .S({p_4_out_carry__5_i_5__1_n_0,p_4_out_carry__5_i_6__1_n_0,p_4_out_carry__5_i_7__1_n_0,p_4_out_carry__5_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair91" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__5_i_10__0 - (.I0(\intreg_reg[1][39]_0 [26]), - .I1(Q[26]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [24])); - (* SOFT_HLUTNM = "soft_lutpair91" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__5_i_11__0 - (.I0(\intreg_reg[1][39]_0 [25]), - .I1(Q[25]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [23])); - (* SOFT_HLUTNM = "soft_lutpair90" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__5_i_12__0 - (.I0(\intreg_reg[1][39]_0 [24]), - .I1(Q[24]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [22])); - (* HLUTNM = "lutpair26" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__5_i_1__1 - (.I0(x_mul2_out[26]), - .I1(cx2[3]), - .I2(y_mul__1__0[47]), - .O(p_4_out_carry__5_i_1__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__5_i_2__1 - (.I0(x_mul2_out[25]), - .I1(cx2[3]), - .I2(y_mul__1__0[46]), - .O(p_4_out_carry__5_i_2__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__5_i_3__1 - (.I0(x_mul2_out[24]), - .I1(cx2[3]), - .I2(y_mul__1__0[45]), - .O(p_4_out_carry__5_i_3__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__5_i_4__1 - (.I0(x_mul2_out[23]), - .I1(cx2[3]), - .I2(y_mul__1__0[44]), - .O(p_4_out_carry__5_i_4__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__5_i_5__1 - (.I0(x_mul2_out[27]), - .I1(cx2[3]), - .I2(y_mul__1__0[48]), - .I3(p_4_out_carry__5_i_1__1_n_0), - .O(p_4_out_carry__5_i_5__1_n_0)); - (* HLUTNM = "lutpair26" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__5_i_6__1 - (.I0(x_mul2_out[26]), - .I1(cx2[3]), - .I2(y_mul__1__0[47]), - .I3(p_4_out_carry__5_i_2__1_n_0), - .O(p_4_out_carry__5_i_6__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__5_i_7__1 - (.I0(x_mul2_out[25]), - .I1(cx2[3]), - .I2(y_mul__1__0[46]), - .I3(p_4_out_carry__5_i_3__1_n_0), - .O(p_4_out_carry__5_i_7__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__5_i_8__1 - (.I0(x_mul2_out[24]), - .I1(cx2[3]), - .I2(y_mul__1__0[45]), - .I3(p_4_out_carry__5_i_4__1_n_0), - .O(p_4_out_carry__5_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair92" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__5_i_9__0 - (.I0(\intreg_reg[1][39]_0 [27]), - .I1(Q[27]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [25])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__6 - (.CI(p_4_out_carry__5_n_0), - .CO({p_4_out_carry__6_n_0,p_4_out_carry__6_n_1,p_4_out_carry__6_n_2,p_4_out_carry__6_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__6_i_1__1_n_0,p_4_out_carry__6_i_2__1_n_0,p_4_out_carry__6_i_3__1_n_0,p_4_out_carry__6_i_4__1_n_0}), - .O(p_4_out[31:28]), - .S({p_4_out_carry__6_i_5__1_n_0,p_4_out_carry__6_i_6__1_n_0,p_4_out_carry__6_i_7__1_n_0,p_4_out_carry__6_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair93" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__6_i_10__0 - (.I0(\intreg_reg[1][39]_0 [30]), - .I1(Q[30]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [28])); - (* SOFT_HLUTNM = "soft_lutpair93" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__6_i_11__0 - (.I0(\intreg_reg[1][39]_0 [29]), - .I1(Q[29]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [27])); - (* SOFT_HLUTNM = "soft_lutpair92" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__6_i_12__0 - (.I0(\intreg_reg[1][39]_0 [28]), - .I1(Q[28]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [26])); - (* HLUTNM = "lutpair27" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__6_i_1__1 - (.I0(x_mul2_out[30]), - .I1(cx2[3]), - .I2(y_mul__1__0[51]), - .O(p_4_out_carry__6_i_1__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__6_i_2__1 - (.I0(x_mul2_out[29]), - .I1(cx2[3]), - .I2(y_mul__1__0[50]), - .O(p_4_out_carry__6_i_2__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__6_i_3__1 - (.I0(x_mul2_out[28]), - .I1(cx2[3]), - .I2(y_mul__1__0[49]), - .O(p_4_out_carry__6_i_3__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__6_i_4__1 - (.I0(x_mul2_out[27]), - .I1(cx2[3]), - .I2(y_mul__1__0[48]), - .O(p_4_out_carry__6_i_4__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__6_i_5__1 - (.I0(x_mul2_out[31]), - .I1(cx2[3]), - .I2(y_mul__1__0[52]), - .I3(p_4_out_carry__6_i_1__1_n_0), - .O(p_4_out_carry__6_i_5__1_n_0)); - (* HLUTNM = "lutpair27" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__6_i_6__1 - (.I0(x_mul2_out[30]), - .I1(cx2[3]), - .I2(y_mul__1__0[51]), - .I3(p_4_out_carry__6_i_2__1_n_0), - .O(p_4_out_carry__6_i_6__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__6_i_7__1 - (.I0(x_mul2_out[29]), - .I1(cx2[3]), - .I2(y_mul__1__0[50]), - .I3(p_4_out_carry__6_i_3__1_n_0), - .O(p_4_out_carry__6_i_7__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__6_i_8__1 - (.I0(x_mul2_out[28]), - .I1(cx2[3]), - .I2(y_mul__1__0[49]), - .I3(p_4_out_carry__6_i_4__1_n_0), - .O(p_4_out_carry__6_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair94" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__6_i_9__0 - (.I0(\intreg_reg[1][39]_0 [31]), - .I1(Q[31]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [29])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__7 - (.CI(p_4_out_carry__6_n_0), - .CO({p_4_out_carry__7_n_0,p_4_out_carry__7_n_1,p_4_out_carry__7_n_2,p_4_out_carry__7_n_3}), - .CYINIT(1'b0), - .DI({p_4_out_carry__7_i_1__1_n_0,p_4_out_carry__7_i_2__1_n_0,p_4_out_carry__7_i_3__1_n_0,p_4_out_carry__7_i_4__1_n_0}), - .O(p_4_out[35:32]), - .S({p_4_out_carry__7_i_5__1_n_0,p_4_out_carry__7_i_6__1_n_0,p_4_out_carry__7_i_7__1_n_0,p_4_out_carry__7_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair95" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__7_i_10__0 - (.I0(\intreg_reg[1][39]_0 [34]), - .I1(Q[34]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [32])); - (* SOFT_HLUTNM = "soft_lutpair95" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__7_i_11__0 - (.I0(\intreg_reg[1][39]_0 [33]), - .I1(Q[33]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [31])); - (* SOFT_HLUTNM = "soft_lutpair94" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__7_i_12__0 - (.I0(\intreg_reg[1][39]_0 [32]), - .I1(Q[32]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [30])); - (* HLUTNM = "lutpair28" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__7_i_1__1 - (.I0(x_mul2_out[34]), - .I1(cx2[3]), - .I2(y_mul__1__0[55]), - .O(p_4_out_carry__7_i_1__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__7_i_2__1 - (.I0(x_mul2_out[33]), - .I1(cx2[3]), - .I2(y_mul__1__0[54]), - .O(p_4_out_carry__7_i_2__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__7_i_3__1 - (.I0(x_mul2_out[32]), - .I1(cx2[3]), - .I2(y_mul__1__0[53]), - .O(p_4_out_carry__7_i_3__1_n_0)); - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__7_i_4__1 - (.I0(x_mul2_out[31]), - .I1(cx2[3]), - .I2(y_mul__1__0[52]), - .O(p_4_out_carry__7_i_4__1_n_0)); - (* HLUTNM = "lutpair29" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__7_i_5__1 - (.I0(x_mul2_out[35]), - .I1(cx2[3]), - .I2(y_mul__1__0[56]), - .I3(p_4_out_carry__7_i_1__1_n_0), - .O(p_4_out_carry__7_i_5__1_n_0)); - (* HLUTNM = "lutpair28" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__7_i_6__1 - (.I0(x_mul2_out[34]), - .I1(cx2[3]), - .I2(y_mul__1__0[55]), - .I3(p_4_out_carry__7_i_2__1_n_0), - .O(p_4_out_carry__7_i_6__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__7_i_7__1 - (.I0(x_mul2_out[33]), - .I1(cx2[3]), - .I2(y_mul__1__0[54]), - .I3(p_4_out_carry__7_i_3__1_n_0), - .O(p_4_out_carry__7_i_7__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__7_i_8__1 - (.I0(x_mul2_out[32]), - .I1(cx2[3]), - .I2(y_mul__1__0[53]), - .I3(p_4_out_carry__7_i_4__1_n_0), - .O(p_4_out_carry__7_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair96" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__7_i_9__0 - (.I0(\intreg_reg[1][39]_0 [35]), - .I1(Q[35]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [33])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 p_4_out_carry__8 - (.CI(p_4_out_carry__7_n_0), - .CO({NLW_p_4_out_carry__8_CO_UNCONNECTED[3],p_4_out_carry__8_n_1,p_4_out_carry__8_n_2,p_4_out_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,p_4_out_carry__8_i_1__1_n_0,p_4_out_carry__8_i_2__1_n_0,p_4_out_carry__8_i_3__1_n_0}), - .O(p_4_out[39:36]), - .S({p_4_out_carry__8_i_4__1_n_0,p_4_out_carry__8_i_5__1_n_0,p_4_out_carry__8_i_6__1_n_0,p_4_out_carry__8_i_7__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair97" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__8_i_10__0 - (.I0(\intreg_reg[1][39]_0 [37]), - .I1(Q[37]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [35])); - (* SOFT_HLUTNM = "soft_lutpair96" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__8_i_11__0 - (.I0(\intreg_reg[1][39]_0 [36]), - .I1(Q[36]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [34])); - (* HLUTNM = "lutpair31" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__8_i_1__1 - (.I0(x_mul2_out[37]), - .I1(cx2[3]), - .I2(y_mul__1__0[58]), - .O(p_4_out_carry__8_i_1__1_n_0)); - (* HLUTNM = "lutpair30" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__8_i_2__1 - (.I0(x_mul2_out[36]), - .I1(cx2[3]), - .I2(y_mul__1__0[57]), - .O(p_4_out_carry__8_i_2__1_n_0)); - (* HLUTNM = "lutpair29" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry__8_i_3__1 - (.I0(x_mul2_out[35]), - .I1(cx2[3]), - .I2(y_mul__1__0[56]), - .O(p_4_out_carry__8_i_3__1_n_0)); - LUT5 #( - .INIT(32'h1ED2E12D)) - p_4_out_carry__8_i_4__1 - (.I0(x_mul2_out[38]), - .I1(y_mul__1__0[59]), - .I2(y_mul__1__0[60]), - .I3(cx2[3]), - .I4(x_mul2_out[39]), - .O(p_4_out_carry__8_i_4__1_n_0)); - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__8_i_5__1 - (.I0(p_4_out_carry__8_i_1__1_n_0), - .I1(y_mul__1__0[59]), - .I2(cx2[3]), - .I3(x_mul2_out[38]), - .O(p_4_out_carry__8_i_5__1_n_0)); - (* HLUTNM = "lutpair31" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__8_i_6__1 - (.I0(x_mul2_out[37]), - .I1(cx2[3]), - .I2(y_mul__1__0[58]), - .I3(p_4_out_carry__8_i_2__1_n_0), - .O(p_4_out_carry__8_i_6__1_n_0)); - (* HLUTNM = "lutpair30" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry__8_i_7__1 - (.I0(x_mul2_out[36]), - .I1(cx2[3]), - .I2(y_mul__1__0[57]), - .I3(p_4_out_carry__8_i_3__1_n_0), - .O(p_4_out_carry__8_i_7__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair97" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry__8_i_8__0 - (.I0(\intreg_reg[1]_5 ), - .I1(\intreg_reg[0]_4 ), - .I2(ch), - .O(\intreg_reg[1][38]_0 [36])); - (* SOFT_HLUTNM = "soft_lutpair79" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry_i_10__0 - (.I0(\intreg_reg[1][39]_0 [2]), - .I1(Q[2]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [0])); - (* SOFT_HLUTNM = "soft_lutpair79" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry_i_11__0 - (.I0(\intreg_reg[1][39]_0 [1]), - .I1(Q[1]), - .I2(ch), - .O(tap2)); - (* HLUTNM = "lutpair5" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry_i_1__1 - (.I0(x_mul2_out[2]), - .I1(cx2[3]), - .I2(y_mul__0_n_99), - .O(p_4_out_carry_i_1__1_n_0)); - (* HLUTNM = "lutpair4" *) - LUT3 #( - .INIT(8'h06)) - p_4_out_carry_i_2__1 - (.I0(x_mul2_out[1]), - .I1(cx2[3]), - .I2(y_mul__0_n_100), - .O(p_4_out_carry_i_2__1_n_0)); - (* HLUTNM = "lutpair3" *) - LUT1 #( - .INIT(2'h1)) - p_4_out_carry_i_3 - (.I0(y_mul__0_n_101), - .O(p_4_out_carry_i_3_n_0)); - LUT4 #( - .INIT(16'hE4FF)) - p_4_out_carry_i_3__1 - (.I0(ch), - .I1(Q[0]), - .I2(\intreg_reg[1][39]_0 [0]), - .I3(P[0]), - .O(DI[1])); - LUT4 #( - .INIT(16'h665A)) - p_4_out_carry_i_4__0 - (.I0(P[0]), - .I1(\intreg_reg[1][39]_0 [0]), - .I2(Q[0]), - .I3(ch), - .O(DI[0])); - (* HLUTNM = "lutpair6" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry_i_4__1 - (.I0(x_mul2_out[3]), - .I1(cx2[3]), - .I2(y_mul__0_n_98), - .I3(p_4_out_carry_i_1__1_n_0), - .O(p_4_out_carry_i_4__1_n_0)); - (* HLUTNM = "lutpair5" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry_i_5__1 - (.I0(x_mul2_out[2]), - .I1(cx2[3]), - .I2(y_mul__0_n_99), - .I3(p_4_out_carry_i_2__1_n_0), - .O(p_4_out_carry_i_5__1_n_0)); - (* HLUTNM = "lutpair4" *) - LUT4 #( - .INIT(16'h9669)) - p_4_out_carry_i_6__1 - (.I0(x_mul2_out[1]), - .I1(cx2[3]), - .I2(y_mul__0_n_100), - .I3(p_4_out_carry_i_3_n_0), - .O(p_4_out_carry_i_6__1_n_0)); - LUT5 #( - .INIT(32'h69969669)) - p_4_out_carry_i_7__0 - (.I0(DI[1]), - .I1(tap2), - .I2(P[1]), - .I3(cx1), - .I4(O), - .O(S)); - (* HLUTNM = "lutpair3" *) - LUT3 #( - .INIT(8'h96)) - p_4_out_carry_i_7__1 - (.I0(y_mul__0_n_101), - .I1(x_mul2_out[0]), - .I2(cx2[3]), - .O(p_4_out_carry_i_7__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair80" *) - LUT3 #( - .INIT(8'hAC)) - p_4_out_carry_i_9__0 - (.I0(\intreg_reg[1][39]_0 [3]), - .I1(Q[3]), - .I2(ch), - .O(\intreg_reg[1][38]_0 [1])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry - (.CI(1'b0), - .CO({x_mul1__0_carry_n_0,x_mul1__0_carry_n_1,x_mul1__0_carry_n_2,x_mul1__0_carry_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry_i_1__1_n_0,x_mul1__0_carry_i_2__1_n_0,x_mul1__0_carry_i_3__1_n_0,1'b0}), - .O(x_mul2_out[3:0]), - .S({x_mul1__0_carry_i_4__1_n_0,x_mul1__0_carry_i_5__1_n_0,x_mul1__0_carry_i_6__1_n_0,x_mul1__0_carry_i_7__1_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__0 - (.CI(x_mul1__0_carry_n_0), - .CO({x_mul1__0_carry__0_n_0,x_mul1__0_carry__0_n_1,x_mul1__0_carry__0_n_2,x_mul1__0_carry__0_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__0_i_1__1_n_0,x_mul1__0_carry__0_i_2__1_n_0,x_mul1__0_carry__0_i_3__1_n_0,x_mul1__0_carry__0_i_4__1_n_0}), - .O(x_mul2_out[7:4]), - .S({x_mul1__0_carry__0_i_5__1_n_0,x_mul1__0_carry__0_i_6__1_n_0,x_mul1__0_carry__0_i_7__1_n_0,x_mul1__0_carry__0_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair110" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_10 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[7]), - .O(x_mul1__0_carry__0_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair110" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_11 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[6]), - .O(x_mul1__0_carry__0_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair111" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_12 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[5]), - .O(x_mul1__0_carry__0_i_12_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_1__1 - (.I0(x_mul1__0_carry__7_0[7]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[6]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[8]), - .I5(cx2[0]), - .O(x_mul1__0_carry__0_i_1__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_2__1 - (.I0(x_mul1__0_carry__7_0[6]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[5]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[7]), - .I5(cx2[0]), - .O(x_mul1__0_carry__0_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_3__1 - (.I0(x_mul1__0_carry__7_0[5]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[4]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[6]), - .I5(cx2[0]), - .O(x_mul1__0_carry__0_i_3__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__0_i_4__1 - (.I0(x_mul1__0_carry__7_0[4]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[3]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[5]), - .I5(cx2[0]), - .O(x_mul1__0_carry__0_i_4__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_5__1 - (.I0(x_mul1__0_carry__0_i_1__1_n_0), - .I1(x_mul1__0_carry__7_0[7]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__0_i_9_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[9]), - .O(x_mul1__0_carry__0_i_5__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_6__1 - (.I0(x_mul1__0_carry__0_i_2__1_n_0), - .I1(x_mul1__0_carry__7_0[6]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__0_i_10_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[8]), - .O(x_mul1__0_carry__0_i_6__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_7__1 - (.I0(x_mul1__0_carry__0_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[5]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__0_i_11_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[7]), - .O(x_mul1__0_carry__0_i_7__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__0_i_8__1 - (.I0(x_mul1__0_carry__0_i_4__1_n_0), - .I1(x_mul1__0_carry__7_0[4]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__0_i_12_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[6]), - .O(x_mul1__0_carry__0_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair109" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__0_i_9 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[8]), - .O(x_mul1__0_carry__0_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__1 - (.CI(x_mul1__0_carry__0_n_0), - .CO({x_mul1__0_carry__1_n_0,x_mul1__0_carry__1_n_1,x_mul1__0_carry__1_n_2,x_mul1__0_carry__1_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__1_i_1__1_n_0,x_mul1__0_carry__1_i_2__1_n_0,x_mul1__0_carry__1_i_3__1_n_0,x_mul1__0_carry__1_i_4__1_n_0}), - .O(x_mul2_out[11:8]), - .S({x_mul1__0_carry__1_i_5__1_n_0,x_mul1__0_carry__1_i_6__1_n_0,x_mul1__0_carry__1_i_7__1_n_0,x_mul1__0_carry__1_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair108" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_10 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[11]), - .O(x_mul1__0_carry__1_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair108" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_11 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[10]), - .O(x_mul1__0_carry__1_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair109" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_12 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[9]), - .O(x_mul1__0_carry__1_i_12_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_1__1 - (.I0(x_mul1__0_carry__7_0[11]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[10]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[12]), - .I5(cx2[0]), - .O(x_mul1__0_carry__1_i_1__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_2__1 - (.I0(x_mul1__0_carry__7_0[10]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[9]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[11]), - .I5(cx2[0]), - .O(x_mul1__0_carry__1_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_3__1 - (.I0(x_mul1__0_carry__7_0[9]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[8]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[10]), - .I5(cx2[0]), - .O(x_mul1__0_carry__1_i_3__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__1_i_4__1 - (.I0(x_mul1__0_carry__7_0[8]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[7]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[9]), - .I5(cx2[0]), - .O(x_mul1__0_carry__1_i_4__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_5__1 - (.I0(x_mul1__0_carry__1_i_1__1_n_0), - .I1(x_mul1__0_carry__7_0[11]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__1_i_9_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[13]), - .O(x_mul1__0_carry__1_i_5__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_6__1 - (.I0(x_mul1__0_carry__1_i_2__1_n_0), - .I1(x_mul1__0_carry__7_0[10]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__1_i_10_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[12]), - .O(x_mul1__0_carry__1_i_6__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_7__1 - (.I0(x_mul1__0_carry__1_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[9]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__1_i_11_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[11]), - .O(x_mul1__0_carry__1_i_7__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__1_i_8__1 - (.I0(x_mul1__0_carry__1_i_4__1_n_0), - .I1(x_mul1__0_carry__7_0[8]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__1_i_12_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[10]), - .O(x_mul1__0_carry__1_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair107" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__1_i_9 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[12]), - .O(x_mul1__0_carry__1_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__2 - (.CI(x_mul1__0_carry__1_n_0), - .CO({x_mul1__0_carry__2_n_0,x_mul1__0_carry__2_n_1,x_mul1__0_carry__2_n_2,x_mul1__0_carry__2_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__2_i_1__1_n_0,x_mul1__0_carry__2_i_2__1_n_0,x_mul1__0_carry__2_i_3__1_n_0,x_mul1__0_carry__2_i_4__1_n_0}), - .O(x_mul2_out[15:12]), - .S({x_mul1__0_carry__2_i_5__1_n_0,x_mul1__0_carry__2_i_6__1_n_0,x_mul1__0_carry__2_i_7__1_n_0,x_mul1__0_carry__2_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair106" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_10 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[15]), - .O(x_mul1__0_carry__2_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair106" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_11 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[14]), - .O(x_mul1__0_carry__2_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair107" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_12 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[13]), - .O(x_mul1__0_carry__2_i_12_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_1__1 - (.I0(x_mul1__0_carry__7_0[15]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[14]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[16]), - .I5(cx2[0]), - .O(x_mul1__0_carry__2_i_1__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_2__1 - (.I0(x_mul1__0_carry__7_0[14]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[13]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[15]), - .I5(cx2[0]), - .O(x_mul1__0_carry__2_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_3__1 - (.I0(x_mul1__0_carry__7_0[13]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[12]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[14]), - .I5(cx2[0]), - .O(x_mul1__0_carry__2_i_3__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__2_i_4__1 - (.I0(x_mul1__0_carry__7_0[12]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[11]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[13]), - .I5(cx2[0]), - .O(x_mul1__0_carry__2_i_4__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_5__1 - (.I0(x_mul1__0_carry__2_i_1__1_n_0), - .I1(x_mul1__0_carry__7_0[15]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__2_i_9_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[17]), - .O(x_mul1__0_carry__2_i_5__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_6__1 - (.I0(x_mul1__0_carry__2_i_2__1_n_0), - .I1(x_mul1__0_carry__7_0[14]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__2_i_10_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[16]), - .O(x_mul1__0_carry__2_i_6__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_7__1 - (.I0(x_mul1__0_carry__2_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[13]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__2_i_11_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[15]), - .O(x_mul1__0_carry__2_i_7__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__2_i_8__1 - (.I0(x_mul1__0_carry__2_i_4__1_n_0), - .I1(x_mul1__0_carry__7_0[12]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__2_i_12_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[14]), - .O(x_mul1__0_carry__2_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair105" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__2_i_9 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[16]), - .O(x_mul1__0_carry__2_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__3 - (.CI(x_mul1__0_carry__2_n_0), - .CO({x_mul1__0_carry__3_n_0,x_mul1__0_carry__3_n_1,x_mul1__0_carry__3_n_2,x_mul1__0_carry__3_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__3_i_1__1_n_0,x_mul1__0_carry__3_i_2__1_n_0,x_mul1__0_carry__3_i_3__1_n_0,x_mul1__0_carry__3_i_4__1_n_0}), - .O(x_mul2_out[19:16]), - .S({x_mul1__0_carry__3_i_5__1_n_0,x_mul1__0_carry__3_i_6__1_n_0,x_mul1__0_carry__3_i_7__1_n_0,x_mul1__0_carry__3_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair104" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_10 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[19]), - .O(x_mul1__0_carry__3_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair104" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_11 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[18]), - .O(x_mul1__0_carry__3_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair105" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_12 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[17]), - .O(x_mul1__0_carry__3_i_12_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_1__1 - (.I0(x_mul1__0_carry__7_0[19]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[18]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[20]), - .I5(cx2[0]), - .O(x_mul1__0_carry__3_i_1__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_2__1 - (.I0(x_mul1__0_carry__7_0[18]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[17]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[19]), - .I5(cx2[0]), - .O(x_mul1__0_carry__3_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_3__1 - (.I0(x_mul1__0_carry__7_0[17]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[16]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[18]), - .I5(cx2[0]), - .O(x_mul1__0_carry__3_i_3__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__3_i_4__1 - (.I0(x_mul1__0_carry__7_0[16]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[15]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[17]), - .I5(cx2[0]), - .O(x_mul1__0_carry__3_i_4__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_5__1 - (.I0(x_mul1__0_carry__3_i_1__1_n_0), - .I1(x_mul1__0_carry__7_0[19]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__3_i_9_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[21]), - .O(x_mul1__0_carry__3_i_5__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_6__1 - (.I0(x_mul1__0_carry__3_i_2__1_n_0), - .I1(x_mul1__0_carry__7_0[18]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__3_i_10_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[20]), - .O(x_mul1__0_carry__3_i_6__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_7__1 - (.I0(x_mul1__0_carry__3_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[17]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__3_i_11_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[19]), - .O(x_mul1__0_carry__3_i_7__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__3_i_8__1 - (.I0(x_mul1__0_carry__3_i_4__1_n_0), - .I1(x_mul1__0_carry__7_0[16]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__3_i_12_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[18]), - .O(x_mul1__0_carry__3_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair103" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__3_i_9 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[20]), - .O(x_mul1__0_carry__3_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__4 - (.CI(x_mul1__0_carry__3_n_0), - .CO({x_mul1__0_carry__4_n_0,x_mul1__0_carry__4_n_1,x_mul1__0_carry__4_n_2,x_mul1__0_carry__4_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__4_i_1__1_n_0,x_mul1__0_carry__4_i_2__1_n_0,x_mul1__0_carry__4_i_3__1_n_0,x_mul1__0_carry__4_i_4__1_n_0}), - .O(x_mul2_out[23:20]), - .S({x_mul1__0_carry__4_i_5__1_n_0,x_mul1__0_carry__4_i_6__1_n_0,x_mul1__0_carry__4_i_7__1_n_0,x_mul1__0_carry__4_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair102" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_10 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[23]), - .O(x_mul1__0_carry__4_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair102" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_11 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[22]), - .O(x_mul1__0_carry__4_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair103" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_12 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[21]), - .O(x_mul1__0_carry__4_i_12_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_1__1 - (.I0(x_mul1__0_carry__7_0[23]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[22]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[24]), - .I5(cx2[0]), - .O(x_mul1__0_carry__4_i_1__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_2__1 - (.I0(x_mul1__0_carry__7_0[22]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[21]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[23]), - .I5(cx2[0]), - .O(x_mul1__0_carry__4_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_3__1 - (.I0(x_mul1__0_carry__7_0[21]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[20]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[22]), - .I5(cx2[0]), - .O(x_mul1__0_carry__4_i_3__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__4_i_4__1 - (.I0(x_mul1__0_carry__7_0[20]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[19]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[21]), - .I5(cx2[0]), - .O(x_mul1__0_carry__4_i_4__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_5__1 - (.I0(x_mul1__0_carry__4_i_1__1_n_0), - .I1(x_mul1__0_carry__7_0[23]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__4_i_9_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[25]), - .O(x_mul1__0_carry__4_i_5__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_6__1 - (.I0(x_mul1__0_carry__4_i_2__1_n_0), - .I1(x_mul1__0_carry__7_0[22]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__4_i_10_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[24]), - .O(x_mul1__0_carry__4_i_6__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_7__1 - (.I0(x_mul1__0_carry__4_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[21]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__4_i_11_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[23]), - .O(x_mul1__0_carry__4_i_7__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__4_i_8__1 - (.I0(x_mul1__0_carry__4_i_4__1_n_0), - .I1(x_mul1__0_carry__7_0[20]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__4_i_12_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[22]), - .O(x_mul1__0_carry__4_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair101" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__4_i_9 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[24]), - .O(x_mul1__0_carry__4_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__5 - (.CI(x_mul1__0_carry__4_n_0), - .CO({x_mul1__0_carry__5_n_0,x_mul1__0_carry__5_n_1,x_mul1__0_carry__5_n_2,x_mul1__0_carry__5_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__5_i_1__1_n_0,x_mul1__0_carry__5_i_2__1_n_0,x_mul1__0_carry__5_i_3__1_n_0,x_mul1__0_carry__5_i_4__1_n_0}), - .O(x_mul2_out[27:24]), - .S({x_mul1__0_carry__5_i_5__1_n_0,x_mul1__0_carry__5_i_6__1_n_0,x_mul1__0_carry__5_i_7__1_n_0,x_mul1__0_carry__5_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair100" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_10 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[27]), - .O(x_mul1__0_carry__5_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair100" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_11 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[26]), - .O(x_mul1__0_carry__5_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair101" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_12 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[25]), - .O(x_mul1__0_carry__5_i_12_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_1__1 - (.I0(x_mul1__0_carry__7_0[27]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[26]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[28]), - .I5(cx2[0]), - .O(x_mul1__0_carry__5_i_1__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_2__1 - (.I0(x_mul1__0_carry__7_0[26]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[25]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[27]), - .I5(cx2[0]), - .O(x_mul1__0_carry__5_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_3__1 - (.I0(x_mul1__0_carry__7_0[25]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[24]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[26]), - .I5(cx2[0]), - .O(x_mul1__0_carry__5_i_3__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__5_i_4__1 - (.I0(x_mul1__0_carry__7_0[24]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[23]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[25]), - .I5(cx2[0]), - .O(x_mul1__0_carry__5_i_4__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_5__1 - (.I0(x_mul1__0_carry__5_i_1__1_n_0), - .I1(x_mul1__0_carry__7_0[27]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__5_i_9_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[29]), - .O(x_mul1__0_carry__5_i_5__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_6__1 - (.I0(x_mul1__0_carry__5_i_2__1_n_0), - .I1(x_mul1__0_carry__7_0[26]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__5_i_10_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[28]), - .O(x_mul1__0_carry__5_i_6__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_7__1 - (.I0(x_mul1__0_carry__5_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[25]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__5_i_11_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[27]), - .O(x_mul1__0_carry__5_i_7__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__5_i_8__1 - (.I0(x_mul1__0_carry__5_i_4__1_n_0), - .I1(x_mul1__0_carry__7_0[24]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__5_i_12_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[26]), - .O(x_mul1__0_carry__5_i_8__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair99" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__5_i_9 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[28]), - .O(x_mul1__0_carry__5_i_9_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__6 - (.CI(x_mul1__0_carry__5_n_0), - .CO({x_mul1__0_carry__6_n_0,x_mul1__0_carry__6_n_1,x_mul1__0_carry__6_n_2,x_mul1__0_carry__6_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__6_i_1__1_n_0,x_mul1__0_carry__6_i_2__1_n_0,x_mul1__0_carry__6_i_3__1_n_0,x_mul1__0_carry__6_i_4__1_n_0}), - .O(x_mul2_out[31:28]), - .S({x_mul1__0_carry__6_i_5__1_n_0,x_mul1__0_carry__6_i_6__1_n_0,x_mul1__0_carry__6_i_7__1_n_0,x_mul1__0_carry__6_i_8__1_n_0})); - (* SOFT_HLUTNM = "soft_lutpair98" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_10 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[31]), - .O(x_mul1__0_carry__6_i_10_n_0)); - (* SOFT_HLUTNM = "soft_lutpair98" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_11 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[30]), - .O(x_mul1__0_carry__6_i_11_n_0)); - (* SOFT_HLUTNM = "soft_lutpair99" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_12 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[29]), - .O(x_mul1__0_carry__6_i_12_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_1__1 - (.I0(x_mul1__0_carry__7_0[31]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[30]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[32]), - .I5(cx2[0]), - .O(x_mul1__0_carry__6_i_1__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_2__1 - (.I0(x_mul1__0_carry__7_0[30]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[29]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[31]), - .I5(cx2[0]), - .O(x_mul1__0_carry__6_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_3__1 - (.I0(x_mul1__0_carry__7_0[29]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[28]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[30]), - .I5(cx2[0]), - .O(x_mul1__0_carry__6_i_3__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__6_i_4__1 - (.I0(x_mul1__0_carry__7_0[28]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[27]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[29]), - .I5(cx2[0]), - .O(x_mul1__0_carry__6_i_4__1_n_0)); - LUT6 #( - .INIT(64'h6A959595956A6A6A)) - x_mul1__0_carry__6_i_5__1 - (.I0(x_mul1__0_carry__6_i_1__1_n_0), - .I1(x_mul1__0_carry__7_0[31]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__7_0[32]), - .I4(cx2[1]), - .I5(x_mul__39), - .O(x_mul1__0_carry__6_i_5__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_6__1 - (.I0(x_mul1__0_carry__6_i_2__1_n_0), - .I1(x_mul1__0_carry__7_0[30]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__6_i_10_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[32]), - .O(x_mul1__0_carry__6_i_6__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_7__1 - (.I0(x_mul1__0_carry__6_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[29]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__6_i_11_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[31]), - .O(x_mul1__0_carry__6_i_7__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry__6_i_8__1 - (.I0(x_mul1__0_carry__6_i_4__1_n_0), - .I1(x_mul1__0_carry__7_0[28]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__6_i_12_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[30]), - .O(x_mul1__0_carry__6_i_8__1_n_0)); - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry__6_i_9__1 - (.I0(cx2[0]), - .I1(x_mul1__0_carry__7_0[33]), - .O(x_mul__39)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__7 - (.CI(x_mul1__0_carry__6_n_0), - .CO({x_mul1__0_carry__7_n_0,x_mul1__0_carry__7_n_1,x_mul1__0_carry__7_n_2,x_mul1__0_carry__7_n_3}), - .CYINIT(1'b0), - .DI({x_mul1__0_carry__7_i_1__1_n_0,x_mul1__0_carry__7_i_1__1_n_0,x_mul1__0_carry__7_i_2__1_n_0,x_mul1__0_carry__7_i_3__1_n_0}), - .O(x_mul2_out[35:32]), - .S({x_mul1__0_carry__7_i_4__1_n_0,x_mul1__0_carry__7_i_5__1_n_0,x_mul1__0_carry__7_i_6__1_n_0,x_mul1__0_carry__7_i_7__1_n_0})); - (* HLUTNM = "lutpair2" *) - LUT4 #( - .INIT(16'hC880)) - x_mul1__0_carry__7_i_1__1 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[33]), - .I2(cx2[2]), - .I3(cx2[0]), - .O(x_mul1__0_carry__7_i_1__1_n_0)); - LUT5 #( - .INIT(32'hA8888000)) - x_mul1__0_carry__7_i_2__1 - (.I0(x_mul1__0_carry__7_0[33]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[32]), - .I3(cx2[2]), - .I4(cx2[0]), - .O(x_mul1__0_carry__7_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry__7_i_3__1 - (.I0(x_mul1__0_carry__7_0[32]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[31]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[33]), - .I5(cx2[0]), - .O(x_mul1__0_carry__7_i_3__1_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_4__1 - (.I0(x_mul1__0_carry__7_i_1__1_n_0), - .I1(cx2[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx2[1]), - .I4(cx2[0]), - .O(x_mul1__0_carry__7_i_4__1_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_5__1 - (.I0(x_mul1__0_carry__7_i_1__1_n_0), - .I1(cx2[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx2[1]), - .I4(cx2[0]), - .O(x_mul1__0_carry__7_i_5__1_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__7_i_6__1 - (.I0(x_mul1__0_carry__7_i_2__1_n_0), - .I1(cx2[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx2[1]), - .I4(cx2[0]), - .O(x_mul1__0_carry__7_i_6__1_n_0)); - LUT6 #( - .INIT(64'h6A6A956A956A6A6A)) - x_mul1__0_carry__7_i_7__1 - (.I0(x_mul1__0_carry__7_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[32]), - .I2(cx2[2]), - .I3(x_mul1__0_carry__7_0[33]), - .I4(cx2[1]), - .I5(cx2[0]), - .O(x_mul1__0_carry__7_i_7__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 x_mul1__0_carry__8 - (.CI(x_mul1__0_carry__7_n_0), - .CO({NLW_x_mul1__0_carry__8_CO_UNCONNECTED[3],x_mul1__0_carry__8_n_1,x_mul1__0_carry__8_n_2,x_mul1__0_carry__8_n_3}), - .CYINIT(1'b0), - .DI({1'b0,x_mul1__0_carry__7_i_1__1_n_0,x_mul1__0_carry__7_i_1__1_n_0,x_mul1__0_carry__7_i_1__1_n_0}), - .O(x_mul2_out[39:36]), - .S({x_mul1__0_carry__8_i_1__1_n_0,x_mul1__0_carry__8_i_2__1_n_0,x_mul1__0_carry__8_i_3__1_n_0,x_mul1__0_carry__8_i_4__1_n_0})); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_1__1 - (.I0(x_mul1__0_carry__7_i_1__1_n_0), - .I1(cx2[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx2[1]), - .I4(cx2[0]), - .O(x_mul1__0_carry__8_i_1__1_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_2__1 - (.I0(x_mul1__0_carry__7_i_1__1_n_0), - .I1(cx2[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx2[1]), - .I4(cx2[0]), - .O(x_mul1__0_carry__8_i_2__1_n_0)); - (* HLUTNM = "lutpair2" *) - LUT5 #( - .INIT(32'h7BB78448)) - x_mul1__0_carry__8_i_3__1 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[33]), - .I2(cx2[2]), - .I3(cx2[0]), - .I4(x_mul1__0_carry__7_i_1__1_n_0), - .O(x_mul1__0_carry__8_i_3__1_n_0)); - LUT5 #( - .INIT(32'h6A9A9A6A)) - x_mul1__0_carry__8_i_4__1 - (.I0(x_mul1__0_carry__7_i_1__1_n_0), - .I1(cx2[2]), - .I2(x_mul1__0_carry__7_0[33]), - .I3(cx2[1]), - .I4(cx2[0]), - .O(x_mul1__0_carry__8_i_4__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair112" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_10 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[2]), - .O(x_mul1__0_carry_i_10_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_1__1 - (.I0(x_mul1__0_carry__7_0[3]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[2]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[4]), - .I5(cx2[0]), - .O(x_mul1__0_carry_i_1__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_2__1 - (.I0(x_mul1__0_carry__7_0[2]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[1]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[3]), - .I5(cx2[0]), - .O(x_mul1__0_carry_i_2__1_n_0)); - LUT6 #( - .INIT(64'hF888800080008000)) - x_mul1__0_carry_i_3__1 - (.I0(x_mul1__0_carry__7_0[1]), - .I1(cx2[1]), - .I2(x_mul1__0_carry__7_0[0]), - .I3(cx2[2]), - .I4(x_mul1__0_carry__7_0[2]), - .I5(cx2[0]), - .O(x_mul1__0_carry_i_3__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_4__1 - (.I0(x_mul1__0_carry_i_1__1_n_0), - .I1(x_mul1__0_carry__7_0[3]), - .I2(cx2[2]), - .I3(x_mul1__0_carry_i_8_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[5]), - .O(x_mul1__0_carry_i_4__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_5__1 - (.I0(x_mul1__0_carry_i_2__1_n_0), - .I1(x_mul1__0_carry__7_0[2]), - .I2(cx2[2]), - .I3(x_mul1__0_carry_i_9_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[4]), - .O(x_mul1__0_carry_i_5__1_n_0)); - LUT6 #( - .INIT(64'h6A95956A956A956A)) - x_mul1__0_carry_i_6__1 - (.I0(x_mul1__0_carry_i_3__1_n_0), - .I1(x_mul1__0_carry__7_0[1]), - .I2(cx2[2]), - .I3(x_mul1__0_carry_i_10_n_0), - .I4(cx2[0]), - .I5(x_mul1__0_carry__7_0[3]), - .O(x_mul1__0_carry_i_6__1_n_0)); - LUT6 #( - .INIT(64'h8777788878887888)) - x_mul1__0_carry_i_7__1 - (.I0(x_mul1__0_carry__7_0[2]), - .I1(cx2[0]), - .I2(cx2[1]), - .I3(x_mul1__0_carry__7_0[1]), - .I4(cx2[2]), - .I5(x_mul1__0_carry__7_0[0]), - .O(x_mul1__0_carry_i_7__1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair111" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_8 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[4]), - .O(x_mul1__0_carry_i_8_n_0)); - (* SOFT_HLUTNM = "soft_lutpair112" *) - LUT2 #( - .INIT(4'h8)) - x_mul1__0_carry_i_9 - (.I0(cx2[1]), - .I1(x_mul1__0_carry__7_0[3]), - .O(x_mul1__0_carry_i_9_n_0)); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-10 {cell *THIS*} {string 18x24 3}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(0), - .BREG(0), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(1), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - y_mul - (.A({cy2[23],cy2[23],cy2[23],cy2[23],cy2[23],cy2[23],cy2}), - .ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .ACOUT(NLW_y_mul_ACOUT_UNCONNECTED[29:0]), - .ALUMODE({1'b0,1'b0,1'b0,1'b0}), - .B({1'b0,B}), - .BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .BCOUT(NLW_y_mul_BCOUT_UNCONNECTED[17:0]), - .C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CARRYCASCIN(1'b0), - .CARRYCASCOUT(NLW_y_mul_CARRYCASCOUT_UNCONNECTED), - .CARRYIN(1'b0), - .CARRYINSEL({1'b0,1'b0,1'b0}), - .CARRYOUT(NLW_y_mul_CARRYOUT_UNCONNECTED[3:0]), - .CEA1(1'b0), - .CEA2(1'b0), - .CEAD(1'b0), - .CEALUMODE(1'b0), - .CEB1(1'b0), - .CEB2(1'b0), - .CEC(1'b0), - .CECARRYIN(1'b0), - .CECTRL(1'b0), - .CED(1'b0), - .CEINMODE(1'b0), - .CEM(1'b0), - .CEP(1'b0), - .CLK(1'b0), - .D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}), - .MULTSIGNIN(1'b0), - .MULTSIGNOUT(NLW_y_mul_MULTSIGNOUT_UNCONNECTED), - .OPMODE({1'b0,1'b0,1'b0,1'b0,1'b1,1'b0,1'b1}), - .OVERFLOW(NLW_y_mul_OVERFLOW_UNCONNECTED), - .P({y_mul_n_58,y_mul_n_59,y_mul_n_60,y_mul_n_61,y_mul_n_62,y_mul_n_63,y_mul_n_64,y_mul_n_65,y_mul_n_66,y_mul_n_67,y_mul_n_68,y_mul_n_69,y_mul_n_70,y_mul_n_71,y_mul_n_72,y_mul_n_73,y_mul_n_74,y_mul_n_75,y_mul_n_76,y_mul_n_77,y_mul_n_78,y_mul_n_79,y_mul_n_80,y_mul_n_81,y_mul_n_82,y_mul_n_83,y_mul_n_84,y_mul_n_85,y_mul_n_86,y_mul_n_87,y_mul_n_88,y_mul_n_89,y_mul_n_90,y_mul_n_91,y_mul_n_92,y_mul_n_93,y_mul_n_94,y_mul_n_95,y_mul_n_96,y_mul_n_97,y_mul_n_98,y_mul_n_99,y_mul_n_100,y_mul_n_101,y_mul_n_102,y_mul_n_103,y_mul_n_104,y_mul_n_105}), - .PATTERNBDETECT(NLW_y_mul_PATTERNBDETECT_UNCONNECTED), - .PATTERNDETECT(NLW_y_mul_PATTERNDETECT_UNCONNECTED), - .PCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .PCOUT({y_mul_n_106,y_mul_n_107,y_mul_n_108,y_mul_n_109,y_mul_n_110,y_mul_n_111,y_mul_n_112,y_mul_n_113,y_mul_n_114,y_mul_n_115,y_mul_n_116,y_mul_n_117,y_mul_n_118,y_mul_n_119,y_mul_n_120,y_mul_n_121,y_mul_n_122,y_mul_n_123,y_mul_n_124,y_mul_n_125,y_mul_n_126,y_mul_n_127,y_mul_n_128,y_mul_n_129,y_mul_n_130,y_mul_n_131,y_mul_n_132,y_mul_n_133,y_mul_n_134,y_mul_n_135,y_mul_n_136,y_mul_n_137,y_mul_n_138,y_mul_n_139,y_mul_n_140,y_mul_n_141,y_mul_n_142,y_mul_n_143,y_mul_n_144,y_mul_n_145,y_mul_n_146,y_mul_n_147,y_mul_n_148,y_mul_n_149,y_mul_n_150,y_mul_n_151,y_mul_n_152,y_mul_n_153}), - .RSTA(1'b0), - .RSTALLCARRYIN(1'b0), - .RSTALUMODE(1'b0), - .RSTB(1'b0), - .RSTC(1'b0), - .RSTCTRL(1'b0), - .RSTD(1'b0), - .RSTINMODE(1'b0), - .RSTM(1'b0), - .RSTP(1'b0), - .UNDERFLOW(NLW_y_mul_UNDERFLOW_UNCONNECTED)); - (* METHODOLOGY_DRC_VIOS = "{SYNTH-13 {cell *THIS*}}" *) - DSP48E1 #( - .ACASCREG(0), - .ADREG(1), - .ALUMODEREG(0), - .AREG(0), - .AUTORESET_PATDET("NO_RESET"), - .A_INPUT("DIRECT"), - .BCASCREG(0), - .BREG(0), - .B_INPUT("DIRECT"), - .CARRYINREG(0), - .CARRYINSELREG(0), - .CREG(1), - .DREG(1), - .INMODEREG(0), - .MASK(48'h3FFFFFFFFFFF), - .MREG(0), - .OPMODEREG(0), - .PATTERN(48'h000000000000), - .PREG(0), - .SEL_MASK("MASK"), - .SEL_PATTERN("PATTERN"), - .USE_DPORT("FALSE"), - .USE_MULT("MULTIPLY"), - .USE_PATTERN_DETECT("NO_PATDET"), - .USE_SIMD("ONE48")) - y_mul__0 - (.A({cy2[23],cy2[23],cy2[23],cy2[23],cy2[23],cy2[23],cy2}), - .ACIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .ACOUT(NLW_y_mul__0_ACOUT_UNCONNECTED[29:0]), - .ALUMODE({1'b0,1'b0,1'b0,1'b0}), - .B({1'b0,y_mul__0_0}), - .BCIN({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .BCOUT(NLW_y_mul__0_BCOUT_UNCONNECTED[17:0]), - .C({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CARRYCASCIN(1'b0), - .CARRYCASCOUT(NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED), - .CARRYIN(1'b0), - .CARRYINSEL({1'b0,1'b0,1'b0}), - .CARRYOUT(NLW_y_mul__0_CARRYOUT_UNCONNECTED[3:0]), - .CEA1(1'b0), - .CEA2(1'b0), - .CEAD(1'b0), - .CEALUMODE(1'b0), - .CEB1(1'b0), - .CEB2(1'b0), - .CEC(1'b0), - .CECARRYIN(1'b0), - .CECTRL(1'b0), - .CED(1'b0), - .CEINMODE(1'b0), - .CEM(1'b0), - .CEP(1'b0), - .CLK(1'b0), - .D({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .INMODE({1'b0,1'b0,1'b0,1'b0,1'b0}), - .MULTSIGNIN(1'b0), - .MULTSIGNOUT(NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED), - .OPMODE({1'b1,1'b0,1'b1,1'b0,1'b1,1'b0,1'b1}), - .OVERFLOW(NLW_y_mul__0_OVERFLOW_UNCONNECTED), - .P({NLW_y_mul__0_P_UNCONNECTED[47:44],y_mul__0_n_62,y_mul__0_n_63,y_mul__0_n_64,y_mul__0_n_65,y_mul__0_n_66,y_mul__0_n_67,y_mul__0_n_68,y_mul__0_n_69,y_mul__0_n_70,y_mul__0_n_71,y_mul__0_n_72,y_mul__0_n_73,y_mul__0_n_74,y_mul__0_n_75,y_mul__0_n_76,y_mul__0_n_77,y_mul__0_n_78,y_mul__0_n_79,y_mul__0_n_80,y_mul__0_n_81,y_mul__0_n_82,y_mul__0_n_83,y_mul__0_n_84,y_mul__0_n_85,y_mul__0_n_86,y_mul__0_n_87,y_mul__0_n_88,y_mul__0_n_89,y_mul__0_n_90,y_mul__0_n_91,y_mul__0_n_92,y_mul__0_n_93,y_mul__0_n_94,y_mul__0_n_95,y_mul__0_n_96,y_mul__0_n_97,y_mul__0_n_98,y_mul__0_n_99,y_mul__0_n_100,y_mul__0_n_101,y_mul__0_n_102,y_mul__0_n_103,y_mul__0_n_104,y_mul__0_n_105}), - .PATTERNBDETECT(NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED), - .PATTERNDETECT(NLW_y_mul__0_PATTERNDETECT_UNCONNECTED), - .PCIN({y_mul_n_106,y_mul_n_107,y_mul_n_108,y_mul_n_109,y_mul_n_110,y_mul_n_111,y_mul_n_112,y_mul_n_113,y_mul_n_114,y_mul_n_115,y_mul_n_116,y_mul_n_117,y_mul_n_118,y_mul_n_119,y_mul_n_120,y_mul_n_121,y_mul_n_122,y_mul_n_123,y_mul_n_124,y_mul_n_125,y_mul_n_126,y_mul_n_127,y_mul_n_128,y_mul_n_129,y_mul_n_130,y_mul_n_131,y_mul_n_132,y_mul_n_133,y_mul_n_134,y_mul_n_135,y_mul_n_136,y_mul_n_137,y_mul_n_138,y_mul_n_139,y_mul_n_140,y_mul_n_141,y_mul_n_142,y_mul_n_143,y_mul_n_144,y_mul_n_145,y_mul_n_146,y_mul_n_147,y_mul_n_148,y_mul_n_149,y_mul_n_150,y_mul_n_151,y_mul_n_152,y_mul_n_153}), - .PCOUT(NLW_y_mul__0_PCOUT_UNCONNECTED[47:0]), - .RSTA(1'b0), - .RSTALLCARRYIN(1'b0), - .RSTALUMODE(1'b0), - .RSTB(1'b0), - .RSTC(1'b0), - .RSTCTRL(1'b0), - .RSTD(1'b0), - .RSTINMODE(1'b0), - .RSTM(1'b0), - .RSTP(1'b0), - .UNDERFLOW(NLW_y_mul__0_UNDERFLOW_UNCONNECTED)); - CARRY4 y_mul__1_carry - (.CI(1'b0), - .CO({y_mul__1_carry_n_0,y_mul__1_carry_n_1,y_mul__1_carry_n_2,y_mul__1_carry_n_3}), - .CYINIT(1'b0), - .DI({\cy2[0] ,y_mul__1_carry_i_2__1_n_0,y_mul__1_carry_i_3__1_n_0,1'b0}), - .O({y_mul__1_carry_n_4,y_mul__1_carry_n_5,y_mul__1_carry_n_6,y_mul__1_carry_n_7}), - .S({i__carry_i_3__1_0,y_mul__1_carry_i_5__1_n_0,y_mul__1_carry_i_6__1_n_0,y_mul__1_carry_i_7__1_n_0})); - CARRY4 y_mul__1_carry__0 - (.CI(y_mul__1_carry_n_0), - .CO({y_mul__1_carry__0_n_0,y_mul__1_carry__0_n_1,y_mul__1_carry__0_n_2,y_mul__1_carry__0_n_3}), - .CYINIT(1'b0), - .DI(i__carry__0_i_3__1_0), - .O({y_mul__1_carry__0_n_4,y_mul__1_carry__0_n_5,y_mul__1_carry__0_n_6,y_mul__1_carry__0_n_7}), - .S(i__carry__0_i_3__1_1)); - CARRY4 y_mul__1_carry__1 - (.CI(y_mul__1_carry__0_n_0), - .CO({y_mul__1_carry__1_n_0,y_mul__1_carry__1_n_1,y_mul__1_carry__1_n_2,y_mul__1_carry__1_n_3}), - .CYINIT(1'b0), - .DI(i__carry__1_i_3__1_0), - .O({y_mul__1_carry__1_n_4,y_mul__1_carry__1_n_5,y_mul__1_carry__1_n_6,y_mul__1_carry__1_n_7}), - .S(i__carry__1_i_3__1_1)); - CARRY4 y_mul__1_carry__2 - (.CI(y_mul__1_carry__1_n_0), - .CO({y_mul__1_carry__2_n_0,y_mul__1_carry__2_n_1,y_mul__1_carry__2_n_2,y_mul__1_carry__2_n_3}), - .CYINIT(1'b0), - .DI(i__carry__2_i_3__1_0), - .O({y_mul__1_carry__2_n_4,y_mul__1_carry__2_n_5,y_mul__1_carry__2_n_6,y_mul__1_carry__2_n_7}), - .S(i__carry__2_i_3__1_1)); - CARRY4 y_mul__1_carry__3 - (.CI(y_mul__1_carry__2_n_0), - .CO({y_mul__1_carry__3_n_0,y_mul__1_carry__3_n_1,y_mul__1_carry__3_n_2,y_mul__1_carry__3_n_3}), - .CYINIT(1'b0), - .DI(i__carry__3_i_3__1_0), - .O({y_mul__1_carry__3_n_4,y_mul__1_carry__3_n_5,y_mul__1_carry__3_n_6,y_mul__1_carry__3_n_7}), - .S(i__carry__3_i_3__1_1)); - CARRY4 y_mul__1_carry__4 - (.CI(y_mul__1_carry__3_n_0), - .CO({y_mul__1_carry__4_n_0,y_mul__1_carry__4_n_1,y_mul__1_carry__4_n_2,y_mul__1_carry__4_n_3}), - .CYINIT(1'b0), - .DI({\cy2[21] ,i__carry__4_i_3__1_0}), - .O({y_mul__1_carry__4_n_4,y_mul__1_carry__4_n_5,y_mul__1_carry__4_n_6,y_mul__1_carry__4_n_7}), - .S(i__carry__4_i_3__1_1)); - LUT6 #( - .INIT(64'h7888877787778777)) - y_mul__1_carry__4_i_1__1 - (.I0(cy2[21]), - .I1(y_mul__1_carry__5_0), - .I2(cy2[22]), - .I3(y_mul__1_carry__5_1[1]), - .I4(y_mul__1_carry__5_1[0]), - .I5(cy2[23]), - .O(\cy2[21] )); - LUT2 #( - .INIT(4'h7)) - y_mul__1_carry__4_i_9__1 - (.I0(cy2[21]), - .I1(y_mul__1_carry__5_1[1]), - .O(\cy2[21]_0 )); - CARRY4 y_mul__1_carry__5 - (.CI(y_mul__1_carry__4_n_0), - .CO({NLW_y_mul__1_carry__5_CO_UNCONNECTED[3],y_mul__1_carry__5_n_1,NLW_y_mul__1_carry__5_CO_UNCONNECTED[1],y_mul__1_carry__5_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,i__carry__5_i_3__1_0}), - .O({NLW_y_mul__1_carry__5_O_UNCONNECTED[3:2],y_mul__1_carry__5_n_6,y_mul__1_carry__5_n_7}), - .S({1'b0,1'b1,y_mul__1_carry__5_i_3__1_n_0,i__carry__5_i_3__1_1})); - LUT5 #( - .INIT(32'hE8FFDFFF)) - y_mul__1_carry__5_i_3__1 - (.I0(cy2[21]), - .I1(y_mul__1_carry__5_1[1]), - .I2(cy2[22]), - .I3(y_mul__1_carry__5_0), - .I4(cy2[23]), - .O(y_mul__1_carry__5_i_3__1_n_0)); - LUT4 #( - .INIT(16'hF777)) - y_mul__1_carry_i_1__1 - (.I0(y_mul__1_carry__5_0), - .I1(cy2[0]), - .I2(y_mul__1_carry__5_1[1]), - .I3(cy2[1]), - .O(\cy2[0] )); - LUT4 #( - .INIT(16'h7888)) - y_mul__1_carry_i_2__1 - (.I0(y_mul__1_carry__5_1[1]), - .I1(cy2[1]), - .I2(y_mul__1_carry__5_0), - .I3(cy2[0]), - .O(y_mul__1_carry_i_2__1_n_0)); - LUT2 #( - .INIT(4'h8)) - y_mul__1_carry_i_3__1 - (.I0(y_mul__1_carry__5_1[0]), - .I1(cy2[1]), - .O(y_mul__1_carry_i_3__1_n_0)); - LUT6 #( - .INIT(64'h8777788878887888)) - y_mul__1_carry_i_5__1 - (.I0(cy2[0]), - .I1(y_mul__1_carry__5_0), - .I2(cy2[1]), - .I3(y_mul__1_carry__5_1[1]), - .I4(y_mul__1_carry__5_1[0]), - .I5(cy2[2]), - .O(y_mul__1_carry_i_5__1_n_0)); - LUT4 #( - .INIT(16'h7888)) - y_mul__1_carry_i_6__1 - (.I0(y_mul__1_carry__5_1[0]), - .I1(cy2[1]), - .I2(y_mul__1_carry__5_1[1]), - .I3(cy2[0]), - .O(y_mul__1_carry_i_6__1_n_0)); - LUT2 #( - .INIT(4'h8)) - y_mul__1_carry_i_7__1 - (.I0(cy2[0]), - .I1(y_mul__1_carry__5_1[0]), - .O(y_mul__1_carry_i_7__1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry - (.CI(1'b0), - .CO({\y_mul_inferred__1/i__carry_n_0 ,\y_mul_inferred__1/i__carry_n_1 ,\y_mul_inferred__1/i__carry_n_2 ,\y_mul_inferred__1/i__carry_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_86,y_mul__0_n_87,y_mul__0_n_88,1'b0}), - .O(y_mul__1__0[36:33]), - .S({i__carry_i_1__1_n_0,i__carry_i_2__1_n_0,i__carry_i_3__1_n_0,y_mul__0_n_89})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__0 - (.CI(\y_mul_inferred__1/i__carry_n_0 ), - .CO({\y_mul_inferred__1/i__carry__0_n_0 ,\y_mul_inferred__1/i__carry__0_n_1 ,\y_mul_inferred__1/i__carry__0_n_2 ,\y_mul_inferred__1/i__carry__0_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_82,y_mul__0_n_83,y_mul__0_n_84,y_mul__0_n_85}), - .O(y_mul__1__0[40:37]), - .S({i__carry__0_i_1__1_n_0,i__carry__0_i_2__1_n_0,i__carry__0_i_3__1_n_0,i__carry__0_i_4__1_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__1 - (.CI(\y_mul_inferred__1/i__carry__0_n_0 ), - .CO({\y_mul_inferred__1/i__carry__1_n_0 ,\y_mul_inferred__1/i__carry__1_n_1 ,\y_mul_inferred__1/i__carry__1_n_2 ,\y_mul_inferred__1/i__carry__1_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_78,y_mul__0_n_79,y_mul__0_n_80,y_mul__0_n_81}), - .O(y_mul__1__0[44:41]), - .S({i__carry__1_i_1__1_n_0,i__carry__1_i_2__1_n_0,i__carry__1_i_3__1_n_0,i__carry__1_i_4__1_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__2 - (.CI(\y_mul_inferred__1/i__carry__1_n_0 ), - .CO({\y_mul_inferred__1/i__carry__2_n_0 ,\y_mul_inferred__1/i__carry__2_n_1 ,\y_mul_inferred__1/i__carry__2_n_2 ,\y_mul_inferred__1/i__carry__2_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_74,y_mul__0_n_75,y_mul__0_n_76,y_mul__0_n_77}), - .O(y_mul__1__0[48:45]), - .S({i__carry__2_i_1__1_n_0,i__carry__2_i_2__1_n_0,i__carry__2_i_3__1_n_0,i__carry__2_i_4__1_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__3 - (.CI(\y_mul_inferred__1/i__carry__2_n_0 ), - .CO({\y_mul_inferred__1/i__carry__3_n_0 ,\y_mul_inferred__1/i__carry__3_n_1 ,\y_mul_inferred__1/i__carry__3_n_2 ,\y_mul_inferred__1/i__carry__3_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_70,y_mul__0_n_71,y_mul__0_n_72,y_mul__0_n_73}), - .O(y_mul__1__0[52:49]), - .S({i__carry__3_i_1__1_n_0,i__carry__3_i_2__1_n_0,i__carry__3_i_3__1_n_0,i__carry__3_i_4__1_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__4 - (.CI(\y_mul_inferred__1/i__carry__3_n_0 ), - .CO({\y_mul_inferred__1/i__carry__4_n_0 ,\y_mul_inferred__1/i__carry__4_n_1 ,\y_mul_inferred__1/i__carry__4_n_2 ,\y_mul_inferred__1/i__carry__4_n_3 }), - .CYINIT(1'b0), - .DI({y_mul__0_n_66,y_mul__0_n_67,y_mul__0_n_68,y_mul__0_n_69}), - .O(y_mul__1__0[56:53]), - .S({i__carry__4_i_1__1_n_0,i__carry__4_i_2__1_n_0,i__carry__4_i_3__1_n_0,i__carry__4_i_4__1_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \y_mul_inferred__1/i__carry__5 - (.CI(\y_mul_inferred__1/i__carry__4_n_0 ), - .CO({\NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED [3],\y_mul_inferred__1/i__carry__5_n_1 ,\y_mul_inferred__1/i__carry__5_n_2 ,\y_mul_inferred__1/i__carry__5_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,y_mul__0_n_63,y_mul__0_n_64,y_mul__0_n_65}), - .O(y_mul__1__0[60:57]), - .S({i__carry__5_i_1__1_n_0,i__carry__5_i_2__1_n_0,i__carry__5_i_3__1_n_0,i__carry__5_i_4__1_n_0})); -endmodule -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - parameter GRES_WIDTH = 10000; - parameter GRES_START = 10000; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - wire GRESTORE; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - reg GRESTORE_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (strong1, weak0) GSR = GSR_int; - assign (strong1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - assign (strong1, weak0) GRESTORE = GRESTORE_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - - initial begin - GRESTORE_int = 1'b0; - #(GRES_START); - GRESTORE_int = 1'b1; - #(GRES_WIDTH); - GRESTORE_int = 1'b0; - end - -endmodule -`endif diff --git a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.vhdl deleted file mode 100644 index e92d84e4..00000000 --- a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.vhdl +++ /dev/null @@ -1,21109 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:48 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode funcsim --- v:/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_sim_netlist.vhdl --- Design : audio_IIR_filter_0_0 --- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or --- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_IIR_filter_0_0_iir_filter_tap is - port ( - P : out STD_LOGIC_VECTOR ( 1 downto 0 ); - O : out STD_LOGIC_VECTOR ( 0 to 0 ); - ch_reg_rep : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \intreg_reg[0][38]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \inp_mul__0\ : out STD_LOGIC; - \inp_mul__0_0\ : out STD_LOGIC; - \out_m_reg[15]\ : out STD_LOGIC; - \cy1[5]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[9]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[13]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[17]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[20]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - \cy1[22]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \cy2[5]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[9]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[13]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[17]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[20]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - \cy2[22]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \inp_mul__0_1\ : out STD_LOGIC; - \inp_mul__0_2\ : out STD_LOGIC; - \inp_mul__0_3\ : out STD_LOGIC; - \inp_mul__0_4\ : out STD_LOGIC; - \inp_mul__0_5\ : out STD_LOGIC; - \inp_mul__0_6\ : out STD_LOGIC; - \inp_mul__0_7\ : out STD_LOGIC; - \inp_mul__0_8\ : out STD_LOGIC; - \inp_mul__0_9\ : out STD_LOGIC; - \inp_mul__0_10\ : out STD_LOGIC; - \inp_mul__0_11\ : out STD_LOGIC; - \inp_mul__0_12\ : out STD_LOGIC; - \inp_mul__0_13\ : out STD_LOGIC; - \inp_mul__0_14\ : out STD_LOGIC; - \inp_mul__0_15\ : out STD_LOGIC; - ch_reg_rep_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); - \intreg_reg[1][37]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - \cy1[22]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \cy1[6]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[10]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[14]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[18]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy1[23]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \cy2[22]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \cy2[6]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[10]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[14]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[18]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \cy2[23]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \inp_mul__0_16\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \inp_mul__0_17\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \inp_mul__0_18\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \inp_mul__0_19\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \inp_mul__0_20\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \inp_mul__0_21\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \inp_mul__0_22\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \inp_mul__0_23\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - B : in STD_LOGIC_VECTOR ( 16 downto 0 ); - cy0 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - \y_mul__0_0\ : in STD_LOGIC_VECTOR ( 16 downto 0 ); - DI : in STD_LOGIC_VECTOR ( 1 downto 0 ); - S : in STD_LOGIC_VECTOR ( 0 to 0 ); - \out_r_reg[0]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \out_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - Q : in STD_LOGIC_VECTOR ( 38 downto 0 ); - \p_4_out_carry__8_i_4_0\ : in STD_LOGIC_VECTOR ( 38 downto 0 ); - ch : in STD_LOGIC; - cx0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \intreg_reg[1][15]_0\ : in STD_LOGIC; - \y_mul__0_1\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); - out_m : in STD_LOGIC; - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - tap1 : in STD_LOGIC_VECTOR ( 36 downto 0 ); - cy1 : in STD_LOGIC_VECTOR ( 22 downto 0 ); - cy2 : in STD_LOGIC_VECTOR ( 22 downto 0 ); - \y_mul__1_carry__4_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \y_mul__1_carry__4_1\ : in STD_LOGIC; - \y_mul__1_carry_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \y_mul__1_carry__4_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \y_mul__1_carry__4_3\ : in STD_LOGIC; - \y_mul__1_carry_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - clk : in STD_LOGIC; - reset : in STD_LOGIC - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of audio_IIR_filter_0_0_iir_filter_tap : entity is "iir_filter_tap"; -end audio_IIR_filter_0_0_iir_filter_tap; - -architecture STRUCTURE of audio_IIR_filter_0_0_iir_filter_tap is - signal \^o\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^p\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^cy1[13]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^cy1[17]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^cy1[20]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal \^cy1[22]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^cy1[5]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^cy1[9]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^cy2[13]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^cy2[17]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^cy2[20]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal \^cy2[22]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^cy2[5]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^cy2[9]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \i__carry__0_i_1_n_0\ : STD_LOGIC; - signal \i__carry__0_i_2_n_0\ : STD_LOGIC; - signal \i__carry__0_i_3_n_0\ : STD_LOGIC; - signal \i__carry__0_i_4_n_0\ : STD_LOGIC; - signal \i__carry__1_i_1_n_0\ : STD_LOGIC; - signal \i__carry__1_i_2_n_0\ : STD_LOGIC; - signal \i__carry__1_i_3_n_0\ : STD_LOGIC; - signal \i__carry__1_i_4_n_0\ : STD_LOGIC; - signal \i__carry__2_i_1_n_0\ : STD_LOGIC; - signal \i__carry__2_i_2_n_0\ : STD_LOGIC; - signal \i__carry__2_i_3_n_0\ : STD_LOGIC; - signal \i__carry__2_i_4_n_0\ : STD_LOGIC; - signal \i__carry__3_i_1_n_0\ : STD_LOGIC; - signal \i__carry__3_i_2_n_0\ : STD_LOGIC; - signal \i__carry__3_i_3_n_0\ : STD_LOGIC; - signal \i__carry__3_i_4_n_0\ : STD_LOGIC; - signal \i__carry__4_i_1_n_0\ : STD_LOGIC; - signal \i__carry__4_i_2_n_0\ : STD_LOGIC; - signal \i__carry__4_i_3_n_0\ : STD_LOGIC; - signal \i__carry__4_i_4_n_0\ : STD_LOGIC; - signal \i__carry__5_i_1_n_0\ : STD_LOGIC; - signal \i__carry__5_i_2_n_0\ : STD_LOGIC; - signal \i__carry__5_i_3_n_0\ : STD_LOGIC; - signal \i__carry__5_i_4_n_0\ : STD_LOGIC; - signal \i__carry_i_1_n_0\ : STD_LOGIC; - signal \i__carry_i_2_n_0\ : STD_LOGIC; - signal \i__carry_i_3_n_0\ : STD_LOGIC; - signal \intreg_reg[0]_0\ : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \intreg_reg[1]_1\ : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal p_4_out : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \p_4_out_carry__0_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_8_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_n_1\ : STD_LOGIC; - signal \p_4_out_carry__0_n_2\ : STD_LOGIC; - signal \p_4_out_carry__0_n_3\ : STD_LOGIC; - signal \p_4_out_carry__1_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_8_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_n_1\ : STD_LOGIC; - signal \p_4_out_carry__1_n_2\ : STD_LOGIC; - signal \p_4_out_carry__1_n_3\ : STD_LOGIC; - signal \p_4_out_carry__2_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_8_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_n_1\ : STD_LOGIC; - signal \p_4_out_carry__2_n_2\ : STD_LOGIC; - signal \p_4_out_carry__2_n_3\ : STD_LOGIC; - signal \p_4_out_carry__3_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_8_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_n_1\ : STD_LOGIC; - signal \p_4_out_carry__3_n_2\ : STD_LOGIC; - signal \p_4_out_carry__3_n_3\ : STD_LOGIC; - signal \p_4_out_carry__4_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_8_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_n_1\ : STD_LOGIC; - signal \p_4_out_carry__4_n_2\ : STD_LOGIC; - signal \p_4_out_carry__4_n_3\ : STD_LOGIC; - signal \p_4_out_carry__5_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_8_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_n_1\ : STD_LOGIC; - signal \p_4_out_carry__5_n_2\ : STD_LOGIC; - signal \p_4_out_carry__5_n_3\ : STD_LOGIC; - signal \p_4_out_carry__6_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_8_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_n_1\ : STD_LOGIC; - signal \p_4_out_carry__6_n_2\ : STD_LOGIC; - signal \p_4_out_carry__6_n_3\ : STD_LOGIC; - signal \p_4_out_carry__7_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_8_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_n_1\ : STD_LOGIC; - signal \p_4_out_carry__7_n_2\ : STD_LOGIC; - signal \p_4_out_carry__7_n_3\ : STD_LOGIC; - signal \p_4_out_carry__8_i_1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_9_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_n_1\ : STD_LOGIC; - signal \p_4_out_carry__8_n_2\ : STD_LOGIC; - signal \p_4_out_carry__8_n_3\ : STD_LOGIC; - signal p_4_out_carry_i_1_n_0 : STD_LOGIC; - signal p_4_out_carry_i_2_n_0 : STD_LOGIC; - signal p_4_out_carry_i_5_n_0 : STD_LOGIC; - signal p_4_out_carry_i_6_n_0 : STD_LOGIC; - signal p_4_out_carry_i_8_n_0 : STD_LOGIC; - signal p_4_out_carry_n_0 : STD_LOGIC; - signal p_4_out_carry_n_1 : STD_LOGIC; - signal p_4_out_carry_n_2 : STD_LOGIC; - signal p_4_out_carry_n_3 : STD_LOGIC; - signal \x_mul1__0_carry__0_i_10__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_11__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_12__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_8_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_9__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_10__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_11__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_12__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_8_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_9__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_10__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_11__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_12__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_8_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_9__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_10__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_11__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_12__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_8_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_9__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_10__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_11__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_12__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_8_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_9__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_10__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_11__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_12__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_8_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_9__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_10__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_11__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_12__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_8_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry_i_10__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_8__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_9__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry_n_3\ : STD_LOGIC; - signal x_mul2_out : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \x_mul__39\ : STD_LOGIC_VECTOR ( 36 to 36 ); - signal \y_clamp1__0\ : STD_LOGIC; - signal y_clamp21_out : STD_LOGIC; - signal \y_mul__0_n_102\ : STD_LOGIC; - signal \y_mul__0_n_103\ : STD_LOGIC; - signal \y_mul__0_n_104\ : STD_LOGIC; - signal \y_mul__0_n_105\ : STD_LOGIC; - signal \y_mul__0_n_62\ : STD_LOGIC; - signal \y_mul__0_n_63\ : STD_LOGIC; - signal \y_mul__0_n_64\ : STD_LOGIC; - signal \y_mul__0_n_65\ : STD_LOGIC; - signal \y_mul__0_n_66\ : STD_LOGIC; - signal \y_mul__0_n_67\ : STD_LOGIC; - signal \y_mul__0_n_68\ : STD_LOGIC; - signal \y_mul__0_n_69\ : STD_LOGIC; - signal \y_mul__0_n_70\ : STD_LOGIC; - signal \y_mul__0_n_71\ : STD_LOGIC; - signal \y_mul__0_n_72\ : STD_LOGIC; - signal \y_mul__0_n_73\ : STD_LOGIC; - signal \y_mul__0_n_74\ : STD_LOGIC; - signal \y_mul__0_n_75\ : STD_LOGIC; - signal \y_mul__0_n_76\ : STD_LOGIC; - signal \y_mul__0_n_77\ : STD_LOGIC; - signal \y_mul__0_n_78\ : STD_LOGIC; - signal \y_mul__0_n_79\ : STD_LOGIC; - signal \y_mul__0_n_80\ : STD_LOGIC; - signal \y_mul__0_n_81\ : STD_LOGIC; - signal \y_mul__0_n_82\ : STD_LOGIC; - signal \y_mul__0_n_83\ : STD_LOGIC; - signal \y_mul__0_n_84\ : STD_LOGIC; - signal \y_mul__0_n_85\ : STD_LOGIC; - signal \y_mul__0_n_86\ : STD_LOGIC; - signal \y_mul__0_n_87\ : STD_LOGIC; - signal \y_mul__0_n_88\ : STD_LOGIC; - signal \y_mul__0_n_89\ : STD_LOGIC; - signal \y_mul__0_n_90\ : STD_LOGIC; - signal \y_mul__0_n_91\ : STD_LOGIC; - signal \y_mul__0_n_92\ : STD_LOGIC; - signal \y_mul__0_n_93\ : STD_LOGIC; - signal \y_mul__0_n_94\ : STD_LOGIC; - signal \y_mul__0_n_95\ : STD_LOGIC; - signal \y_mul__0_n_96\ : STD_LOGIC; - signal \y_mul__0_n_97\ : STD_LOGIC; - signal \y_mul__0_n_98\ : STD_LOGIC; - signal \y_mul__0_n_99\ : STD_LOGIC; - signal \y_mul__1__0\ : STD_LOGIC_VECTOR ( 60 downto 33 ); - signal \y_mul__1_carry__0_i_10__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_10__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_10_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_11__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_11__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_11_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_12__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_12__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_12_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_5_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_6_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_7_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_8_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_9__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_9__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_i_9_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_10__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_10__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_10_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_11__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_11__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_11_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_12__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_12__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_12_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_5_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_6_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_7_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_8_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_9__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_9__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_i_9_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_10__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_10__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_10_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_11__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_11__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_11_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_12__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_12__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_12_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_5_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_6_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_7_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_8_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_9__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_9__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_i_9_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_10__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_10__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_10_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_11__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_11__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_11_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_12__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_12__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_12_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_5_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_6_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_7_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_8_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_9__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_9__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_i_9_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_10__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_10__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_10_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_11__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_11__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_11_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_12__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_12__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_12_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_5_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_6_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_7_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_8_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_i_9_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__5_i_1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__5_i_2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__5_i_3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__5_i_4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_7\ : STD_LOGIC; - signal \y_mul__1_carry_i_1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_5_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_6_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_7_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_8__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_8__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_8_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_n_1\ : STD_LOGIC; - signal \y_mul__1_carry_n_2\ : STD_LOGIC; - signal \y_mul__1_carry_n_3\ : STD_LOGIC; - signal \y_mul__1_carry_n_4\ : STD_LOGIC; - signal \y_mul__1_carry_n_5\ : STD_LOGIC; - signal \y_mul__1_carry_n_6\ : STD_LOGIC; - signal \y_mul__1_carry_n_7\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_3\ : STD_LOGIC; - signal y_mul_n_100 : STD_LOGIC; - signal y_mul_n_101 : STD_LOGIC; - signal y_mul_n_102 : STD_LOGIC; - signal y_mul_n_103 : STD_LOGIC; - signal y_mul_n_104 : STD_LOGIC; - signal y_mul_n_105 : STD_LOGIC; - signal y_mul_n_106 : STD_LOGIC; - signal y_mul_n_107 : STD_LOGIC; - signal y_mul_n_108 : STD_LOGIC; - signal y_mul_n_109 : STD_LOGIC; - signal y_mul_n_110 : STD_LOGIC; - signal y_mul_n_111 : STD_LOGIC; - signal y_mul_n_112 : STD_LOGIC; - signal y_mul_n_113 : STD_LOGIC; - signal y_mul_n_114 : STD_LOGIC; - signal y_mul_n_115 : STD_LOGIC; - signal y_mul_n_116 : STD_LOGIC; - signal y_mul_n_117 : STD_LOGIC; - signal y_mul_n_118 : STD_LOGIC; - signal y_mul_n_119 : STD_LOGIC; - signal y_mul_n_120 : STD_LOGIC; - signal y_mul_n_121 : STD_LOGIC; - signal y_mul_n_122 : STD_LOGIC; - signal y_mul_n_123 : STD_LOGIC; - signal y_mul_n_124 : STD_LOGIC; - signal y_mul_n_125 : STD_LOGIC; - signal y_mul_n_126 : STD_LOGIC; - signal y_mul_n_127 : STD_LOGIC; - signal y_mul_n_128 : STD_LOGIC; - signal y_mul_n_129 : STD_LOGIC; - signal y_mul_n_130 : STD_LOGIC; - signal y_mul_n_131 : STD_LOGIC; - signal y_mul_n_132 : STD_LOGIC; - signal y_mul_n_133 : STD_LOGIC; - signal y_mul_n_134 : STD_LOGIC; - signal y_mul_n_135 : STD_LOGIC; - signal y_mul_n_136 : STD_LOGIC; - signal y_mul_n_137 : STD_LOGIC; - signal y_mul_n_138 : STD_LOGIC; - signal y_mul_n_139 : STD_LOGIC; - signal y_mul_n_140 : STD_LOGIC; - signal y_mul_n_141 : STD_LOGIC; - signal y_mul_n_142 : STD_LOGIC; - signal y_mul_n_143 : STD_LOGIC; - signal y_mul_n_144 : STD_LOGIC; - signal y_mul_n_145 : STD_LOGIC; - signal y_mul_n_146 : STD_LOGIC; - signal y_mul_n_147 : STD_LOGIC; - signal y_mul_n_148 : STD_LOGIC; - signal y_mul_n_149 : STD_LOGIC; - signal y_mul_n_150 : STD_LOGIC; - signal y_mul_n_151 : STD_LOGIC; - signal y_mul_n_152 : STD_LOGIC; - signal y_mul_n_153 : STD_LOGIC; - signal y_mul_n_58 : STD_LOGIC; - signal y_mul_n_59 : STD_LOGIC; - signal y_mul_n_60 : STD_LOGIC; - signal y_mul_n_61 : STD_LOGIC; - signal y_mul_n_62 : STD_LOGIC; - signal y_mul_n_63 : STD_LOGIC; - signal y_mul_n_64 : STD_LOGIC; - signal y_mul_n_65 : STD_LOGIC; - signal y_mul_n_66 : STD_LOGIC; - signal y_mul_n_67 : STD_LOGIC; - signal y_mul_n_68 : STD_LOGIC; - signal y_mul_n_69 : STD_LOGIC; - signal y_mul_n_70 : STD_LOGIC; - signal y_mul_n_71 : STD_LOGIC; - signal y_mul_n_72 : STD_LOGIC; - signal y_mul_n_73 : STD_LOGIC; - signal y_mul_n_74 : STD_LOGIC; - signal y_mul_n_75 : STD_LOGIC; - signal y_mul_n_76 : STD_LOGIC; - signal y_mul_n_77 : STD_LOGIC; - signal y_mul_n_78 : STD_LOGIC; - signal y_mul_n_79 : STD_LOGIC; - signal y_mul_n_80 : STD_LOGIC; - signal y_mul_n_81 : STD_LOGIC; - signal y_mul_n_82 : STD_LOGIC; - signal y_mul_n_83 : STD_LOGIC; - signal y_mul_n_84 : STD_LOGIC; - signal y_mul_n_85 : STD_LOGIC; - signal y_mul_n_86 : STD_LOGIC; - signal y_mul_n_87 : STD_LOGIC; - signal y_mul_n_88 : STD_LOGIC; - signal y_mul_n_89 : STD_LOGIC; - signal y_mul_n_90 : STD_LOGIC; - signal y_mul_n_91 : STD_LOGIC; - signal y_mul_n_92 : STD_LOGIC; - signal y_mul_n_93 : STD_LOGIC; - signal y_mul_n_94 : STD_LOGIC; - signal y_mul_n_95 : STD_LOGIC; - signal y_mul_n_96 : STD_LOGIC; - signal y_mul_n_97 : STD_LOGIC; - signal y_mul_n_98 : STD_LOGIC; - signal y_mul_n_99 : STD_LOGIC; - signal \NLW_p_4_out_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_x_mul1__0_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal NLW_y_mul_CARRYCASCOUT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_MULTSIGNOUT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_OVERFLOW_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_PATTERNBDETECT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_PATTERNDETECT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_UNDERFLOW_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 ); - signal NLW_y_mul_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 ); - signal NLW_y_mul_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_OVERFLOW_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); - signal \NLW_y_mul__0_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); - signal \NLW_y_mul__0_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_y_mul__0_P_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 44 ); - signal \NLW_y_mul__0_PCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 0 ); - signal \NLW_y_mul__1_carry__5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_y_mul__1_carry__5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - attribute ramstyle : string; - attribute ramstyle of \intreg_reg[0][0]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][10]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][11]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][12]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][13]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][14]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][15]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][16]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][17]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][18]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][19]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][1]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][20]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][21]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][22]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][23]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][24]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][25]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][26]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][27]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][28]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][29]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][2]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][30]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][31]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][32]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][33]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][34]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][35]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][36]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][37]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][38]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][39]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][3]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][4]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][5]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][6]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][7]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][8]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][9]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][0]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][10]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][11]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][12]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][13]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][14]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][15]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][16]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][17]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][18]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][19]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][1]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][20]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][21]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][22]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][23]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][24]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][25]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][26]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][27]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][28]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][29]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][2]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][30]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][31]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][32]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][33]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][34]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][35]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][36]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][37]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][38]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][39]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][3]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][4]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][5]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][6]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][7]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][8]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][9]\ : label is "logic"; - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \out_m[15]_i_2\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \out_m[15]_i_3\ : label is "soft_lutpair0"; - attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of p_4_out_carry : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__4\ : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__5\ : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__6\ : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__7\ : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__8\ : label is 35; - attribute ADDER_THRESHOLD of \x_mul1__0_carry\ : label is 35; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__0\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_10__1\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_11__1\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_12__1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_9__1\ : label is "soft_lutpair12"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__1\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_10__1\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_11__1\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_12__1\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_9__1\ : label is "soft_lutpair10"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__2\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_10__1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_11__1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_12__1\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_9__1\ : label is "soft_lutpair8"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__3\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_10__1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_11__1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_12__1\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_9__1\ : label is "soft_lutpair6"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__4\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_10__1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_11__1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_12__1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_9__1\ : label is "soft_lutpair4"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__5\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_10__1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_11__1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_12__1\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_9__1\ : label is "soft_lutpair2"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__6\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_10__1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_11__1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_12__1\ : label is "soft_lutpair2"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__7\ : label is 35; - attribute HLUTNM : string; - attribute HLUTNM of \x_mul1__0_carry__7_i_1\ : label is "lutpair0"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__8\ : label is 35; - attribute HLUTNM of \x_mul1__0_carry__8_i_3\ : label is "lutpair0"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_10__1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_8__1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_9__1\ : label is "soft_lutpair15"; - attribute METHODOLOGY_DRC_VIOS : string; - attribute METHODOLOGY_DRC_VIOS of y_mul : label is "{SYNTH-10 {cell *THIS*} {string 18x24 3}}"; - attribute METHODOLOGY_DRC_VIOS of \y_mul__0\ : label is "{SYNTH-13 {cell *THIS*}}"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_10\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_10__0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_10__1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_11\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_11__0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_11__1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_12\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_12__0\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_12__1\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_9\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_9__0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \y_mul__1_carry__0_i_9__1\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_10\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_10__0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_10__1\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_11\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_11__0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_11__1\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_12\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_12__0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_12__1\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_9\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_9__0\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \y_mul__1_carry__1_i_9__1\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_10\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_10__0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_10__1\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_11\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_11__0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_11__1\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_12\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_12__0\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_12__1\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_9\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_9__0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \y_mul__1_carry__2_i_9__1\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_10\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_10__0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_10__1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_11\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_11__0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_11__1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_12\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_12__0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_12__1\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_9\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_9__0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \y_mul__1_carry__3_i_9__1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_10\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_10__0\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_10__1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_11\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_11__0\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_11__1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_12\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_12__0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \y_mul__1_carry__4_i_12__1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \y_mul__1_carry_i_8\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \y_mul__1_carry_i_8__0\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \y_mul__1_carry_i_8__1\ : label is "soft_lutpair36"; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__4\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__5\ : label is 35; -begin - O(0) <= \^o\(0); - P(1 downto 0) <= \^p\(1 downto 0); - \cy1[13]\(3 downto 0) <= \^cy1[13]\(3 downto 0); - \cy1[17]\(3 downto 0) <= \^cy1[17]\(3 downto 0); - \cy1[20]\(2 downto 0) <= \^cy1[20]\(2 downto 0); - \cy1[22]\(1 downto 0) <= \^cy1[22]\(1 downto 0); - \cy1[5]\(3 downto 0) <= \^cy1[5]\(3 downto 0); - \cy1[9]\(3 downto 0) <= \^cy1[9]\(3 downto 0); - \cy2[13]\(3 downto 0) <= \^cy2[13]\(3 downto 0); - \cy2[17]\(3 downto 0) <= \^cy2[17]\(3 downto 0); - \cy2[20]\(2 downto 0) <= \^cy2[20]\(2 downto 0); - \cy2[22]\(1 downto 0) <= \^cy2[22]\(1 downto 0); - \cy2[5]\(3 downto 0) <= \^cy2[5]\(3 downto 0); - \cy2[9]\(3 downto 0) <= \^cy2[9]\(3 downto 0); -\i__carry__0_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_82\, - I1 => \y_mul__1_carry__0_n_5\, - O => \i__carry__0_i_1_n_0\ - ); -\i__carry__0_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_83\, - I1 => \y_mul__1_carry__0_n_6\, - O => \i__carry__0_i_2_n_0\ - ); -\i__carry__0_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_84\, - I1 => \y_mul__1_carry__0_n_7\, - O => \i__carry__0_i_3_n_0\ - ); -\i__carry__0_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_85\, - I1 => \y_mul__1_carry_n_4\, - O => \i__carry__0_i_4_n_0\ - ); -\i__carry__1_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_78\, - I1 => \y_mul__1_carry__1_n_5\, - O => \i__carry__1_i_1_n_0\ - ); -\i__carry__1_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_79\, - I1 => \y_mul__1_carry__1_n_6\, - O => \i__carry__1_i_2_n_0\ - ); -\i__carry__1_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_80\, - I1 => \y_mul__1_carry__1_n_7\, - O => \i__carry__1_i_3_n_0\ - ); -\i__carry__1_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_81\, - I1 => \y_mul__1_carry__0_n_4\, - O => \i__carry__1_i_4_n_0\ - ); -\i__carry__2_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_74\, - I1 => \y_mul__1_carry__2_n_5\, - O => \i__carry__2_i_1_n_0\ - ); -\i__carry__2_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_75\, - I1 => \y_mul__1_carry__2_n_6\, - O => \i__carry__2_i_2_n_0\ - ); -\i__carry__2_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_76\, - I1 => \y_mul__1_carry__2_n_7\, - O => \i__carry__2_i_3_n_0\ - ); -\i__carry__2_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_77\, - I1 => \y_mul__1_carry__1_n_4\, - O => \i__carry__2_i_4_n_0\ - ); -\i__carry__3_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_70\, - I1 => \y_mul__1_carry__3_n_5\, - O => \i__carry__3_i_1_n_0\ - ); -\i__carry__3_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_71\, - I1 => \y_mul__1_carry__3_n_6\, - O => \i__carry__3_i_2_n_0\ - ); -\i__carry__3_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_72\, - I1 => \y_mul__1_carry__3_n_7\, - O => \i__carry__3_i_3_n_0\ - ); -\i__carry__3_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_73\, - I1 => \y_mul__1_carry__2_n_4\, - O => \i__carry__3_i_4_n_0\ - ); -\i__carry__4_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_66\, - I1 => \y_mul__1_carry__4_n_5\, - O => \i__carry__4_i_1_n_0\ - ); -\i__carry__4_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_67\, - I1 => \y_mul__1_carry__4_n_6\, - O => \i__carry__4_i_2_n_0\ - ); -\i__carry__4_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_68\, - I1 => \y_mul__1_carry__4_n_7\, - O => \i__carry__4_i_3_n_0\ - ); -\i__carry__4_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_69\, - I1 => \y_mul__1_carry__3_n_4\, - O => \i__carry__4_i_4_n_0\ - ); -\i__carry__5_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y_mul__0_n_62\, - I1 => \y_mul__1_carry__5_n_1\, - O => \i__carry__5_i_1_n_0\ - ); -\i__carry__5_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_63\, - I1 => \y_mul__1_carry__5_n_6\, - O => \i__carry__5_i_2_n_0\ - ); -\i__carry__5_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_64\, - I1 => \y_mul__1_carry__5_n_7\, - O => \i__carry__5_i_3_n_0\ - ); -\i__carry__5_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_65\, - I1 => \y_mul__1_carry__4_n_4\, - O => \i__carry__5_i_4_n_0\ - ); -\i__carry_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_86\, - I1 => \y_mul__1_carry_n_5\, - O => \i__carry_i_1_n_0\ - ); -\i__carry_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_87\, - I1 => \y_mul__1_carry_n_6\, - O => \i__carry_i_2_n_0\ - ); -\i__carry_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_88\, - I1 => \y_mul__1_carry_n_7\, - O => \i__carry_i_3_n_0\ - ); -\intreg_reg[0][0]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(0), - Q => \intreg_reg[0]_0\(0) - ); -\intreg_reg[0][10]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(10), - Q => \intreg_reg[0]_0\(10) - ); -\intreg_reg[0][11]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(11), - Q => \intreg_reg[0]_0\(11) - ); -\intreg_reg[0][12]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(12), - Q => \intreg_reg[0]_0\(12) - ); -\intreg_reg[0][13]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(13), - Q => \intreg_reg[0]_0\(13) - ); -\intreg_reg[0][14]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(14), - Q => \intreg_reg[0]_0\(14) - ); -\intreg_reg[0][15]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(15), - Q => \intreg_reg[0]_0\(15) - ); -\intreg_reg[0][16]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(16), - Q => \intreg_reg[0]_0\(16) - ); -\intreg_reg[0][17]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(17), - Q => \intreg_reg[0]_0\(17) - ); -\intreg_reg[0][18]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(18), - Q => \intreg_reg[0]_0\(18) - ); -\intreg_reg[0][19]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(19), - Q => \intreg_reg[0]_0\(19) - ); -\intreg_reg[0][1]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(1), - Q => \intreg_reg[0]_0\(1) - ); -\intreg_reg[0][20]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(20), - Q => \intreg_reg[0]_0\(20) - ); -\intreg_reg[0][21]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(21), - Q => \intreg_reg[0]_0\(21) - ); -\intreg_reg[0][22]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(22), - Q => \intreg_reg[0]_0\(22) - ); -\intreg_reg[0][23]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(23), - Q => \intreg_reg[0]_0\(23) - ); -\intreg_reg[0][24]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(24), - Q => \intreg_reg[0]_0\(24) - ); -\intreg_reg[0][25]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(25), - Q => \intreg_reg[0]_0\(25) - ); -\intreg_reg[0][26]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(26), - Q => \intreg_reg[0]_0\(26) - ); -\intreg_reg[0][27]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(27), - Q => \intreg_reg[0]_0\(27) - ); -\intreg_reg[0][28]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(28), - Q => \intreg_reg[0]_0\(28) - ); -\intreg_reg[0][29]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(29), - Q => \intreg_reg[0]_0\(29) - ); -\intreg_reg[0][2]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(2), - Q => \intreg_reg[0]_0\(2) - ); -\intreg_reg[0][30]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(30), - Q => \intreg_reg[0]_0\(30) - ); -\intreg_reg[0][31]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(31), - Q => \intreg_reg[0]_0\(31) - ); -\intreg_reg[0][32]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(32), - Q => \intreg_reg[0]_0\(32) - ); -\intreg_reg[0][33]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(33), - Q => \intreg_reg[0]_0\(33) - ); -\intreg_reg[0][34]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(34), - Q => \intreg_reg[0]_0\(34) - ); -\intreg_reg[0][35]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(35), - Q => \intreg_reg[0]_0\(35) - ); -\intreg_reg[0][36]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(36), - Q => \intreg_reg[0]_0\(36) - ); -\intreg_reg[0][37]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(37), - Q => \intreg_reg[0]_0\(37) - ); -\intreg_reg[0][38]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(38), - Q => \intreg_reg[0]_0\(38) - ); -\intreg_reg[0][39]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(39), - Q => \intreg_reg[0]_0\(39) - ); -\intreg_reg[0][3]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(3), - Q => \intreg_reg[0]_0\(3) - ); -\intreg_reg[0][4]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(4), - Q => \intreg_reg[0]_0\(4) - ); -\intreg_reg[0][5]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(5), - Q => \intreg_reg[0]_0\(5) - ); -\intreg_reg[0][6]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(6), - Q => \intreg_reg[0]_0\(6) - ); -\intreg_reg[0][7]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(7), - Q => \intreg_reg[0]_0\(7) - ); -\intreg_reg[0][8]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(8), - Q => \intreg_reg[0]_0\(8) - ); -\intreg_reg[0][9]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(9), - Q => \intreg_reg[0]_0\(9) - ); -\intreg_reg[1][0]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(0), - Q => \intreg_reg[1]_1\(0) - ); -\intreg_reg[1][10]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(10), - Q => \intreg_reg[1]_1\(10) - ); -\intreg_reg[1][11]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(11), - Q => \intreg_reg[1]_1\(11) - ); -\intreg_reg[1][12]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(12), - Q => \intreg_reg[1]_1\(12) - ); -\intreg_reg[1][13]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(13), - Q => \intreg_reg[1]_1\(13) - ); -\intreg_reg[1][14]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(14), - Q => \intreg_reg[1]_1\(14) - ); -\intreg_reg[1][15]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(15), - Q => \intreg_reg[1]_1\(15) - ); -\intreg_reg[1][16]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(16), - Q => \intreg_reg[1]_1\(16) - ); -\intreg_reg[1][17]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(17), - Q => \intreg_reg[1]_1\(17) - ); -\intreg_reg[1][18]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(18), - Q => \intreg_reg[1]_1\(18) - ); -\intreg_reg[1][19]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(19), - Q => \intreg_reg[1]_1\(19) - ); -\intreg_reg[1][1]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(1), - Q => \intreg_reg[1]_1\(1) - ); -\intreg_reg[1][20]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(20), - Q => \intreg_reg[1]_1\(20) - ); -\intreg_reg[1][21]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(21), - Q => \intreg_reg[1]_1\(21) - ); -\intreg_reg[1][22]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(22), - Q => \intreg_reg[1]_1\(22) - ); -\intreg_reg[1][23]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(23), - Q => \intreg_reg[1]_1\(23) - ); -\intreg_reg[1][24]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(24), - Q => \intreg_reg[1]_1\(24) - ); -\intreg_reg[1][25]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(25), - Q => \intreg_reg[1]_1\(25) - ); -\intreg_reg[1][26]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(26), - Q => \intreg_reg[1]_1\(26) - ); -\intreg_reg[1][27]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(27), - Q => \intreg_reg[1]_1\(27) - ); -\intreg_reg[1][28]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(28), - Q => \intreg_reg[1]_1\(28) - ); -\intreg_reg[1][29]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(29), - Q => \intreg_reg[1]_1\(29) - ); -\intreg_reg[1][2]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(2), - Q => \intreg_reg[1]_1\(2) - ); -\intreg_reg[1][30]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(30), - Q => \intreg_reg[1]_1\(30) - ); -\intreg_reg[1][31]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(31), - Q => \intreg_reg[1]_1\(31) - ); -\intreg_reg[1][32]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(32), - Q => \intreg_reg[1]_1\(32) - ); -\intreg_reg[1][33]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(33), - Q => \intreg_reg[1]_1\(33) - ); -\intreg_reg[1][34]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(34), - Q => \intreg_reg[1]_1\(34) - ); -\intreg_reg[1][35]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(35), - Q => \intreg_reg[1]_1\(35) - ); -\intreg_reg[1][36]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(36), - Q => \intreg_reg[1]_1\(36) - ); -\intreg_reg[1][37]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(37), - Q => \intreg_reg[1]_1\(37) - ); -\intreg_reg[1][38]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(38), - Q => \intreg_reg[1]_1\(38) - ); -\intreg_reg[1][39]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(39), - Q => \intreg_reg[1]_1\(39) - ); -\intreg_reg[1][3]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(3), - Q => \intreg_reg[1]_1\(3) - ); -\intreg_reg[1][4]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(4), - Q => \intreg_reg[1]_1\(4) - ); -\intreg_reg[1][5]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(5), - Q => \intreg_reg[1]_1\(5) - ); -\intreg_reg[1][6]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(6), - Q => \intreg_reg[1]_1\(6) - ); -\intreg_reg[1][7]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(7), - Q => \intreg_reg[1]_1\(7) - ); -\intreg_reg[1][8]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(8), - Q => \intreg_reg[1]_1\(8) - ); -\intreg_reg[1][9]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(9), - Q => \intreg_reg[1]_1\(9) - ); -\out_m[14]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5555555400000000" - ) - port map ( - I0 => \out_r_reg[0]\(3), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => out_m, - O => \inp_mul__0_0\ - ); -\out_m[15]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"0EFF0E00" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => y_clamp21_out, - I2 => \y_clamp1__0\, - I3 => out_m, - I4 => D(0), - O => \out_m_reg[15]\ - ); -\out_m[15]_i_2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"2AAAAAAA" - ) - port map ( - I0 => \out_r_reg[0]\(3), - I1 => \out_r_reg[0]\(1), - I2 => \out_r_reg[0]\(2), - I3 => \out_r_reg[0]_0\(1), - I4 => \out_r_reg[0]\(0), - O => y_clamp21_out - ); -\out_m[15]_i_3\: unisim.vcomponents.LUT5 - generic map( - INIT => X"0000FFFE" - ) - port map ( - I0 => \out_r_reg[0]\(1), - I1 => \out_r_reg[0]\(2), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(0), - I4 => \out_r_reg[0]\(3), - O => \y_clamp1__0\ - ); -\out_r[0]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(3), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_15\ - ); -\out_r[10]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(13), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_5\ - ); -\out_r[11]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(14), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_4\ - ); -\out_r[12]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(15), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_3\ - ); -\out_r[13]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(16), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_2\ - ); -\out_r[14]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5555555400000000" - ) - port map ( - I0 => \out_r_reg[0]\(3), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => E(0), - O => \inp_mul__0\ - ); -\out_r[14]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \out_r_reg[0]_0\(0), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_1\ - ); -\out_r[1]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(4), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_14\ - ); -\out_r[2]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(5), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_13\ - ); -\out_r[3]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(6), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_12\ - ); -\out_r[4]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(7), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_11\ - ); -\out_r[5]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(8), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_10\ - ); -\out_r[6]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(9), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_9\ - ); -\out_r[7]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(10), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_8\ - ); -\out_r[8]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(11), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_7\ - ); -\out_r[9]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"80000000AAAAAAAA" - ) - port map ( - I0 => \y_mul__0_0\(12), - I1 => \out_r_reg[0]\(0), - I2 => \out_r_reg[0]_0\(1), - I3 => \out_r_reg[0]\(2), - I4 => \out_r_reg[0]\(1), - I5 => \out_r_reg[0]\(3), - O => \inp_mul__0_6\ - ); -p_4_out_carry: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => p_4_out_carry_n_0, - CO(2) => p_4_out_carry_n_1, - CO(1) => p_4_out_carry_n_2, - CO(0) => p_4_out_carry_n_3, - CYINIT => '0', - DI(3) => p_4_out_carry_i_1_n_0, - DI(2) => p_4_out_carry_i_2_n_0, - DI(1 downto 0) => DI(1 downto 0), - O(3 downto 0) => p_4_out(3 downto 0), - S(3) => p_4_out_carry_i_5_n_0, - S(2) => p_4_out_carry_i_6_n_0, - S(1) => S(0), - S(0) => p_4_out_carry_i_8_n_0 - ); -\p_4_out_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => p_4_out_carry_n_0, - CO(3) => \p_4_out_carry__0_n_0\, - CO(2) => \p_4_out_carry__0_n_1\, - CO(1) => \p_4_out_carry__0_n_2\, - CO(0) => \p_4_out_carry__0_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__0_i_1_n_0\, - DI(2) => \p_4_out_carry__0_i_2_n_0\, - DI(1) => \p_4_out_carry__0_i_3_n_0\, - DI(0) => \p_4_out_carry__0_i_4_n_0\, - O(3 downto 0) => p_4_out(7 downto 4), - S(3) => \p_4_out_carry__0_i_5_n_0\, - S(2) => \p_4_out_carry__0_i_6_n_0\, - S(1) => \p_4_out_carry__0_i_7_n_0\, - S(0) => \p_4_out_carry__0_i_8_n_0\ - ); -\p_4_out_carry__0_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_95\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(6), - I3 => Q(6), - I4 => x_mul2_out(6), - I5 => cx0(3), - O => \p_4_out_carry__0_i_1_n_0\ - ); -\p_4_out_carry__0_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_96\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(5), - I3 => Q(5), - I4 => x_mul2_out(5), - I5 => cx0(3), - O => \p_4_out_carry__0_i_2_n_0\ - ); -\p_4_out_carry__0_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_97\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(4), - I3 => Q(4), - I4 => x_mul2_out(4), - I5 => cx0(3), - O => \p_4_out_carry__0_i_3_n_0\ - ); -\p_4_out_carry__0_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_98\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(3), - I3 => Q(3), - I4 => x_mul2_out(3), - I5 => cx0(3), - O => \p_4_out_carry__0_i_4_n_0\ - ); -\p_4_out_carry__0_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__0_i_1_n_0\, - I1 => tap1(5), - I2 => \y_mul__0_n_94\, - I3 => cx0(3), - I4 => x_mul2_out(7), - O => \p_4_out_carry__0_i_5_n_0\ - ); -\p_4_out_carry__0_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__0_i_2_n_0\, - I1 => tap1(4), - I2 => \y_mul__0_n_95\, - I3 => cx0(3), - I4 => x_mul2_out(6), - O => \p_4_out_carry__0_i_6_n_0\ - ); -\p_4_out_carry__0_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__0_i_3_n_0\, - I1 => tap1(3), - I2 => \y_mul__0_n_96\, - I3 => cx0(3), - I4 => x_mul2_out(5), - O => \p_4_out_carry__0_i_7_n_0\ - ); -\p_4_out_carry__0_i_8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__0_i_4_n_0\, - I1 => tap1(2), - I2 => \y_mul__0_n_97\, - I3 => cx0(3), - I4 => x_mul2_out(4), - O => \p_4_out_carry__0_i_8_n_0\ - ); -\p_4_out_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__0_n_0\, - CO(3) => \p_4_out_carry__1_n_0\, - CO(2) => \p_4_out_carry__1_n_1\, - CO(1) => \p_4_out_carry__1_n_2\, - CO(0) => \p_4_out_carry__1_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__1_i_1_n_0\, - DI(2) => \p_4_out_carry__1_i_2_n_0\, - DI(1) => \p_4_out_carry__1_i_3_n_0\, - DI(0) => \p_4_out_carry__1_i_4_n_0\, - O(3 downto 0) => p_4_out(11 downto 8), - S(3) => \p_4_out_carry__1_i_5_n_0\, - S(2) => \p_4_out_carry__1_i_6_n_0\, - S(1) => \p_4_out_carry__1_i_7_n_0\, - S(0) => \p_4_out_carry__1_i_8_n_0\ - ); -\p_4_out_carry__1_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_91\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(10), - I3 => Q(10), - I4 => x_mul2_out(10), - I5 => cx0(3), - O => \p_4_out_carry__1_i_1_n_0\ - ); -\p_4_out_carry__1_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_92\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(9), - I3 => Q(9), - I4 => x_mul2_out(9), - I5 => cx0(3), - O => \p_4_out_carry__1_i_2_n_0\ - ); -\p_4_out_carry__1_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_93\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(8), - I3 => Q(8), - I4 => x_mul2_out(8), - I5 => cx0(3), - O => \p_4_out_carry__1_i_3_n_0\ - ); -\p_4_out_carry__1_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_94\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(7), - I3 => Q(7), - I4 => x_mul2_out(7), - I5 => cx0(3), - O => \p_4_out_carry__1_i_4_n_0\ - ); -\p_4_out_carry__1_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__1_i_1_n_0\, - I1 => tap1(9), - I2 => \y_mul__0_n_90\, - I3 => cx0(3), - I4 => x_mul2_out(11), - O => \p_4_out_carry__1_i_5_n_0\ - ); -\p_4_out_carry__1_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__1_i_2_n_0\, - I1 => tap1(8), - I2 => \y_mul__0_n_91\, - I3 => cx0(3), - I4 => x_mul2_out(10), - O => \p_4_out_carry__1_i_6_n_0\ - ); -\p_4_out_carry__1_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__1_i_3_n_0\, - I1 => tap1(7), - I2 => \y_mul__0_n_92\, - I3 => cx0(3), - I4 => x_mul2_out(9), - O => \p_4_out_carry__1_i_7_n_0\ - ); -\p_4_out_carry__1_i_8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__1_i_4_n_0\, - I1 => tap1(6), - I2 => \y_mul__0_n_93\, - I3 => cx0(3), - I4 => x_mul2_out(8), - O => \p_4_out_carry__1_i_8_n_0\ - ); -\p_4_out_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__1_n_0\, - CO(3) => \p_4_out_carry__2_n_0\, - CO(2) => \p_4_out_carry__2_n_1\, - CO(1) => \p_4_out_carry__2_n_2\, - CO(0) => \p_4_out_carry__2_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__2_i_1_n_0\, - DI(2) => \p_4_out_carry__2_i_2_n_0\, - DI(1) => \p_4_out_carry__2_i_3_n_0\, - DI(0) => \p_4_out_carry__2_i_4_n_0\, - O(3 downto 0) => p_4_out(15 downto 12), - S(3) => \p_4_out_carry__2_i_5_n_0\, - S(2) => \p_4_out_carry__2_i_6_n_0\, - S(1) => \p_4_out_carry__2_i_7_n_0\, - S(0) => \p_4_out_carry__2_i_8_n_0\ - ); -\p_4_out_carry__2_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(35), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(14), - I3 => Q(14), - I4 => x_mul2_out(14), - I5 => cx0(3), - O => \p_4_out_carry__2_i_1_n_0\ - ); -\p_4_out_carry__2_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(34), - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(13), - I3 => Q(13), - I4 => x_mul2_out(13), - I5 => cx0(3), - O => \p_4_out_carry__2_i_2_n_0\ - ); -\p_4_out_carry__2_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(33), - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(12), - I3 => Q(12), - I4 => x_mul2_out(12), - I5 => cx0(3), - O => \p_4_out_carry__2_i_3_n_0\ - ); -\p_4_out_carry__2_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_90\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(11), - I3 => Q(11), - I4 => x_mul2_out(11), - I5 => cx0(3), - O => \p_4_out_carry__2_i_4_n_0\ - ); -\p_4_out_carry__2_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__2_i_1_n_0\, - I1 => tap1(13), - I2 => \y_mul__1__0\(36), - I3 => cx0(3), - I4 => x_mul2_out(15), - O => \p_4_out_carry__2_i_5_n_0\ - ); -\p_4_out_carry__2_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__2_i_2_n_0\, - I1 => tap1(12), - I2 => \y_mul__1__0\(35), - I3 => cx0(3), - I4 => x_mul2_out(14), - O => \p_4_out_carry__2_i_6_n_0\ - ); -\p_4_out_carry__2_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__2_i_3_n_0\, - I1 => tap1(11), - I2 => \y_mul__1__0\(34), - I3 => cx0(3), - I4 => x_mul2_out(13), - O => \p_4_out_carry__2_i_7_n_0\ - ); -\p_4_out_carry__2_i_8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__2_i_4_n_0\, - I1 => tap1(10), - I2 => \y_mul__1__0\(33), - I3 => cx0(3), - I4 => x_mul2_out(12), - O => \p_4_out_carry__2_i_8_n_0\ - ); -\p_4_out_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__2_n_0\, - CO(3) => \p_4_out_carry__3_n_0\, - CO(2) => \p_4_out_carry__3_n_1\, - CO(1) => \p_4_out_carry__3_n_2\, - CO(0) => \p_4_out_carry__3_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__3_i_1_n_0\, - DI(2) => \p_4_out_carry__3_i_2_n_0\, - DI(1) => \p_4_out_carry__3_i_3_n_0\, - DI(0) => \p_4_out_carry__3_i_4_n_0\, - O(3 downto 0) => p_4_out(19 downto 16), - S(3) => \p_4_out_carry__3_i_5_n_0\, - S(2) => \p_4_out_carry__3_i_6_n_0\, - S(1) => \p_4_out_carry__3_i_7_n_0\, - S(0) => \p_4_out_carry__3_i_8_n_0\ - ); -\p_4_out_carry__3_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(39), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(18), - I3 => Q(18), - I4 => x_mul2_out(18), - I5 => cx0(3), - O => \p_4_out_carry__3_i_1_n_0\ - ); -\p_4_out_carry__3_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(38), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(17), - I3 => Q(17), - I4 => x_mul2_out(17), - I5 => cx0(3), - O => \p_4_out_carry__3_i_2_n_0\ - ); -\p_4_out_carry__3_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(37), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(16), - I3 => Q(16), - I4 => x_mul2_out(16), - I5 => cx0(3), - O => \p_4_out_carry__3_i_3_n_0\ - ); -\p_4_out_carry__3_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(36), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(15), - I3 => Q(15), - I4 => x_mul2_out(15), - I5 => cx0(3), - O => \p_4_out_carry__3_i_4_n_0\ - ); -\p_4_out_carry__3_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__3_i_1_n_0\, - I1 => tap1(17), - I2 => \y_mul__1__0\(40), - I3 => cx0(3), - I4 => x_mul2_out(19), - O => \p_4_out_carry__3_i_5_n_0\ - ); -\p_4_out_carry__3_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__3_i_2_n_0\, - I1 => tap1(16), - I2 => \y_mul__1__0\(39), - I3 => cx0(3), - I4 => x_mul2_out(18), - O => \p_4_out_carry__3_i_6_n_0\ - ); -\p_4_out_carry__3_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__3_i_3_n_0\, - I1 => tap1(15), - I2 => \y_mul__1__0\(38), - I3 => cx0(3), - I4 => x_mul2_out(17), - O => \p_4_out_carry__3_i_7_n_0\ - ); -\p_4_out_carry__3_i_8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__3_i_4_n_0\, - I1 => tap1(14), - I2 => \y_mul__1__0\(37), - I3 => cx0(3), - I4 => x_mul2_out(16), - O => \p_4_out_carry__3_i_8_n_0\ - ); -\p_4_out_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__3_n_0\, - CO(3) => \p_4_out_carry__4_n_0\, - CO(2) => \p_4_out_carry__4_n_1\, - CO(1) => \p_4_out_carry__4_n_2\, - CO(0) => \p_4_out_carry__4_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__4_i_1_n_0\, - DI(2) => \p_4_out_carry__4_i_2_n_0\, - DI(1) => \p_4_out_carry__4_i_3_n_0\, - DI(0) => \p_4_out_carry__4_i_4_n_0\, - O(3 downto 0) => p_4_out(23 downto 20), - S(3) => \p_4_out_carry__4_i_5_n_0\, - S(2) => \p_4_out_carry__4_i_6_n_0\, - S(1) => \p_4_out_carry__4_i_7_n_0\, - S(0) => \p_4_out_carry__4_i_8_n_0\ - ); -\p_4_out_carry__4_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(43), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(22), - I3 => Q(22), - I4 => x_mul2_out(22), - I5 => cx0(3), - O => \p_4_out_carry__4_i_1_n_0\ - ); -\p_4_out_carry__4_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(42), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(21), - I3 => Q(21), - I4 => x_mul2_out(21), - I5 => cx0(3), - O => \p_4_out_carry__4_i_2_n_0\ - ); -\p_4_out_carry__4_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(41), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(20), - I3 => Q(20), - I4 => x_mul2_out(20), - I5 => cx0(3), - O => \p_4_out_carry__4_i_3_n_0\ - ); -\p_4_out_carry__4_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(40), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(19), - I3 => Q(19), - I4 => x_mul2_out(19), - I5 => cx0(3), - O => \p_4_out_carry__4_i_4_n_0\ - ); -\p_4_out_carry__4_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__4_i_1_n_0\, - I1 => tap1(21), - I2 => \y_mul__1__0\(44), - I3 => cx0(3), - I4 => x_mul2_out(23), - O => \p_4_out_carry__4_i_5_n_0\ - ); -\p_4_out_carry__4_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__4_i_2_n_0\, - I1 => tap1(20), - I2 => \y_mul__1__0\(43), - I3 => cx0(3), - I4 => x_mul2_out(22), - O => \p_4_out_carry__4_i_6_n_0\ - ); -\p_4_out_carry__4_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__4_i_3_n_0\, - I1 => tap1(19), - I2 => \y_mul__1__0\(42), - I3 => cx0(3), - I4 => x_mul2_out(21), - O => \p_4_out_carry__4_i_7_n_0\ - ); -\p_4_out_carry__4_i_8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__4_i_4_n_0\, - I1 => tap1(18), - I2 => \y_mul__1__0\(41), - I3 => cx0(3), - I4 => x_mul2_out(20), - O => \p_4_out_carry__4_i_8_n_0\ - ); -\p_4_out_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__4_n_0\, - CO(3) => \p_4_out_carry__5_n_0\, - CO(2) => \p_4_out_carry__5_n_1\, - CO(1) => \p_4_out_carry__5_n_2\, - CO(0) => \p_4_out_carry__5_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__5_i_1_n_0\, - DI(2) => \p_4_out_carry__5_i_2_n_0\, - DI(1) => \p_4_out_carry__5_i_3_n_0\, - DI(0) => \p_4_out_carry__5_i_4_n_0\, - O(3 downto 0) => p_4_out(27 downto 24), - S(3) => \p_4_out_carry__5_i_5_n_0\, - S(2) => \p_4_out_carry__5_i_6_n_0\, - S(1) => \p_4_out_carry__5_i_7_n_0\, - S(0) => \p_4_out_carry__5_i_8_n_0\ - ); -\p_4_out_carry__5_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(47), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(26), - I3 => Q(26), - I4 => x_mul2_out(26), - I5 => cx0(3), - O => \p_4_out_carry__5_i_1_n_0\ - ); -\p_4_out_carry__5_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(46), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(25), - I3 => Q(25), - I4 => x_mul2_out(25), - I5 => cx0(3), - O => \p_4_out_carry__5_i_2_n_0\ - ); -\p_4_out_carry__5_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(45), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(24), - I3 => Q(24), - I4 => x_mul2_out(24), - I5 => cx0(3), - O => \p_4_out_carry__5_i_3_n_0\ - ); -\p_4_out_carry__5_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(44), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(23), - I3 => Q(23), - I4 => x_mul2_out(23), - I5 => cx0(3), - O => \p_4_out_carry__5_i_4_n_0\ - ); -\p_4_out_carry__5_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__5_i_1_n_0\, - I1 => tap1(25), - I2 => \y_mul__1__0\(48), - I3 => cx0(3), - I4 => x_mul2_out(27), - O => \p_4_out_carry__5_i_5_n_0\ - ); -\p_4_out_carry__5_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__5_i_2_n_0\, - I1 => tap1(24), - I2 => \y_mul__1__0\(47), - I3 => cx0(3), - I4 => x_mul2_out(26), - O => \p_4_out_carry__5_i_6_n_0\ - ); -\p_4_out_carry__5_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__5_i_3_n_0\, - I1 => tap1(23), - I2 => \y_mul__1__0\(46), - I3 => cx0(3), - I4 => x_mul2_out(25), - O => \p_4_out_carry__5_i_7_n_0\ - ); -\p_4_out_carry__5_i_8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__5_i_4_n_0\, - I1 => tap1(22), - I2 => \y_mul__1__0\(45), - I3 => cx0(3), - I4 => x_mul2_out(24), - O => \p_4_out_carry__5_i_8_n_0\ - ); -\p_4_out_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__5_n_0\, - CO(3) => \p_4_out_carry__6_n_0\, - CO(2) => \p_4_out_carry__6_n_1\, - CO(1) => \p_4_out_carry__6_n_2\, - CO(0) => \p_4_out_carry__6_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__6_i_1_n_0\, - DI(2) => \p_4_out_carry__6_i_2_n_0\, - DI(1) => \p_4_out_carry__6_i_3_n_0\, - DI(0) => \p_4_out_carry__6_i_4_n_0\, - O(3 downto 0) => p_4_out(31 downto 28), - S(3) => \p_4_out_carry__6_i_5_n_0\, - S(2) => \p_4_out_carry__6_i_6_n_0\, - S(1) => \p_4_out_carry__6_i_7_n_0\, - S(0) => \p_4_out_carry__6_i_8_n_0\ - ); -\p_4_out_carry__6_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(51), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(30), - I3 => Q(30), - I4 => x_mul2_out(30), - I5 => cx0(3), - O => \p_4_out_carry__6_i_1_n_0\ - ); -\p_4_out_carry__6_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(50), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(29), - I3 => Q(29), - I4 => x_mul2_out(29), - I5 => cx0(3), - O => \p_4_out_carry__6_i_2_n_0\ - ); -\p_4_out_carry__6_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(49), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(28), - I3 => Q(28), - I4 => x_mul2_out(28), - I5 => cx0(3), - O => \p_4_out_carry__6_i_3_n_0\ - ); -\p_4_out_carry__6_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(48), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(27), - I3 => Q(27), - I4 => x_mul2_out(27), - I5 => cx0(3), - O => \p_4_out_carry__6_i_4_n_0\ - ); -\p_4_out_carry__6_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__6_i_1_n_0\, - I1 => tap1(29), - I2 => \y_mul__1__0\(52), - I3 => cx0(3), - I4 => x_mul2_out(31), - O => \p_4_out_carry__6_i_5_n_0\ - ); -\p_4_out_carry__6_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__6_i_2_n_0\, - I1 => tap1(28), - I2 => \y_mul__1__0\(51), - I3 => cx0(3), - I4 => x_mul2_out(30), - O => \p_4_out_carry__6_i_6_n_0\ - ); -\p_4_out_carry__6_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__6_i_3_n_0\, - I1 => tap1(27), - I2 => \y_mul__1__0\(50), - I3 => cx0(3), - I4 => x_mul2_out(29), - O => \p_4_out_carry__6_i_7_n_0\ - ); -\p_4_out_carry__6_i_8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__6_i_4_n_0\, - I1 => tap1(26), - I2 => \y_mul__1__0\(49), - I3 => cx0(3), - I4 => x_mul2_out(28), - O => \p_4_out_carry__6_i_8_n_0\ - ); -\p_4_out_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__6_n_0\, - CO(3) => \p_4_out_carry__7_n_0\, - CO(2) => \p_4_out_carry__7_n_1\, - CO(1) => \p_4_out_carry__7_n_2\, - CO(0) => \p_4_out_carry__7_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__7_i_1_n_0\, - DI(2) => \p_4_out_carry__7_i_2_n_0\, - DI(1) => \p_4_out_carry__7_i_3_n_0\, - DI(0) => \p_4_out_carry__7_i_4_n_0\, - O(3 downto 0) => p_4_out(35 downto 32), - S(3) => \p_4_out_carry__7_i_5_n_0\, - S(2) => \p_4_out_carry__7_i_6_n_0\, - S(1) => \p_4_out_carry__7_i_7_n_0\, - S(0) => \p_4_out_carry__7_i_8_n_0\ - ); -\p_4_out_carry__7_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(55), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(34), - I3 => Q(34), - I4 => x_mul2_out(34), - I5 => cx0(3), - O => \p_4_out_carry__7_i_1_n_0\ - ); -\p_4_out_carry__7_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(54), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(33), - I3 => Q(33), - I4 => x_mul2_out(33), - I5 => cx0(3), - O => \p_4_out_carry__7_i_2_n_0\ - ); -\p_4_out_carry__7_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(53), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(32), - I3 => Q(32), - I4 => x_mul2_out(32), - I5 => cx0(3), - O => \p_4_out_carry__7_i_3_n_0\ - ); -\p_4_out_carry__7_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(52), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(31), - I3 => Q(31), - I4 => x_mul2_out(31), - I5 => cx0(3), - O => \p_4_out_carry__7_i_4_n_0\ - ); -\p_4_out_carry__7_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__7_i_1_n_0\, - I1 => tap1(33), - I2 => \y_mul__1__0\(56), - I3 => cx0(3), - I4 => x_mul2_out(35), - O => \p_4_out_carry__7_i_5_n_0\ - ); -\p_4_out_carry__7_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__7_i_2_n_0\, - I1 => tap1(32), - I2 => \y_mul__1__0\(55), - I3 => cx0(3), - I4 => x_mul2_out(34), - O => \p_4_out_carry__7_i_6_n_0\ - ); -\p_4_out_carry__7_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__7_i_3_n_0\, - I1 => tap1(31), - I2 => \y_mul__1__0\(54), - I3 => cx0(3), - I4 => x_mul2_out(33), - O => \p_4_out_carry__7_i_7_n_0\ - ); -\p_4_out_carry__7_i_8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__7_i_4_n_0\, - I1 => tap1(30), - I2 => \y_mul__1__0\(53), - I3 => cx0(3), - I4 => x_mul2_out(32), - O => \p_4_out_carry__7_i_8_n_0\ - ); -\p_4_out_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__7_n_0\, - CO(3) => \NLW_p_4_out_carry__8_CO_UNCONNECTED\(3), - CO(2) => \p_4_out_carry__8_n_1\, - CO(1) => \p_4_out_carry__8_n_2\, - CO(0) => \p_4_out_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \p_4_out_carry__8_i_1_n_0\, - DI(1) => \p_4_out_carry__8_i_2_n_0\, - DI(0) => \p_4_out_carry__8_i_3_n_0\, - O(3 downto 0) => p_4_out(39 downto 36), - S(3) => \p_4_out_carry__8_i_4_n_0\, - S(2) => \p_4_out_carry__8_i_5_n_0\, - S(1) => \p_4_out_carry__8_i_6_n_0\, - S(0) => \p_4_out_carry__8_i_7_n_0\ - ); -\p_4_out_carry__8_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(58), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(37), - I3 => Q(37), - I4 => x_mul2_out(37), - I5 => cx0(3), - O => \p_4_out_carry__8_i_1_n_0\ - ); -\p_4_out_carry__8_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(57), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(36), - I3 => Q(36), - I4 => x_mul2_out(36), - I5 => cx0(3), - O => \p_4_out_carry__8_i_2_n_0\ - ); -\p_4_out_carry__8_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(56), - I1 => \intreg_reg[1][15]_0\, - I2 => \p_4_out_carry__8_i_4_0\(35), - I3 => Q(35), - I4 => x_mul2_out(35), - I5 => cx0(3), - O => \p_4_out_carry__8_i_3_n_0\ - ); -\p_4_out_carry__8_i_4\: unisim.vcomponents.LUT5 - generic map( - INIT => X"9F0960F6" - ) - port map ( - I0 => cx0(3), - I1 => x_mul2_out(38), - I2 => tap1(36), - I3 => \y_mul__1__0\(59), - I4 => \p_4_out_carry__8_i_9_n_0\, - O => \p_4_out_carry__8_i_4_n_0\ - ); -\p_4_out_carry__8_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__8_i_1_n_0\, - I1 => tap1(36), - I2 => \y_mul__1__0\(59), - I3 => cx0(3), - I4 => x_mul2_out(38), - O => \p_4_out_carry__8_i_5_n_0\ - ); -\p_4_out_carry__8_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__8_i_2_n_0\, - I1 => tap1(35), - I2 => \y_mul__1__0\(58), - I3 => cx0(3), - I4 => x_mul2_out(37), - O => \p_4_out_carry__8_i_6_n_0\ - ); -\p_4_out_carry__8_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__8_i_3_n_0\, - I1 => tap1(34), - I2 => \y_mul__1__0\(57), - I3 => cx0(3), - I4 => x_mul2_out(36), - O => \p_4_out_carry__8_i_7_n_0\ - ); -\p_4_out_carry__8_i_9\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9669966996966969" - ) - port map ( - I0 => x_mul2_out(39), - I1 => cx0(3), - I2 => \y_mul__1__0\(60), - I3 => Q(38), - I4 => \p_4_out_carry__8_i_4_0\(38), - I5 => \intreg_reg[1][15]_0\, - O => \p_4_out_carry__8_i_9_n_0\ - ); -p_4_out_carry_i_1: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_99\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(2), - I3 => Q(2), - I4 => x_mul2_out(2), - I5 => cx0(3), - O => p_4_out_carry_i_1_n_0 - ); -p_4_out_carry_i_2: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \^p\(1), - I1 => ch, - I2 => \p_4_out_carry__8_i_4_0\(1), - I3 => Q(1), - I4 => \^o\(0), - I5 => cx0(3), - O => p_4_out_carry_i_2_n_0 - ); -p_4_out_carry_i_5: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => p_4_out_carry_i_1_n_0, - I1 => tap1(1), - I2 => \y_mul__0_n_98\, - I3 => cx0(3), - I4 => x_mul2_out(3), - O => p_4_out_carry_i_5_n_0 - ); -p_4_out_carry_i_6: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => p_4_out_carry_i_2_n_0, - I1 => tap1(0), - I2 => \y_mul__0_n_99\, - I3 => cx0(3), - I4 => x_mul2_out(2), - O => p_4_out_carry_i_6_n_0 - ); -p_4_out_carry_i_8: unisim.vcomponents.LUT6 - generic map( - INIT => X"665A99A599A5665A" - ) - port map ( - I0 => \^p\(0), - I1 => Q(0), - I2 => \p_4_out_carry__8_i_4_0\(0), - I3 => ch, - I4 => x_mul2_out(0), - I5 => cx0(3), - O => p_4_out_carry_i_8_n_0 - ); -\x_mul1__0_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \x_mul1__0_carry_n_0\, - CO(2) => \x_mul1__0_carry_n_1\, - CO(1) => \x_mul1__0_carry_n_2\, - CO(0) => \x_mul1__0_carry_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry_i_1_n_0\, - DI(2) => \x_mul1__0_carry_i_2_n_0\, - DI(1) => \x_mul1__0_carry_i_3_n_0\, - DI(0) => '0', - O(3 downto 2) => x_mul2_out(3 downto 2), - O(1) => \^o\(0), - O(0) => x_mul2_out(0), - S(3) => \x_mul1__0_carry_i_4_n_0\, - S(2) => \x_mul1__0_carry_i_5_n_0\, - S(1) => \x_mul1__0_carry_i_6_n_0\, - S(0) => \x_mul1__0_carry_i_7_n_0\ - ); -\x_mul1__0_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry_n_0\, - CO(3) => \x_mul1__0_carry__0_n_0\, - CO(2) => \x_mul1__0_carry__0_n_1\, - CO(1) => \x_mul1__0_carry__0_n_2\, - CO(0) => \x_mul1__0_carry__0_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__0_i_1_n_0\, - DI(2) => \x_mul1__0_carry__0_i_2_n_0\, - DI(1) => \x_mul1__0_carry__0_i_3_n_0\, - DI(0) => \x_mul1__0_carry__0_i_4_n_0\, - O(3 downto 0) => x_mul2_out(7 downto 4), - S(3) => \x_mul1__0_carry__0_i_5_n_0\, - S(2) => \x_mul1__0_carry__0_i_6_n_0\, - S(1) => \x_mul1__0_carry__0_i_7_n_0\, - S(0) => \x_mul1__0_carry__0_i_8_n_0\ - ); -\x_mul1__0_carry__0_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(9), - I1 => cx0(1), - I2 => \y_mul__0_1\(8), - I3 => cx0(2), - I4 => \y_mul__0_1\(10), - I5 => cx0(0), - O => \x_mul1__0_carry__0_i_1_n_0\ - ); -\x_mul1__0_carry__0_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(9), - O => \x_mul1__0_carry__0_i_10__1_n_0\ - ); -\x_mul1__0_carry__0_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(8), - O => \x_mul1__0_carry__0_i_11__1_n_0\ - ); -\x_mul1__0_carry__0_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(7), - O => \x_mul1__0_carry__0_i_12__1_n_0\ - ); -\x_mul1__0_carry__0_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(8), - I1 => cx0(1), - I2 => \y_mul__0_1\(7), - I3 => cx0(2), - I4 => \y_mul__0_1\(9), - I5 => cx0(0), - O => \x_mul1__0_carry__0_i_2_n_0\ - ); -\x_mul1__0_carry__0_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(7), - I1 => cx0(1), - I2 => \y_mul__0_1\(6), - I3 => cx0(2), - I4 => \y_mul__0_1\(8), - I5 => cx0(0), - O => \x_mul1__0_carry__0_i_3_n_0\ - ); -\x_mul1__0_carry__0_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(6), - I1 => cx0(1), - I2 => \y_mul__0_1\(5), - I3 => cx0(2), - I4 => \y_mul__0_1\(7), - I5 => cx0(0), - O => \x_mul1__0_carry__0_i_4_n_0\ - ); -\x_mul1__0_carry__0_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_1_n_0\, - I1 => \y_mul__0_1\(9), - I2 => cx0(2), - I3 => \x_mul1__0_carry__0_i_9__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(11), - O => \x_mul1__0_carry__0_i_5_n_0\ - ); -\x_mul1__0_carry__0_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_2_n_0\, - I1 => \y_mul__0_1\(8), - I2 => cx0(2), - I3 => \x_mul1__0_carry__0_i_10__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(10), - O => \x_mul1__0_carry__0_i_6_n_0\ - ); -\x_mul1__0_carry__0_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_3_n_0\, - I1 => \y_mul__0_1\(7), - I2 => cx0(2), - I3 => \x_mul1__0_carry__0_i_11__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(9), - O => \x_mul1__0_carry__0_i_7_n_0\ - ); -\x_mul1__0_carry__0_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_4_n_0\, - I1 => \y_mul__0_1\(6), - I2 => cx0(2), - I3 => \x_mul1__0_carry__0_i_12__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(8), - O => \x_mul1__0_carry__0_i_8_n_0\ - ); -\x_mul1__0_carry__0_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(10), - O => \x_mul1__0_carry__0_i_9__1_n_0\ - ); -\x_mul1__0_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__0_n_0\, - CO(3) => \x_mul1__0_carry__1_n_0\, - CO(2) => \x_mul1__0_carry__1_n_1\, - CO(1) => \x_mul1__0_carry__1_n_2\, - CO(0) => \x_mul1__0_carry__1_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__1_i_1_n_0\, - DI(2) => \x_mul1__0_carry__1_i_2_n_0\, - DI(1) => \x_mul1__0_carry__1_i_3_n_0\, - DI(0) => \x_mul1__0_carry__1_i_4_n_0\, - O(3 downto 0) => x_mul2_out(11 downto 8), - S(3) => \x_mul1__0_carry__1_i_5_n_0\, - S(2) => \x_mul1__0_carry__1_i_6_n_0\, - S(1) => \x_mul1__0_carry__1_i_7_n_0\, - S(0) => \x_mul1__0_carry__1_i_8_n_0\ - ); -\x_mul1__0_carry__1_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(13), - I1 => cx0(1), - I2 => \y_mul__0_1\(12), - I3 => cx0(2), - I4 => \y_mul__0_1\(14), - I5 => cx0(0), - O => \x_mul1__0_carry__1_i_1_n_0\ - ); -\x_mul1__0_carry__1_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(13), - O => \x_mul1__0_carry__1_i_10__1_n_0\ - ); -\x_mul1__0_carry__1_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(12), - O => \x_mul1__0_carry__1_i_11__1_n_0\ - ); -\x_mul1__0_carry__1_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(11), - O => \x_mul1__0_carry__1_i_12__1_n_0\ - ); -\x_mul1__0_carry__1_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(12), - I1 => cx0(1), - I2 => \y_mul__0_1\(11), - I3 => cx0(2), - I4 => \y_mul__0_1\(13), - I5 => cx0(0), - O => \x_mul1__0_carry__1_i_2_n_0\ - ); -\x_mul1__0_carry__1_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(11), - I1 => cx0(1), - I2 => \y_mul__0_1\(10), - I3 => cx0(2), - I4 => \y_mul__0_1\(12), - I5 => cx0(0), - O => \x_mul1__0_carry__1_i_3_n_0\ - ); -\x_mul1__0_carry__1_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(10), - I1 => cx0(1), - I2 => \y_mul__0_1\(9), - I3 => cx0(2), - I4 => \y_mul__0_1\(11), - I5 => cx0(0), - O => \x_mul1__0_carry__1_i_4_n_0\ - ); -\x_mul1__0_carry__1_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_1_n_0\, - I1 => \y_mul__0_1\(13), - I2 => cx0(2), - I3 => \x_mul1__0_carry__1_i_9__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(15), - O => \x_mul1__0_carry__1_i_5_n_0\ - ); -\x_mul1__0_carry__1_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_2_n_0\, - I1 => \y_mul__0_1\(12), - I2 => cx0(2), - I3 => \x_mul1__0_carry__1_i_10__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(14), - O => \x_mul1__0_carry__1_i_6_n_0\ - ); -\x_mul1__0_carry__1_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_3_n_0\, - I1 => \y_mul__0_1\(11), - I2 => cx0(2), - I3 => \x_mul1__0_carry__1_i_11__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(13), - O => \x_mul1__0_carry__1_i_7_n_0\ - ); -\x_mul1__0_carry__1_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_4_n_0\, - I1 => \y_mul__0_1\(10), - I2 => cx0(2), - I3 => \x_mul1__0_carry__1_i_12__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(12), - O => \x_mul1__0_carry__1_i_8_n_0\ - ); -\x_mul1__0_carry__1_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(14), - O => \x_mul1__0_carry__1_i_9__1_n_0\ - ); -\x_mul1__0_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__1_n_0\, - CO(3) => \x_mul1__0_carry__2_n_0\, - CO(2) => \x_mul1__0_carry__2_n_1\, - CO(1) => \x_mul1__0_carry__2_n_2\, - CO(0) => \x_mul1__0_carry__2_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__2_i_1_n_0\, - DI(2) => \x_mul1__0_carry__2_i_2_n_0\, - DI(1) => \x_mul1__0_carry__2_i_3_n_0\, - DI(0) => \x_mul1__0_carry__2_i_4_n_0\, - O(3 downto 0) => x_mul2_out(15 downto 12), - S(3) => \x_mul1__0_carry__2_i_5_n_0\, - S(2) => \x_mul1__0_carry__2_i_6_n_0\, - S(1) => \x_mul1__0_carry__2_i_7_n_0\, - S(0) => \x_mul1__0_carry__2_i_8_n_0\ - ); -\x_mul1__0_carry__2_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(17), - I1 => cx0(1), - I2 => \y_mul__0_1\(16), - I3 => cx0(2), - I4 => \y_mul__0_1\(18), - I5 => cx0(0), - O => \x_mul1__0_carry__2_i_1_n_0\ - ); -\x_mul1__0_carry__2_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(17), - O => \x_mul1__0_carry__2_i_10__1_n_0\ - ); -\x_mul1__0_carry__2_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(16), - O => \x_mul1__0_carry__2_i_11__1_n_0\ - ); -\x_mul1__0_carry__2_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(15), - O => \x_mul1__0_carry__2_i_12__1_n_0\ - ); -\x_mul1__0_carry__2_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(16), - I1 => cx0(1), - I2 => \y_mul__0_1\(15), - I3 => cx0(2), - I4 => \y_mul__0_1\(17), - I5 => cx0(0), - O => \x_mul1__0_carry__2_i_2_n_0\ - ); -\x_mul1__0_carry__2_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(15), - I1 => cx0(1), - I2 => \y_mul__0_1\(14), - I3 => cx0(2), - I4 => \y_mul__0_1\(16), - I5 => cx0(0), - O => \x_mul1__0_carry__2_i_3_n_0\ - ); -\x_mul1__0_carry__2_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(14), - I1 => cx0(1), - I2 => \y_mul__0_1\(13), - I3 => cx0(2), - I4 => \y_mul__0_1\(15), - I5 => cx0(0), - O => \x_mul1__0_carry__2_i_4_n_0\ - ); -\x_mul1__0_carry__2_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_1_n_0\, - I1 => \y_mul__0_1\(17), - I2 => cx0(2), - I3 => \x_mul1__0_carry__2_i_9__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(19), - O => \x_mul1__0_carry__2_i_5_n_0\ - ); -\x_mul1__0_carry__2_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_2_n_0\, - I1 => \y_mul__0_1\(16), - I2 => cx0(2), - I3 => \x_mul1__0_carry__2_i_10__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(18), - O => \x_mul1__0_carry__2_i_6_n_0\ - ); -\x_mul1__0_carry__2_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_3_n_0\, - I1 => \y_mul__0_1\(15), - I2 => cx0(2), - I3 => \x_mul1__0_carry__2_i_11__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(17), - O => \x_mul1__0_carry__2_i_7_n_0\ - ); -\x_mul1__0_carry__2_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_4_n_0\, - I1 => \y_mul__0_1\(14), - I2 => cx0(2), - I3 => \x_mul1__0_carry__2_i_12__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(16), - O => \x_mul1__0_carry__2_i_8_n_0\ - ); -\x_mul1__0_carry__2_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(18), - O => \x_mul1__0_carry__2_i_9__1_n_0\ - ); -\x_mul1__0_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__2_n_0\, - CO(3) => \x_mul1__0_carry__3_n_0\, - CO(2) => \x_mul1__0_carry__3_n_1\, - CO(1) => \x_mul1__0_carry__3_n_2\, - CO(0) => \x_mul1__0_carry__3_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__3_i_1_n_0\, - DI(2) => \x_mul1__0_carry__3_i_2_n_0\, - DI(1) => \x_mul1__0_carry__3_i_3_n_0\, - DI(0) => \x_mul1__0_carry__3_i_4_n_0\, - O(3 downto 0) => x_mul2_out(19 downto 16), - S(3) => \x_mul1__0_carry__3_i_5_n_0\, - S(2) => \x_mul1__0_carry__3_i_6_n_0\, - S(1) => \x_mul1__0_carry__3_i_7_n_0\, - S(0) => \x_mul1__0_carry__3_i_8_n_0\ - ); -\x_mul1__0_carry__3_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(21), - I1 => cx0(1), - I2 => \y_mul__0_1\(20), - I3 => cx0(2), - I4 => \y_mul__0_1\(22), - I5 => cx0(0), - O => \x_mul1__0_carry__3_i_1_n_0\ - ); -\x_mul1__0_carry__3_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(21), - O => \x_mul1__0_carry__3_i_10__1_n_0\ - ); -\x_mul1__0_carry__3_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(20), - O => \x_mul1__0_carry__3_i_11__1_n_0\ - ); -\x_mul1__0_carry__3_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(19), - O => \x_mul1__0_carry__3_i_12__1_n_0\ - ); -\x_mul1__0_carry__3_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(20), - I1 => cx0(1), - I2 => \y_mul__0_1\(19), - I3 => cx0(2), - I4 => \y_mul__0_1\(21), - I5 => cx0(0), - O => \x_mul1__0_carry__3_i_2_n_0\ - ); -\x_mul1__0_carry__3_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(19), - I1 => cx0(1), - I2 => \y_mul__0_1\(18), - I3 => cx0(2), - I4 => \y_mul__0_1\(20), - I5 => cx0(0), - O => \x_mul1__0_carry__3_i_3_n_0\ - ); -\x_mul1__0_carry__3_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(18), - I1 => cx0(1), - I2 => \y_mul__0_1\(17), - I3 => cx0(2), - I4 => \y_mul__0_1\(19), - I5 => cx0(0), - O => \x_mul1__0_carry__3_i_4_n_0\ - ); -\x_mul1__0_carry__3_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_1_n_0\, - I1 => \y_mul__0_1\(21), - I2 => cx0(2), - I3 => \x_mul1__0_carry__3_i_9__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(23), - O => \x_mul1__0_carry__3_i_5_n_0\ - ); -\x_mul1__0_carry__3_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_2_n_0\, - I1 => \y_mul__0_1\(20), - I2 => cx0(2), - I3 => \x_mul1__0_carry__3_i_10__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(22), - O => \x_mul1__0_carry__3_i_6_n_0\ - ); -\x_mul1__0_carry__3_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_3_n_0\, - I1 => \y_mul__0_1\(19), - I2 => cx0(2), - I3 => \x_mul1__0_carry__3_i_11__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(21), - O => \x_mul1__0_carry__3_i_7_n_0\ - ); -\x_mul1__0_carry__3_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_4_n_0\, - I1 => \y_mul__0_1\(18), - I2 => cx0(2), - I3 => \x_mul1__0_carry__3_i_12__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(20), - O => \x_mul1__0_carry__3_i_8_n_0\ - ); -\x_mul1__0_carry__3_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(22), - O => \x_mul1__0_carry__3_i_9__1_n_0\ - ); -\x_mul1__0_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__3_n_0\, - CO(3) => \x_mul1__0_carry__4_n_0\, - CO(2) => \x_mul1__0_carry__4_n_1\, - CO(1) => \x_mul1__0_carry__4_n_2\, - CO(0) => \x_mul1__0_carry__4_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__4_i_1_n_0\, - DI(2) => \x_mul1__0_carry__4_i_2_n_0\, - DI(1) => \x_mul1__0_carry__4_i_3_n_0\, - DI(0) => \x_mul1__0_carry__4_i_4_n_0\, - O(3 downto 0) => x_mul2_out(23 downto 20), - S(3) => \x_mul1__0_carry__4_i_5_n_0\, - S(2) => \x_mul1__0_carry__4_i_6_n_0\, - S(1) => \x_mul1__0_carry__4_i_7_n_0\, - S(0) => \x_mul1__0_carry__4_i_8_n_0\ - ); -\x_mul1__0_carry__4_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(25), - I1 => cx0(1), - I2 => \y_mul__0_1\(24), - I3 => cx0(2), - I4 => \y_mul__0_1\(26), - I5 => cx0(0), - O => \x_mul1__0_carry__4_i_1_n_0\ - ); -\x_mul1__0_carry__4_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(25), - O => \x_mul1__0_carry__4_i_10__1_n_0\ - ); -\x_mul1__0_carry__4_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(24), - O => \x_mul1__0_carry__4_i_11__1_n_0\ - ); -\x_mul1__0_carry__4_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(23), - O => \x_mul1__0_carry__4_i_12__1_n_0\ - ); -\x_mul1__0_carry__4_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(24), - I1 => cx0(1), - I2 => \y_mul__0_1\(23), - I3 => cx0(2), - I4 => \y_mul__0_1\(25), - I5 => cx0(0), - O => \x_mul1__0_carry__4_i_2_n_0\ - ); -\x_mul1__0_carry__4_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(23), - I1 => cx0(1), - I2 => \y_mul__0_1\(22), - I3 => cx0(2), - I4 => \y_mul__0_1\(24), - I5 => cx0(0), - O => \x_mul1__0_carry__4_i_3_n_0\ - ); -\x_mul1__0_carry__4_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(22), - I1 => cx0(1), - I2 => \y_mul__0_1\(21), - I3 => cx0(2), - I4 => \y_mul__0_1\(23), - I5 => cx0(0), - O => \x_mul1__0_carry__4_i_4_n_0\ - ); -\x_mul1__0_carry__4_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_1_n_0\, - I1 => \y_mul__0_1\(25), - I2 => cx0(2), - I3 => \x_mul1__0_carry__4_i_9__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(27), - O => \x_mul1__0_carry__4_i_5_n_0\ - ); -\x_mul1__0_carry__4_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_2_n_0\, - I1 => \y_mul__0_1\(24), - I2 => cx0(2), - I3 => \x_mul1__0_carry__4_i_10__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(26), - O => \x_mul1__0_carry__4_i_6_n_0\ - ); -\x_mul1__0_carry__4_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_3_n_0\, - I1 => \y_mul__0_1\(23), - I2 => cx0(2), - I3 => \x_mul1__0_carry__4_i_11__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(25), - O => \x_mul1__0_carry__4_i_7_n_0\ - ); -\x_mul1__0_carry__4_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_4_n_0\, - I1 => \y_mul__0_1\(22), - I2 => cx0(2), - I3 => \x_mul1__0_carry__4_i_12__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(24), - O => \x_mul1__0_carry__4_i_8_n_0\ - ); -\x_mul1__0_carry__4_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(26), - O => \x_mul1__0_carry__4_i_9__1_n_0\ - ); -\x_mul1__0_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__4_n_0\, - CO(3) => \x_mul1__0_carry__5_n_0\, - CO(2) => \x_mul1__0_carry__5_n_1\, - CO(1) => \x_mul1__0_carry__5_n_2\, - CO(0) => \x_mul1__0_carry__5_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__5_i_1_n_0\, - DI(2) => \x_mul1__0_carry__5_i_2_n_0\, - DI(1) => \x_mul1__0_carry__5_i_3_n_0\, - DI(0) => \x_mul1__0_carry__5_i_4_n_0\, - O(3 downto 0) => x_mul2_out(27 downto 24), - S(3) => \x_mul1__0_carry__5_i_5_n_0\, - S(2) => \x_mul1__0_carry__5_i_6_n_0\, - S(1) => \x_mul1__0_carry__5_i_7_n_0\, - S(0) => \x_mul1__0_carry__5_i_8_n_0\ - ); -\x_mul1__0_carry__5_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(29), - I1 => cx0(1), - I2 => \y_mul__0_1\(28), - I3 => cx0(2), - I4 => \y_mul__0_1\(30), - I5 => cx0(0), - O => \x_mul1__0_carry__5_i_1_n_0\ - ); -\x_mul1__0_carry__5_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(29), - O => \x_mul1__0_carry__5_i_10__1_n_0\ - ); -\x_mul1__0_carry__5_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(28), - O => \x_mul1__0_carry__5_i_11__1_n_0\ - ); -\x_mul1__0_carry__5_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(27), - O => \x_mul1__0_carry__5_i_12__1_n_0\ - ); -\x_mul1__0_carry__5_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(28), - I1 => cx0(1), - I2 => \y_mul__0_1\(27), - I3 => cx0(2), - I4 => \y_mul__0_1\(29), - I5 => cx0(0), - O => \x_mul1__0_carry__5_i_2_n_0\ - ); -\x_mul1__0_carry__5_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(27), - I1 => cx0(1), - I2 => \y_mul__0_1\(26), - I3 => cx0(2), - I4 => \y_mul__0_1\(28), - I5 => cx0(0), - O => \x_mul1__0_carry__5_i_3_n_0\ - ); -\x_mul1__0_carry__5_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(26), - I1 => cx0(1), - I2 => \y_mul__0_1\(25), - I3 => cx0(2), - I4 => \y_mul__0_1\(27), - I5 => cx0(0), - O => \x_mul1__0_carry__5_i_4_n_0\ - ); -\x_mul1__0_carry__5_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_1_n_0\, - I1 => \y_mul__0_1\(29), - I2 => cx0(2), - I3 => \x_mul1__0_carry__5_i_9__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(31), - O => \x_mul1__0_carry__5_i_5_n_0\ - ); -\x_mul1__0_carry__5_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_2_n_0\, - I1 => \y_mul__0_1\(28), - I2 => cx0(2), - I3 => \x_mul1__0_carry__5_i_10__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(30), - O => \x_mul1__0_carry__5_i_6_n_0\ - ); -\x_mul1__0_carry__5_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_3_n_0\, - I1 => \y_mul__0_1\(27), - I2 => cx0(2), - I3 => \x_mul1__0_carry__5_i_11__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(29), - O => \x_mul1__0_carry__5_i_7_n_0\ - ); -\x_mul1__0_carry__5_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_4_n_0\, - I1 => \y_mul__0_1\(26), - I2 => cx0(2), - I3 => \x_mul1__0_carry__5_i_12__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(28), - O => \x_mul1__0_carry__5_i_8_n_0\ - ); -\x_mul1__0_carry__5_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(30), - O => \x_mul1__0_carry__5_i_9__1_n_0\ - ); -\x_mul1__0_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__5_n_0\, - CO(3) => \x_mul1__0_carry__6_n_0\, - CO(2) => \x_mul1__0_carry__6_n_1\, - CO(1) => \x_mul1__0_carry__6_n_2\, - CO(0) => \x_mul1__0_carry__6_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__6_i_1_n_0\, - DI(2) => \x_mul1__0_carry__6_i_2_n_0\, - DI(1) => \x_mul1__0_carry__6_i_3_n_0\, - DI(0) => \x_mul1__0_carry__6_i_4_n_0\, - O(3 downto 0) => x_mul2_out(31 downto 28), - S(3) => \x_mul1__0_carry__6_i_5_n_0\, - S(2) => \x_mul1__0_carry__6_i_6_n_0\, - S(1) => \x_mul1__0_carry__6_i_7_n_0\, - S(0) => \x_mul1__0_carry__6_i_8_n_0\ - ); -\x_mul1__0_carry__6_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(33), - I1 => cx0(1), - I2 => \y_mul__0_1\(32), - I3 => cx0(2), - I4 => \y_mul__0_1\(34), - I5 => cx0(0), - O => \x_mul1__0_carry__6_i_1_n_0\ - ); -\x_mul1__0_carry__6_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(33), - O => \x_mul1__0_carry__6_i_10__1_n_0\ - ); -\x_mul1__0_carry__6_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(32), - O => \x_mul1__0_carry__6_i_11__1_n_0\ - ); -\x_mul1__0_carry__6_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(31), - O => \x_mul1__0_carry__6_i_12__1_n_0\ - ); -\x_mul1__0_carry__6_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(32), - I1 => cx0(1), - I2 => \y_mul__0_1\(31), - I3 => cx0(2), - I4 => \y_mul__0_1\(33), - I5 => cx0(0), - O => \x_mul1__0_carry__6_i_2_n_0\ - ); -\x_mul1__0_carry__6_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(31), - I1 => cx0(1), - I2 => \y_mul__0_1\(30), - I3 => cx0(2), - I4 => \y_mul__0_1\(32), - I5 => cx0(0), - O => \x_mul1__0_carry__6_i_3_n_0\ - ); -\x_mul1__0_carry__6_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(30), - I1 => cx0(1), - I2 => \y_mul__0_1\(29), - I3 => cx0(2), - I4 => \y_mul__0_1\(31), - I5 => cx0(0), - O => \x_mul1__0_carry__6_i_4_n_0\ - ); -\x_mul1__0_carry__6_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A959595956A6A6A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_1_n_0\, - I1 => \y_mul__0_1\(33), - I2 => cx0(2), - I3 => \y_mul__0_1\(34), - I4 => cx0(1), - I5 => \x_mul__39\(36), - O => \x_mul1__0_carry__6_i_5_n_0\ - ); -\x_mul1__0_carry__6_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_2_n_0\, - I1 => \y_mul__0_1\(32), - I2 => cx0(2), - I3 => \x_mul1__0_carry__6_i_10__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(34), - O => \x_mul1__0_carry__6_i_6_n_0\ - ); -\x_mul1__0_carry__6_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_3_n_0\, - I1 => \y_mul__0_1\(31), - I2 => cx0(2), - I3 => \x_mul1__0_carry__6_i_11__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(33), - O => \x_mul1__0_carry__6_i_7_n_0\ - ); -\x_mul1__0_carry__6_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_4_n_0\, - I1 => \y_mul__0_1\(30), - I2 => cx0(2), - I3 => \x_mul1__0_carry__6_i_12__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(32), - O => \x_mul1__0_carry__6_i_8_n_0\ - ); -\x_mul1__0_carry__6_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(0), - I1 => \y_mul__0_1\(35), - O => \x_mul__39\(36) - ); -\x_mul1__0_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__6_n_0\, - CO(3) => \x_mul1__0_carry__7_n_0\, - CO(2) => \x_mul1__0_carry__7_n_1\, - CO(1) => \x_mul1__0_carry__7_n_2\, - CO(0) => \x_mul1__0_carry__7_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__7_i_1_n_0\, - DI(2) => \x_mul1__0_carry__7_i_1_n_0\, - DI(1) => \x_mul1__0_carry__7_i_2_n_0\, - DI(0) => \x_mul1__0_carry__7_i_3_n_0\, - O(3 downto 0) => x_mul2_out(35 downto 32), - S(3) => \x_mul1__0_carry__7_i_4_n_0\, - S(2) => \x_mul1__0_carry__7_i_5_n_0\, - S(1) => \x_mul1__0_carry__7_i_6_n_0\, - S(0) => \x_mul1__0_carry__7_i_7_n_0\ - ); -\x_mul1__0_carry__7_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"C880" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(35), - I2 => cx0(2), - I3 => cx0(0), - O => \x_mul1__0_carry__7_i_1_n_0\ - ); -\x_mul1__0_carry__7_i_2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A8888000" - ) - port map ( - I0 => \y_mul__0_1\(35), - I1 => cx0(1), - I2 => \y_mul__0_1\(34), - I3 => cx0(2), - I4 => cx0(0), - O => \x_mul1__0_carry__7_i_2_n_0\ - ); -\x_mul1__0_carry__7_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(34), - I1 => cx0(1), - I2 => \y_mul__0_1\(33), - I3 => cx0(2), - I4 => \y_mul__0_1\(35), - I5 => cx0(0), - O => \x_mul1__0_carry__7_i_3_n_0\ - ); -\x_mul1__0_carry__7_i_4\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1_n_0\, - I1 => cx0(2), - I2 => \y_mul__0_1\(35), - I3 => cx0(1), - I4 => cx0(0), - O => \x_mul1__0_carry__7_i_4_n_0\ - ); -\x_mul1__0_carry__7_i_5\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1_n_0\, - I1 => cx0(2), - I2 => \y_mul__0_1\(35), - I3 => cx0(1), - I4 => cx0(0), - O => \x_mul1__0_carry__7_i_5_n_0\ - ); -\x_mul1__0_carry__7_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_2_n_0\, - I1 => cx0(2), - I2 => \y_mul__0_1\(35), - I3 => cx0(1), - I4 => cx0(0), - O => \x_mul1__0_carry__7_i_6_n_0\ - ); -\x_mul1__0_carry__7_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A6A956A956A6A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_3_n_0\, - I1 => \y_mul__0_1\(34), - I2 => cx0(2), - I3 => \y_mul__0_1\(35), - I4 => cx0(1), - I5 => cx0(0), - O => \x_mul1__0_carry__7_i_7_n_0\ - ); -\x_mul1__0_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__7_n_0\, - CO(3) => \NLW_x_mul1__0_carry__8_CO_UNCONNECTED\(3), - CO(2) => \x_mul1__0_carry__8_n_1\, - CO(1) => \x_mul1__0_carry__8_n_2\, - CO(0) => \x_mul1__0_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \x_mul1__0_carry__7_i_1_n_0\, - DI(1) => \x_mul1__0_carry__7_i_1_n_0\, - DI(0) => \x_mul1__0_carry__7_i_1_n_0\, - O(3 downto 0) => x_mul2_out(39 downto 36), - S(3) => \x_mul1__0_carry__8_i_1_n_0\, - S(2) => \x_mul1__0_carry__8_i_2_n_0\, - S(1) => \x_mul1__0_carry__8_i_3_n_0\, - S(0) => \x_mul1__0_carry__8_i_4_n_0\ - ); -\x_mul1__0_carry__8_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1_n_0\, - I1 => cx0(2), - I2 => \y_mul__0_1\(35), - I3 => cx0(1), - I4 => cx0(0), - O => \x_mul1__0_carry__8_i_1_n_0\ - ); -\x_mul1__0_carry__8_i_2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1_n_0\, - I1 => cx0(2), - I2 => \y_mul__0_1\(35), - I3 => cx0(1), - I4 => cx0(0), - O => \x_mul1__0_carry__8_i_2_n_0\ - ); -\x_mul1__0_carry__8_i_3\: unisim.vcomponents.LUT5 - generic map( - INIT => X"7BB78448" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(35), - I2 => cx0(2), - I3 => cx0(0), - I4 => \x_mul1__0_carry__7_i_1_n_0\, - O => \x_mul1__0_carry__8_i_3_n_0\ - ); -\x_mul1__0_carry__8_i_4\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1_n_0\, - I1 => cx0(2), - I2 => \y_mul__0_1\(35), - I3 => cx0(1), - I4 => cx0(0), - O => \x_mul1__0_carry__8_i_4_n_0\ - ); -\x_mul1__0_carry_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(5), - I1 => cx0(1), - I2 => \y_mul__0_1\(4), - I3 => cx0(2), - I4 => \y_mul__0_1\(6), - I5 => cx0(0), - O => \x_mul1__0_carry_i_1_n_0\ - ); -\x_mul1__0_carry_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(4), - O => \x_mul1__0_carry_i_10__1_n_0\ - ); -\x_mul1__0_carry_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(4), - I1 => cx0(1), - I2 => \y_mul__0_1\(3), - I3 => cx0(2), - I4 => \y_mul__0_1\(5), - I5 => cx0(0), - O => \x_mul1__0_carry_i_2_n_0\ - ); -\x_mul1__0_carry_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \y_mul__0_1\(3), - I1 => cx0(1), - I2 => \y_mul__0_1\(2), - I3 => cx0(2), - I4 => \y_mul__0_1\(4), - I5 => cx0(0), - O => \x_mul1__0_carry_i_3_n_0\ - ); -\x_mul1__0_carry_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_1_n_0\, - I1 => \y_mul__0_1\(5), - I2 => cx0(2), - I3 => \x_mul1__0_carry_i_8__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(7), - O => \x_mul1__0_carry_i_4_n_0\ - ); -\x_mul1__0_carry_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_2_n_0\, - I1 => \y_mul__0_1\(4), - I2 => cx0(2), - I3 => \x_mul1__0_carry_i_9__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(6), - O => \x_mul1__0_carry_i_5_n_0\ - ); -\x_mul1__0_carry_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_3_n_0\, - I1 => \y_mul__0_1\(3), - I2 => cx0(2), - I3 => \x_mul1__0_carry_i_10__1_n_0\, - I4 => cx0(0), - I5 => \y_mul__0_1\(5), - O => \x_mul1__0_carry_i_6_n_0\ - ); -\x_mul1__0_carry_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8777788878887888" - ) - port map ( - I0 => \y_mul__0_1\(4), - I1 => cx0(0), - I2 => cx0(1), - I3 => \y_mul__0_1\(3), - I4 => cx0(2), - I5 => \y_mul__0_1\(2), - O => \x_mul1__0_carry_i_7_n_0\ - ); -\x_mul1__0_carry_i_8__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(6), - O => \x_mul1__0_carry_i_8__1_n_0\ - ); -\x_mul1__0_carry_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx0(1), - I1 => \y_mul__0_1\(5), - O => \x_mul1__0_carry_i_9__1_n_0\ - ); -y_carry_i_1: unisim.vcomponents.LUT3 - generic map( - INIT => X"1B" - ) - port map ( - I0 => \intreg_reg[1][15]_0\, - I1 => \intreg_reg[0]_0\(35), - I2 => \intreg_reg[1]_1\(35), - O => ch_reg_rep_0(0) - ); -\y_carry_i_1__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \intreg_reg[1]_1\(37), - I1 => \intreg_reg[0]_0\(37), - I2 => \intreg_reg[1][15]_0\, - O => \intreg_reg[1][37]_0\(2) - ); -\y_carry_i_1__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(3), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(3), - I3 => \intreg_reg[1]_1\(3), - O => \inp_mul__0_16\(3) - ); -\y_carry_i_1__2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(7), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(7), - I3 => \intreg_reg[1]_1\(7), - O => \inp_mul__0_17\(3) - ); -\y_carry_i_1__3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(11), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(11), - I3 => \intreg_reg[1]_1\(11), - O => \inp_mul__0_18\(3) - ); -\y_carry_i_1__4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(15), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(15), - I3 => \intreg_reg[1]_1\(15), - O => \inp_mul__0_19\(3) - ); -\y_carry_i_1__5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(19), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(19), - I3 => \intreg_reg[1]_1\(19), - O => \inp_mul__0_20\(3) - ); -\y_carry_i_1__6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(23), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(23), - I3 => \intreg_reg[1]_1\(23), - O => \inp_mul__0_21\(3) - ); -\y_carry_i_1__7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(27), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(27), - I3 => \intreg_reg[1]_1\(27), - O => \inp_mul__0_22\(3) - ); -\y_carry_i_1__8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(31), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(31), - I3 => \intreg_reg[1]_1\(31), - O => \inp_mul__0_23\(3) - ); -y_carry_i_2: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \intreg_reg[1]_1\(36), - I1 => \intreg_reg[0]_0\(36), - I2 => \intreg_reg[1][15]_0\, - O => \intreg_reg[1][37]_0\(1) - ); -\y_carry_i_2__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(2), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(2), - I3 => \intreg_reg[1]_1\(2), - O => \inp_mul__0_16\(2) - ); -\y_carry_i_2__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(6), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(6), - I3 => \intreg_reg[1]_1\(6), - O => \inp_mul__0_17\(2) - ); -\y_carry_i_2__2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(10), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(10), - I3 => \intreg_reg[1]_1\(10), - O => \inp_mul__0_18\(2) - ); -\y_carry_i_2__3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(14), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(14), - I3 => \intreg_reg[1]_1\(14), - O => \inp_mul__0_19\(2) - ); -\y_carry_i_2__4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(18), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(18), - I3 => \intreg_reg[1]_1\(18), - O => \inp_mul__0_20\(2) - ); -\y_carry_i_2__5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(22), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(22), - I3 => \intreg_reg[1]_1\(22), - O => \inp_mul__0_21\(2) - ); -\y_carry_i_2__6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(26), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(26), - I3 => \intreg_reg[1]_1\(26), - O => \inp_mul__0_22\(2) - ); -\y_carry_i_2__7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(30), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(30), - I3 => \intreg_reg[1]_1\(30), - O => \inp_mul__0_23\(2) - ); -\y_carry_i_2__8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"1BE4" - ) - port map ( - I0 => \intreg_reg[1][15]_0\, - I1 => \intreg_reg[0]_0\(35), - I2 => \intreg_reg[1]_1\(35), - I3 => \y_mul__0_1\(35), - O => ch_reg_rep(3) - ); -y_carry_i_3: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \intreg_reg[1]_1\(35), - I1 => \intreg_reg[0]_0\(35), - I2 => \intreg_reg[1][15]_0\, - O => \intreg_reg[1][37]_0\(0) - ); -\y_carry_i_3__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(1), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(1), - I3 => \intreg_reg[1]_1\(1), - O => \inp_mul__0_16\(1) - ); -\y_carry_i_3__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(5), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(5), - I3 => \intreg_reg[1]_1\(5), - O => \inp_mul__0_17\(1) - ); -\y_carry_i_3__2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(9), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(9), - I3 => \intreg_reg[1]_1\(9), - O => \inp_mul__0_18\(1) - ); -\y_carry_i_3__3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(13), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(13), - I3 => \intreg_reg[1]_1\(13), - O => \inp_mul__0_19\(1) - ); -\y_carry_i_3__4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(17), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(17), - I3 => \intreg_reg[1]_1\(17), - O => \inp_mul__0_20\(1) - ); -\y_carry_i_3__5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(21), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(21), - I3 => \intreg_reg[1]_1\(21), - O => \inp_mul__0_21\(1) - ); -\y_carry_i_3__6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(25), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(25), - I3 => \intreg_reg[1]_1\(25), - O => \inp_mul__0_22\(1) - ); -\y_carry_i_3__7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(29), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(29), - I3 => \intreg_reg[1]_1\(29), - O => \inp_mul__0_23\(1) - ); -\y_carry_i_3__8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(34), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(34), - I3 => \intreg_reg[1]_1\(34), - O => ch_reg_rep(2) - ); -y_carry_i_4: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(0), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(0), - I3 => \intreg_reg[1]_1\(0), - O => \inp_mul__0_16\(0) - ); -\y_carry_i_4__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(4), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(4), - I3 => \intreg_reg[1]_1\(4), - O => \inp_mul__0_17\(0) - ); -\y_carry_i_4__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(8), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(8), - I3 => \intreg_reg[1]_1\(8), - O => \inp_mul__0_18\(0) - ); -\y_carry_i_4__2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(12), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(12), - I3 => \intreg_reg[1]_1\(12), - O => \inp_mul__0_19\(0) - ); -\y_carry_i_4__3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(16), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(16), - I3 => \intreg_reg[1]_1\(16), - O => \inp_mul__0_20\(0) - ); -\y_carry_i_4__4\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(20), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(20), - I3 => \intreg_reg[1]_1\(20), - O => \inp_mul__0_21\(0) - ); -\y_carry_i_4__5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(24), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(24), - I3 => \intreg_reg[1]_1\(24), - O => \inp_mul__0_22\(0) - ); -\y_carry_i_4__6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(28), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(28), - I3 => \intreg_reg[1]_1\(28), - O => \inp_mul__0_23\(0) - ); -\y_carry_i_4__7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(33), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(33), - I3 => \intreg_reg[1]_1\(33), - O => ch_reg_rep(1) - ); -\y_carry_i_4__8\: unisim.vcomponents.LUT5 - generic map( - INIT => X"CAC53A35" - ) - port map ( - I0 => \intreg_reg[0]_0\(38), - I1 => \intreg_reg[1]_1\(38), - I2 => \intreg_reg[1][15]_0\, - I3 => \intreg_reg[0]_0\(39), - I4 => \intreg_reg[1]_1\(39), - O => \intreg_reg[0][38]_0\(3) - ); -y_carry_i_5: unisim.vcomponents.LUT4 - generic map( - INIT => X"569A" - ) - port map ( - I0 => \y_mul__0_1\(32), - I1 => \intreg_reg[1][15]_0\, - I2 => \intreg_reg[0]_0\(32), - I3 => \intreg_reg[1]_1\(32), - O => ch_reg_rep(0) - ); -\y_carry_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"CAC53A35" - ) - port map ( - I0 => \intreg_reg[0]_0\(37), - I1 => \intreg_reg[1]_1\(37), - I2 => \intreg_reg[1][15]_0\, - I3 => \intreg_reg[0]_0\(38), - I4 => \intreg_reg[1]_1\(38), - O => \intreg_reg[0][38]_0\(2) - ); -y_carry_i_6: unisim.vcomponents.LUT5 - generic map( - INIT => X"CAC53A35" - ) - port map ( - I0 => \intreg_reg[0]_0\(36), - I1 => \intreg_reg[1]_1\(36), - I2 => \intreg_reg[1][15]_0\, - I3 => \intreg_reg[0]_0\(37), - I4 => \intreg_reg[1]_1\(37), - O => \intreg_reg[0][38]_0\(1) - ); -y_carry_i_7: unisim.vcomponents.LUT5 - generic map( - INIT => X"CAC53A35" - ) - port map ( - I0 => \intreg_reg[0]_0\(35), - I1 => \intreg_reg[1]_1\(35), - I2 => \intreg_reg[1][15]_0\, - I3 => \intreg_reg[0]_0\(36), - I4 => \intreg_reg[1]_1\(36), - O => \intreg_reg[0][38]_0\(0) - ); -y_mul: unisim.vcomponents.DSP48E1 - generic map( - ACASCREG => 0, - ADREG => 1, - ALUMODEREG => 0, - AREG => 0, - AUTORESET_PATDET => "NO_RESET", - A_INPUT => "DIRECT", - BCASCREG => 0, - BREG => 0, - B_INPUT => "DIRECT", - CARRYINREG => 0, - CARRYINSELREG => 0, - CREG => 1, - DREG => 1, - INMODEREG => 0, - MASK => X"3FFFFFFFFFFF", - MREG => 0, - OPMODEREG => 0, - PATTERN => X"000000000000", - PREG => 0, - SEL_MASK => "MASK", - SEL_PATTERN => "PATTERN", - USE_DPORT => false, - USE_MULT => "MULTIPLY", - USE_PATTERN_DETECT => "NO_PATDET", - USE_SIMD => "ONE48" - ) - port map ( - A(29) => cy0(23), - A(28) => cy0(23), - A(27) => cy0(23), - A(26) => cy0(23), - A(25) => cy0(23), - A(24) => cy0(23), - A(23 downto 0) => cy0(23 downto 0), - ACIN(29 downto 0) => B"000000000000000000000000000000", - ACOUT(29 downto 0) => NLW_y_mul_ACOUT_UNCONNECTED(29 downto 0), - ALUMODE(3 downto 0) => B"0000", - B(17) => '0', - B(16 downto 0) => B(16 downto 0), - BCIN(17 downto 0) => B"000000000000000000", - BCOUT(17 downto 0) => NLW_y_mul_BCOUT_UNCONNECTED(17 downto 0), - C(47 downto 0) => B"111111111111111111111111111111111111111111111111", - CARRYCASCIN => '0', - CARRYCASCOUT => NLW_y_mul_CARRYCASCOUT_UNCONNECTED, - CARRYIN => '0', - CARRYINSEL(2 downto 0) => B"000", - CARRYOUT(3 downto 0) => NLW_y_mul_CARRYOUT_UNCONNECTED(3 downto 0), - CEA1 => '0', - CEA2 => '0', - CEAD => '0', - CEALUMODE => '0', - CEB1 => '0', - CEB2 => '0', - CEC => '0', - CECARRYIN => '0', - CECTRL => '0', - CED => '0', - CEINMODE => '0', - CEM => '0', - CEP => '0', - CLK => '0', - D(24 downto 0) => B"0000000000000000000000000", - INMODE(4 downto 0) => B"00000", - MULTSIGNIN => '0', - MULTSIGNOUT => NLW_y_mul_MULTSIGNOUT_UNCONNECTED, - OPMODE(6 downto 0) => B"0000101", - OVERFLOW => NLW_y_mul_OVERFLOW_UNCONNECTED, - P(47) => y_mul_n_58, - P(46) => y_mul_n_59, - P(45) => y_mul_n_60, - P(44) => y_mul_n_61, - P(43) => y_mul_n_62, - P(42) => y_mul_n_63, - P(41) => y_mul_n_64, - P(40) => y_mul_n_65, - P(39) => y_mul_n_66, - P(38) => y_mul_n_67, - P(37) => y_mul_n_68, - P(36) => y_mul_n_69, - P(35) => y_mul_n_70, - P(34) => y_mul_n_71, - P(33) => y_mul_n_72, - P(32) => y_mul_n_73, - P(31) => y_mul_n_74, - P(30) => y_mul_n_75, - P(29) => y_mul_n_76, - P(28) => y_mul_n_77, - P(27) => y_mul_n_78, - P(26) => y_mul_n_79, - P(25) => y_mul_n_80, - P(24) => y_mul_n_81, - P(23) => y_mul_n_82, - P(22) => y_mul_n_83, - P(21) => y_mul_n_84, - P(20) => y_mul_n_85, - P(19) => y_mul_n_86, - P(18) => y_mul_n_87, - P(17) => y_mul_n_88, - P(16) => y_mul_n_89, - P(15) => y_mul_n_90, - P(14) => y_mul_n_91, - P(13) => y_mul_n_92, - P(12) => y_mul_n_93, - P(11) => y_mul_n_94, - P(10) => y_mul_n_95, - P(9) => y_mul_n_96, - P(8) => y_mul_n_97, - P(7) => y_mul_n_98, - P(6) => y_mul_n_99, - P(5) => y_mul_n_100, - P(4) => y_mul_n_101, - P(3) => y_mul_n_102, - P(2) => y_mul_n_103, - P(1) => y_mul_n_104, - P(0) => y_mul_n_105, - PATTERNBDETECT => NLW_y_mul_PATTERNBDETECT_UNCONNECTED, - PATTERNDETECT => NLW_y_mul_PATTERNDETECT_UNCONNECTED, - PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000", - PCOUT(47) => y_mul_n_106, - PCOUT(46) => y_mul_n_107, - PCOUT(45) => y_mul_n_108, - PCOUT(44) => y_mul_n_109, - PCOUT(43) => y_mul_n_110, - PCOUT(42) => y_mul_n_111, - PCOUT(41) => y_mul_n_112, - PCOUT(40) => y_mul_n_113, - PCOUT(39) => y_mul_n_114, - PCOUT(38) => y_mul_n_115, - PCOUT(37) => y_mul_n_116, - PCOUT(36) => y_mul_n_117, - PCOUT(35) => y_mul_n_118, - PCOUT(34) => y_mul_n_119, - PCOUT(33) => y_mul_n_120, - PCOUT(32) => y_mul_n_121, - PCOUT(31) => y_mul_n_122, - PCOUT(30) => y_mul_n_123, - PCOUT(29) => y_mul_n_124, - PCOUT(28) => y_mul_n_125, - PCOUT(27) => y_mul_n_126, - PCOUT(26) => y_mul_n_127, - PCOUT(25) => y_mul_n_128, - PCOUT(24) => y_mul_n_129, - PCOUT(23) => y_mul_n_130, - PCOUT(22) => y_mul_n_131, - PCOUT(21) => y_mul_n_132, - PCOUT(20) => y_mul_n_133, - PCOUT(19) => y_mul_n_134, - PCOUT(18) => y_mul_n_135, - PCOUT(17) => y_mul_n_136, - PCOUT(16) => y_mul_n_137, - PCOUT(15) => y_mul_n_138, - PCOUT(14) => y_mul_n_139, - PCOUT(13) => y_mul_n_140, - PCOUT(12) => y_mul_n_141, - PCOUT(11) => y_mul_n_142, - PCOUT(10) => y_mul_n_143, - PCOUT(9) => y_mul_n_144, - PCOUT(8) => y_mul_n_145, - PCOUT(7) => y_mul_n_146, - PCOUT(6) => y_mul_n_147, - PCOUT(5) => y_mul_n_148, - PCOUT(4) => y_mul_n_149, - PCOUT(3) => y_mul_n_150, - PCOUT(2) => y_mul_n_151, - PCOUT(1) => y_mul_n_152, - PCOUT(0) => y_mul_n_153, - RSTA => '0', - RSTALLCARRYIN => '0', - RSTALUMODE => '0', - RSTB => '0', - RSTC => '0', - RSTCTRL => '0', - RSTD => '0', - RSTINMODE => '0', - RSTM => '0', - RSTP => '0', - UNDERFLOW => NLW_y_mul_UNDERFLOW_UNCONNECTED - ); -\y_mul__0\: unisim.vcomponents.DSP48E1 - generic map( - ACASCREG => 0, - ADREG => 1, - ALUMODEREG => 0, - AREG => 0, - AUTORESET_PATDET => "NO_RESET", - A_INPUT => "DIRECT", - BCASCREG => 0, - BREG => 0, - B_INPUT => "DIRECT", - CARRYINREG => 0, - CARRYINSELREG => 0, - CREG => 1, - DREG => 1, - INMODEREG => 0, - MASK => X"3FFFFFFFFFFF", - MREG => 0, - OPMODEREG => 0, - PATTERN => X"000000000000", - PREG => 0, - SEL_MASK => "MASK", - SEL_PATTERN => "PATTERN", - USE_DPORT => false, - USE_MULT => "MULTIPLY", - USE_PATTERN_DETECT => "NO_PATDET", - USE_SIMD => "ONE48" - ) - port map ( - A(29) => cy0(23), - A(28) => cy0(23), - A(27) => cy0(23), - A(26) => cy0(23), - A(25) => cy0(23), - A(24) => cy0(23), - A(23 downto 0) => cy0(23 downto 0), - ACIN(29 downto 0) => B"000000000000000000000000000000", - ACOUT(29 downto 0) => \NLW_y_mul__0_ACOUT_UNCONNECTED\(29 downto 0), - ALUMODE(3 downto 0) => B"0000", - B(17) => '0', - B(16 downto 0) => \y_mul__0_0\(16 downto 0), - BCIN(17 downto 0) => B"000000000000000000", - BCOUT(17 downto 0) => \NLW_y_mul__0_BCOUT_UNCONNECTED\(17 downto 0), - C(47 downto 0) => B"111111111111111111111111111111111111111111111111", - CARRYCASCIN => '0', - CARRYCASCOUT => \NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED\, - CARRYIN => '0', - CARRYINSEL(2 downto 0) => B"000", - CARRYOUT(3 downto 0) => \NLW_y_mul__0_CARRYOUT_UNCONNECTED\(3 downto 0), - CEA1 => '0', - CEA2 => '0', - CEAD => '0', - CEALUMODE => '0', - CEB1 => '0', - CEB2 => '0', - CEC => '0', - CECARRYIN => '0', - CECTRL => '0', - CED => '0', - CEINMODE => '0', - CEM => '0', - CEP => '0', - CLK => '0', - D(24 downto 0) => B"0000000000000000000000000", - INMODE(4 downto 0) => B"00000", - MULTSIGNIN => '0', - MULTSIGNOUT => \NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED\, - OPMODE(6 downto 0) => B"1010101", - OVERFLOW => \NLW_y_mul__0_OVERFLOW_UNCONNECTED\, - P(47 downto 44) => \NLW_y_mul__0_P_UNCONNECTED\(47 downto 44), - P(43) => \y_mul__0_n_62\, - P(42) => \y_mul__0_n_63\, - P(41) => \y_mul__0_n_64\, - P(40) => \y_mul__0_n_65\, - P(39) => \y_mul__0_n_66\, - P(38) => \y_mul__0_n_67\, - P(37) => \y_mul__0_n_68\, - P(36) => \y_mul__0_n_69\, - P(35) => \y_mul__0_n_70\, - P(34) => \y_mul__0_n_71\, - P(33) => \y_mul__0_n_72\, - P(32) => \y_mul__0_n_73\, - P(31) => \y_mul__0_n_74\, - P(30) => \y_mul__0_n_75\, - P(29) => \y_mul__0_n_76\, - P(28) => \y_mul__0_n_77\, - P(27) => \y_mul__0_n_78\, - P(26) => \y_mul__0_n_79\, - P(25) => \y_mul__0_n_80\, - P(24) => \y_mul__0_n_81\, - P(23) => \y_mul__0_n_82\, - P(22) => \y_mul__0_n_83\, - P(21) => \y_mul__0_n_84\, - P(20) => \y_mul__0_n_85\, - P(19) => \y_mul__0_n_86\, - P(18) => \y_mul__0_n_87\, - P(17) => \y_mul__0_n_88\, - P(16) => \y_mul__0_n_89\, - P(15) => \y_mul__0_n_90\, - P(14) => \y_mul__0_n_91\, - P(13) => \y_mul__0_n_92\, - P(12) => \y_mul__0_n_93\, - P(11) => \y_mul__0_n_94\, - P(10) => \y_mul__0_n_95\, - P(9) => \y_mul__0_n_96\, - P(8) => \y_mul__0_n_97\, - P(7) => \y_mul__0_n_98\, - P(6) => \y_mul__0_n_99\, - P(5 downto 4) => \^p\(1 downto 0), - P(3) => \y_mul__0_n_102\, - P(2) => \y_mul__0_n_103\, - P(1) => \y_mul__0_n_104\, - P(0) => \y_mul__0_n_105\, - PATTERNBDETECT => \NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED\, - PATTERNDETECT => \NLW_y_mul__0_PATTERNDETECT_UNCONNECTED\, - PCIN(47) => y_mul_n_106, - PCIN(46) => y_mul_n_107, - PCIN(45) => y_mul_n_108, - PCIN(44) => y_mul_n_109, - PCIN(43) => y_mul_n_110, - PCIN(42) => y_mul_n_111, - PCIN(41) => y_mul_n_112, - PCIN(40) => y_mul_n_113, - PCIN(39) => y_mul_n_114, - PCIN(38) => y_mul_n_115, - PCIN(37) => y_mul_n_116, - PCIN(36) => y_mul_n_117, - PCIN(35) => y_mul_n_118, - PCIN(34) => y_mul_n_119, - PCIN(33) => y_mul_n_120, - PCIN(32) => y_mul_n_121, - PCIN(31) => y_mul_n_122, - PCIN(30) => y_mul_n_123, - PCIN(29) => y_mul_n_124, - PCIN(28) => y_mul_n_125, - PCIN(27) => y_mul_n_126, - PCIN(26) => y_mul_n_127, - PCIN(25) => y_mul_n_128, - PCIN(24) => y_mul_n_129, - PCIN(23) => y_mul_n_130, - PCIN(22) => y_mul_n_131, - PCIN(21) => y_mul_n_132, - PCIN(20) => y_mul_n_133, - PCIN(19) => y_mul_n_134, - PCIN(18) => y_mul_n_135, - PCIN(17) => y_mul_n_136, - PCIN(16) => y_mul_n_137, - PCIN(15) => y_mul_n_138, - PCIN(14) => y_mul_n_139, - PCIN(13) => y_mul_n_140, - PCIN(12) => y_mul_n_141, - PCIN(11) => y_mul_n_142, - PCIN(10) => y_mul_n_143, - PCIN(9) => y_mul_n_144, - PCIN(8) => y_mul_n_145, - PCIN(7) => y_mul_n_146, - PCIN(6) => y_mul_n_147, - PCIN(5) => y_mul_n_148, - PCIN(4) => y_mul_n_149, - PCIN(3) => y_mul_n_150, - PCIN(2) => y_mul_n_151, - PCIN(1) => y_mul_n_152, - PCIN(0) => y_mul_n_153, - PCOUT(47 downto 0) => \NLW_y_mul__0_PCOUT_UNCONNECTED\(47 downto 0), - RSTA => '0', - RSTALLCARRYIN => '0', - RSTALUMODE => '0', - RSTB => '0', - RSTC => '0', - RSTCTRL => '0', - RSTD => '0', - RSTINMODE => '0', - RSTM => '0', - RSTP => '0', - UNDERFLOW => \NLW_y_mul__0_UNDERFLOW_UNCONNECTED\ - ); -\y_mul__1_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y_mul__1_carry_n_0\, - CO(2) => \y_mul__1_carry_n_1\, - CO(1) => \y_mul__1_carry_n_2\, - CO(0) => \y_mul__1_carry_n_3\, - CYINIT => '0', - DI(3) => \y_mul__1_carry_i_1_n_0\, - DI(2) => \y_mul__1_carry_i_2_n_0\, - DI(1) => \y_mul__1_carry_i_3_n_0\, - DI(0) => '0', - O(3) => \y_mul__1_carry_n_4\, - O(2) => \y_mul__1_carry_n_5\, - O(1) => \y_mul__1_carry_n_6\, - O(0) => \y_mul__1_carry_n_7\, - S(3) => \y_mul__1_carry_i_4_n_0\, - S(2) => \y_mul__1_carry_i_5_n_0\, - S(1) => \y_mul__1_carry_i_6_n_0\, - S(0) => \y_mul__1_carry_i_7_n_0\ - ); -\y_mul__1_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry_n_0\, - CO(3) => \y_mul__1_carry__0_n_0\, - CO(2) => \y_mul__1_carry__0_n_1\, - CO(1) => \y_mul__1_carry__0_n_2\, - CO(0) => \y_mul__1_carry__0_n_3\, - CYINIT => '0', - DI(3) => \y_mul__1_carry__0_i_1_n_0\, - DI(2) => \y_mul__1_carry__0_i_2_n_0\, - DI(1) => \y_mul__1_carry__0_i_3_n_0\, - DI(0) => \y_mul__1_carry__0_i_4_n_0\, - O(3) => \y_mul__1_carry__0_n_4\, - O(2) => \y_mul__1_carry__0_n_5\, - O(1) => \y_mul__1_carry__0_n_6\, - O(0) => \y_mul__1_carry__0_n_7\, - S(3) => \y_mul__1_carry__0_i_5_n_0\, - S(2) => \y_mul__1_carry__0_i_6_n_0\, - S(1) => \y_mul__1_carry__0_i_7_n_0\, - S(0) => \y_mul__1_carry__0_i_8_n_0\ - ); -\y_mul__1_carry__0_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(5), - I2 => \out_r_reg[0]\(0), - I3 => cy0(4), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(6), - O => \y_mul__1_carry__0_i_1_n_0\ - ); -\y_mul__1_carry__0_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(4), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_10_n_0\ - ); -\y_mul__1_carry__0_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(3), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_10__0_n_0\ - ); -\y_mul__1_carry__0_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(3), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_10__1_n_0\ - ); -\y_mul__1_carry__0_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(3), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_11_n_0\ - ); -\y_mul__1_carry__0_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(2), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_11__0_n_0\ - ); -\y_mul__1_carry__0_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(2), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_11__1_n_0\ - ); -\y_mul__1_carry__0_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(2), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_12_n_0\ - ); -\y_mul__1_carry__0_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(1), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_12__0_n_0\ - ); -\y_mul__1_carry__0_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(1), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_12__1_n_0\ - ); -\y_mul__1_carry__0_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(4), - I2 => \out_r_reg[0]\(0), - I3 => cy1(3), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(5), - O => \^cy1[5]\(3) - ); -\y_mul__1_carry__0_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(4), - I2 => \out_r_reg[0]\(0), - I3 => cy2(3), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(5), - O => \^cy2[5]\(3) - ); -\y_mul__1_carry__0_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(4), - I2 => \out_r_reg[0]\(0), - I3 => cy0(3), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(5), - O => \y_mul__1_carry__0_i_2_n_0\ - ); -\y_mul__1_carry__0_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(3), - I2 => \out_r_reg[0]\(0), - I3 => cy1(2), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(4), - O => \^cy1[5]\(2) - ); -\y_mul__1_carry__0_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(3), - I2 => \out_r_reg[0]\(0), - I3 => cy2(2), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(4), - O => \^cy2[5]\(2) - ); -\y_mul__1_carry__0_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(3), - I2 => \out_r_reg[0]\(0), - I3 => cy0(2), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(4), - O => \y_mul__1_carry__0_i_3_n_0\ - ); -\y_mul__1_carry__0_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(2), - I2 => \out_r_reg[0]\(0), - I3 => cy1(1), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(3), - O => \^cy1[5]\(1) - ); -\y_mul__1_carry__0_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(2), - I2 => \out_r_reg[0]\(0), - I3 => cy2(1), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(3), - O => \^cy2[5]\(1) - ); -\y_mul__1_carry__0_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(2), - I2 => \out_r_reg[0]\(0), - I3 => cy0(1), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(3), - O => \y_mul__1_carry__0_i_4_n_0\ - ); -\y_mul__1_carry__0_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(1), - I2 => \out_r_reg[0]\(0), - I3 => cy1(0), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(2), - O => \^cy1[5]\(0) - ); -\y_mul__1_carry__0_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(1), - I2 => \out_r_reg[0]\(0), - I3 => cy2(0), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(2), - O => \^cy2[5]\(0) - ); -\y_mul__1_carry__0_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__0_i_1_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(6), - I3 => \y_mul__1_carry__0_i_9_n_0\, - I4 => cy0(7), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__0_i_5_n_0\ - ); -\y_mul__1_carry__0_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[5]\(3), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(5), - I3 => \y_mul__1_carry__0_i_9__0_n_0\, - I4 => cy1(6), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[6]\(3) - ); -\y_mul__1_carry__0_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[5]\(3), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(5), - I3 => \y_mul__1_carry__0_i_9__1_n_0\, - I4 => cy2(6), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[6]\(3) - ); -\y_mul__1_carry__0_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__0_i_2_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(5), - I3 => \y_mul__1_carry__0_i_10_n_0\, - I4 => cy0(6), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__0_i_6_n_0\ - ); -\y_mul__1_carry__0_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[5]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(4), - I3 => \y_mul__1_carry__0_i_10__0_n_0\, - I4 => cy1(5), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[6]\(2) - ); -\y_mul__1_carry__0_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[5]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(4), - I3 => \y_mul__1_carry__0_i_10__1_n_0\, - I4 => cy2(5), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[6]\(2) - ); -\y_mul__1_carry__0_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__0_i_3_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(4), - I3 => \y_mul__1_carry__0_i_11_n_0\, - I4 => cy0(5), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__0_i_7_n_0\ - ); -\y_mul__1_carry__0_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[5]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(3), - I3 => \y_mul__1_carry__0_i_11__0_n_0\, - I4 => cy1(4), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[6]\(1) - ); -\y_mul__1_carry__0_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[5]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(3), - I3 => \y_mul__1_carry__0_i_11__1_n_0\, - I4 => cy2(4), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[6]\(1) - ); -\y_mul__1_carry__0_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__0_i_4_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(3), - I3 => \y_mul__1_carry__0_i_12_n_0\, - I4 => cy0(4), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__0_i_8_n_0\ - ); -\y_mul__1_carry__0_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[5]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(2), - I3 => \y_mul__1_carry__0_i_12__0_n_0\, - I4 => cy1(3), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[6]\(0) - ); -\y_mul__1_carry__0_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[5]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(2), - I3 => \y_mul__1_carry__0_i_12__1_n_0\, - I4 => cy2(3), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[6]\(0) - ); -\y_mul__1_carry__0_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(5), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_9_n_0\ - ); -\y_mul__1_carry__0_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(4), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_9__0_n_0\ - ); -\y_mul__1_carry__0_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(4), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__0_i_9__1_n_0\ - ); -\y_mul__1_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__0_n_0\, - CO(3) => \y_mul__1_carry__1_n_0\, - CO(2) => \y_mul__1_carry__1_n_1\, - CO(1) => \y_mul__1_carry__1_n_2\, - CO(0) => \y_mul__1_carry__1_n_3\, - CYINIT => '0', - DI(3) => \y_mul__1_carry__1_i_1_n_0\, - DI(2) => \y_mul__1_carry__1_i_2_n_0\, - DI(1) => \y_mul__1_carry__1_i_3_n_0\, - DI(0) => \y_mul__1_carry__1_i_4_n_0\, - O(3) => \y_mul__1_carry__1_n_4\, - O(2) => \y_mul__1_carry__1_n_5\, - O(1) => \y_mul__1_carry__1_n_6\, - O(0) => \y_mul__1_carry__1_n_7\, - S(3) => \y_mul__1_carry__1_i_5_n_0\, - S(2) => \y_mul__1_carry__1_i_6_n_0\, - S(1) => \y_mul__1_carry__1_i_7_n_0\, - S(0) => \y_mul__1_carry__1_i_8_n_0\ - ); -\y_mul__1_carry__1_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(9), - I2 => \out_r_reg[0]\(0), - I3 => cy0(8), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(10), - O => \y_mul__1_carry__1_i_1_n_0\ - ); -\y_mul__1_carry__1_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(8), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_10_n_0\ - ); -\y_mul__1_carry__1_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(7), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_10__0_n_0\ - ); -\y_mul__1_carry__1_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(7), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_10__1_n_0\ - ); -\y_mul__1_carry__1_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(7), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_11_n_0\ - ); -\y_mul__1_carry__1_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(6), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_11__0_n_0\ - ); -\y_mul__1_carry__1_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(6), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_11__1_n_0\ - ); -\y_mul__1_carry__1_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(6), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_12_n_0\ - ); -\y_mul__1_carry__1_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(5), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_12__0_n_0\ - ); -\y_mul__1_carry__1_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(5), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_12__1_n_0\ - ); -\y_mul__1_carry__1_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(8), - I2 => \out_r_reg[0]\(0), - I3 => cy1(7), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(9), - O => \^cy1[9]\(3) - ); -\y_mul__1_carry__1_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(8), - I2 => \out_r_reg[0]\(0), - I3 => cy2(7), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(9), - O => \^cy2[9]\(3) - ); -\y_mul__1_carry__1_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(8), - I2 => \out_r_reg[0]\(0), - I3 => cy0(7), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(9), - O => \y_mul__1_carry__1_i_2_n_0\ - ); -\y_mul__1_carry__1_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(7), - I2 => \out_r_reg[0]\(0), - I3 => cy1(6), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(8), - O => \^cy1[9]\(2) - ); -\y_mul__1_carry__1_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(7), - I2 => \out_r_reg[0]\(0), - I3 => cy2(6), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(8), - O => \^cy2[9]\(2) - ); -\y_mul__1_carry__1_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(7), - I2 => \out_r_reg[0]\(0), - I3 => cy0(6), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(8), - O => \y_mul__1_carry__1_i_3_n_0\ - ); -\y_mul__1_carry__1_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(6), - I2 => \out_r_reg[0]\(0), - I3 => cy1(5), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(7), - O => \^cy1[9]\(1) - ); -\y_mul__1_carry__1_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(6), - I2 => \out_r_reg[0]\(0), - I3 => cy2(5), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(7), - O => \^cy2[9]\(1) - ); -\y_mul__1_carry__1_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(6), - I2 => \out_r_reg[0]\(0), - I3 => cy0(5), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(7), - O => \y_mul__1_carry__1_i_4_n_0\ - ); -\y_mul__1_carry__1_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(5), - I2 => \out_r_reg[0]\(0), - I3 => cy1(4), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(6), - O => \^cy1[9]\(0) - ); -\y_mul__1_carry__1_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(5), - I2 => \out_r_reg[0]\(0), - I3 => cy2(4), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(6), - O => \^cy2[9]\(0) - ); -\y_mul__1_carry__1_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__1_i_1_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(10), - I3 => \y_mul__1_carry__1_i_9_n_0\, - I4 => cy0(11), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__1_i_5_n_0\ - ); -\y_mul__1_carry__1_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[9]\(3), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(9), - I3 => \y_mul__1_carry__1_i_9__0_n_0\, - I4 => cy1(10), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[10]\(3) - ); -\y_mul__1_carry__1_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[9]\(3), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(9), - I3 => \y_mul__1_carry__1_i_9__1_n_0\, - I4 => cy2(10), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[10]\(3) - ); -\y_mul__1_carry__1_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__1_i_2_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(9), - I3 => \y_mul__1_carry__1_i_10_n_0\, - I4 => cy0(10), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__1_i_6_n_0\ - ); -\y_mul__1_carry__1_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[9]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(8), - I3 => \y_mul__1_carry__1_i_10__0_n_0\, - I4 => cy1(9), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[10]\(2) - ); -\y_mul__1_carry__1_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[9]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(8), - I3 => \y_mul__1_carry__1_i_10__1_n_0\, - I4 => cy2(9), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[10]\(2) - ); -\y_mul__1_carry__1_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__1_i_3_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(8), - I3 => \y_mul__1_carry__1_i_11_n_0\, - I4 => cy0(9), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__1_i_7_n_0\ - ); -\y_mul__1_carry__1_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[9]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(7), - I3 => \y_mul__1_carry__1_i_11__0_n_0\, - I4 => cy1(8), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[10]\(1) - ); -\y_mul__1_carry__1_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[9]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(7), - I3 => \y_mul__1_carry__1_i_11__1_n_0\, - I4 => cy2(8), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[10]\(1) - ); -\y_mul__1_carry__1_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__1_i_4_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(7), - I3 => \y_mul__1_carry__1_i_12_n_0\, - I4 => cy0(8), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__1_i_8_n_0\ - ); -\y_mul__1_carry__1_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[9]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(6), - I3 => \y_mul__1_carry__1_i_12__0_n_0\, - I4 => cy1(7), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[10]\(0) - ); -\y_mul__1_carry__1_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[9]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(6), - I3 => \y_mul__1_carry__1_i_12__1_n_0\, - I4 => cy2(7), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[10]\(0) - ); -\y_mul__1_carry__1_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(9), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_9_n_0\ - ); -\y_mul__1_carry__1_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(8), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_9__0_n_0\ - ); -\y_mul__1_carry__1_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(8), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__1_i_9__1_n_0\ - ); -\y_mul__1_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__1_n_0\, - CO(3) => \y_mul__1_carry__2_n_0\, - CO(2) => \y_mul__1_carry__2_n_1\, - CO(1) => \y_mul__1_carry__2_n_2\, - CO(0) => \y_mul__1_carry__2_n_3\, - CYINIT => '0', - DI(3) => \y_mul__1_carry__2_i_1_n_0\, - DI(2) => \y_mul__1_carry__2_i_2_n_0\, - DI(1) => \y_mul__1_carry__2_i_3_n_0\, - DI(0) => \y_mul__1_carry__2_i_4_n_0\, - O(3) => \y_mul__1_carry__2_n_4\, - O(2) => \y_mul__1_carry__2_n_5\, - O(1) => \y_mul__1_carry__2_n_6\, - O(0) => \y_mul__1_carry__2_n_7\, - S(3) => \y_mul__1_carry__2_i_5_n_0\, - S(2) => \y_mul__1_carry__2_i_6_n_0\, - S(1) => \y_mul__1_carry__2_i_7_n_0\, - S(0) => \y_mul__1_carry__2_i_8_n_0\ - ); -\y_mul__1_carry__2_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(13), - I2 => \out_r_reg[0]\(0), - I3 => cy0(12), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(14), - O => \y_mul__1_carry__2_i_1_n_0\ - ); -\y_mul__1_carry__2_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(12), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_10_n_0\ - ); -\y_mul__1_carry__2_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(11), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_10__0_n_0\ - ); -\y_mul__1_carry__2_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(11), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_10__1_n_0\ - ); -\y_mul__1_carry__2_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(11), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_11_n_0\ - ); -\y_mul__1_carry__2_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(10), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_11__0_n_0\ - ); -\y_mul__1_carry__2_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(10), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_11__1_n_0\ - ); -\y_mul__1_carry__2_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(10), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_12_n_0\ - ); -\y_mul__1_carry__2_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(9), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_12__0_n_0\ - ); -\y_mul__1_carry__2_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(9), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_12__1_n_0\ - ); -\y_mul__1_carry__2_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(12), - I2 => \out_r_reg[0]\(0), - I3 => cy1(11), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(13), - O => \^cy1[13]\(3) - ); -\y_mul__1_carry__2_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(12), - I2 => \out_r_reg[0]\(0), - I3 => cy2(11), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(13), - O => \^cy2[13]\(3) - ); -\y_mul__1_carry__2_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(12), - I2 => \out_r_reg[0]\(0), - I3 => cy0(11), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(13), - O => \y_mul__1_carry__2_i_2_n_0\ - ); -\y_mul__1_carry__2_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(11), - I2 => \out_r_reg[0]\(0), - I3 => cy1(10), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(12), - O => \^cy1[13]\(2) - ); -\y_mul__1_carry__2_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(11), - I2 => \out_r_reg[0]\(0), - I3 => cy2(10), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(12), - O => \^cy2[13]\(2) - ); -\y_mul__1_carry__2_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(11), - I2 => \out_r_reg[0]\(0), - I3 => cy0(10), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(12), - O => \y_mul__1_carry__2_i_3_n_0\ - ); -\y_mul__1_carry__2_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(10), - I2 => \out_r_reg[0]\(0), - I3 => cy1(9), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(11), - O => \^cy1[13]\(1) - ); -\y_mul__1_carry__2_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(10), - I2 => \out_r_reg[0]\(0), - I3 => cy2(9), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(11), - O => \^cy2[13]\(1) - ); -\y_mul__1_carry__2_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(10), - I2 => \out_r_reg[0]\(0), - I3 => cy0(9), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(11), - O => \y_mul__1_carry__2_i_4_n_0\ - ); -\y_mul__1_carry__2_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(9), - I2 => \out_r_reg[0]\(0), - I3 => cy1(8), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(10), - O => \^cy1[13]\(0) - ); -\y_mul__1_carry__2_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(9), - I2 => \out_r_reg[0]\(0), - I3 => cy2(8), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(10), - O => \^cy2[13]\(0) - ); -\y_mul__1_carry__2_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__2_i_1_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(14), - I3 => \y_mul__1_carry__2_i_9_n_0\, - I4 => cy0(15), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__2_i_5_n_0\ - ); -\y_mul__1_carry__2_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[13]\(3), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(13), - I3 => \y_mul__1_carry__2_i_9__0_n_0\, - I4 => cy1(14), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[14]\(3) - ); -\y_mul__1_carry__2_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[13]\(3), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(13), - I3 => \y_mul__1_carry__2_i_9__1_n_0\, - I4 => cy2(14), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[14]\(3) - ); -\y_mul__1_carry__2_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__2_i_2_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(13), - I3 => \y_mul__1_carry__2_i_10_n_0\, - I4 => cy0(14), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__2_i_6_n_0\ - ); -\y_mul__1_carry__2_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[13]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(12), - I3 => \y_mul__1_carry__2_i_10__0_n_0\, - I4 => cy1(13), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[14]\(2) - ); -\y_mul__1_carry__2_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[13]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(12), - I3 => \y_mul__1_carry__2_i_10__1_n_0\, - I4 => cy2(13), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[14]\(2) - ); -\y_mul__1_carry__2_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__2_i_3_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(12), - I3 => \y_mul__1_carry__2_i_11_n_0\, - I4 => cy0(13), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__2_i_7_n_0\ - ); -\y_mul__1_carry__2_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[13]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(11), - I3 => \y_mul__1_carry__2_i_11__0_n_0\, - I4 => cy1(12), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[14]\(1) - ); -\y_mul__1_carry__2_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[13]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(11), - I3 => \y_mul__1_carry__2_i_11__1_n_0\, - I4 => cy2(12), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[14]\(1) - ); -\y_mul__1_carry__2_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__2_i_4_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(11), - I3 => \y_mul__1_carry__2_i_12_n_0\, - I4 => cy0(12), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__2_i_8_n_0\ - ); -\y_mul__1_carry__2_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[13]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(10), - I3 => \y_mul__1_carry__2_i_12__0_n_0\, - I4 => cy1(11), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[14]\(0) - ); -\y_mul__1_carry__2_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[13]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(10), - I3 => \y_mul__1_carry__2_i_12__1_n_0\, - I4 => cy2(11), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[14]\(0) - ); -\y_mul__1_carry__2_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(13), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_9_n_0\ - ); -\y_mul__1_carry__2_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(12), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_9__0_n_0\ - ); -\y_mul__1_carry__2_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(12), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__2_i_9__1_n_0\ - ); -\y_mul__1_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__2_n_0\, - CO(3) => \y_mul__1_carry__3_n_0\, - CO(2) => \y_mul__1_carry__3_n_1\, - CO(1) => \y_mul__1_carry__3_n_2\, - CO(0) => \y_mul__1_carry__3_n_3\, - CYINIT => '0', - DI(3) => \y_mul__1_carry__3_i_1_n_0\, - DI(2) => \y_mul__1_carry__3_i_2_n_0\, - DI(1) => \y_mul__1_carry__3_i_3_n_0\, - DI(0) => \y_mul__1_carry__3_i_4_n_0\, - O(3) => \y_mul__1_carry__3_n_4\, - O(2) => \y_mul__1_carry__3_n_5\, - O(1) => \y_mul__1_carry__3_n_6\, - O(0) => \y_mul__1_carry__3_n_7\, - S(3) => \y_mul__1_carry__3_i_5_n_0\, - S(2) => \y_mul__1_carry__3_i_6_n_0\, - S(1) => \y_mul__1_carry__3_i_7_n_0\, - S(0) => \y_mul__1_carry__3_i_8_n_0\ - ); -\y_mul__1_carry__3_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(17), - I2 => \out_r_reg[0]\(0), - I3 => cy0(16), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(18), - O => \y_mul__1_carry__3_i_1_n_0\ - ); -\y_mul__1_carry__3_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(16), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_10_n_0\ - ); -\y_mul__1_carry__3_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(15), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_10__0_n_0\ - ); -\y_mul__1_carry__3_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(15), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_10__1_n_0\ - ); -\y_mul__1_carry__3_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(15), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_11_n_0\ - ); -\y_mul__1_carry__3_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(14), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_11__0_n_0\ - ); -\y_mul__1_carry__3_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(14), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_11__1_n_0\ - ); -\y_mul__1_carry__3_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(14), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_12_n_0\ - ); -\y_mul__1_carry__3_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(13), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_12__0_n_0\ - ); -\y_mul__1_carry__3_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(13), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_12__1_n_0\ - ); -\y_mul__1_carry__3_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(16), - I2 => \out_r_reg[0]\(0), - I3 => cy1(15), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(17), - O => \^cy1[17]\(3) - ); -\y_mul__1_carry__3_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(16), - I2 => \out_r_reg[0]\(0), - I3 => cy2(15), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(17), - O => \^cy2[17]\(3) - ); -\y_mul__1_carry__3_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(16), - I2 => \out_r_reg[0]\(0), - I3 => cy0(15), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(17), - O => \y_mul__1_carry__3_i_2_n_0\ - ); -\y_mul__1_carry__3_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(15), - I2 => \out_r_reg[0]\(0), - I3 => cy1(14), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(16), - O => \^cy1[17]\(2) - ); -\y_mul__1_carry__3_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(15), - I2 => \out_r_reg[0]\(0), - I3 => cy2(14), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(16), - O => \^cy2[17]\(2) - ); -\y_mul__1_carry__3_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(15), - I2 => \out_r_reg[0]\(0), - I3 => cy0(14), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(16), - O => \y_mul__1_carry__3_i_3_n_0\ - ); -\y_mul__1_carry__3_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(14), - I2 => \out_r_reg[0]\(0), - I3 => cy1(13), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(15), - O => \^cy1[17]\(1) - ); -\y_mul__1_carry__3_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(14), - I2 => \out_r_reg[0]\(0), - I3 => cy2(13), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(15), - O => \^cy2[17]\(1) - ); -\y_mul__1_carry__3_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(14), - I2 => \out_r_reg[0]\(0), - I3 => cy0(13), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(15), - O => \y_mul__1_carry__3_i_4_n_0\ - ); -\y_mul__1_carry__3_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(13), - I2 => \out_r_reg[0]\(0), - I3 => cy1(12), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(14), - O => \^cy1[17]\(0) - ); -\y_mul__1_carry__3_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(13), - I2 => \out_r_reg[0]\(0), - I3 => cy2(12), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(14), - O => \^cy2[17]\(0) - ); -\y_mul__1_carry__3_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__3_i_1_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(18), - I3 => \y_mul__1_carry__3_i_9_n_0\, - I4 => cy0(19), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__3_i_5_n_0\ - ); -\y_mul__1_carry__3_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[17]\(3), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(17), - I3 => \y_mul__1_carry__3_i_9__0_n_0\, - I4 => cy1(18), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[18]\(3) - ); -\y_mul__1_carry__3_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[17]\(3), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(17), - I3 => \y_mul__1_carry__3_i_9__1_n_0\, - I4 => cy2(18), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[18]\(3) - ); -\y_mul__1_carry__3_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__3_i_2_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(17), - I3 => \y_mul__1_carry__3_i_10_n_0\, - I4 => cy0(18), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__3_i_6_n_0\ - ); -\y_mul__1_carry__3_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[17]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(16), - I3 => \y_mul__1_carry__3_i_10__0_n_0\, - I4 => cy1(17), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[18]\(2) - ); -\y_mul__1_carry__3_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[17]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(16), - I3 => \y_mul__1_carry__3_i_10__1_n_0\, - I4 => cy2(17), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[18]\(2) - ); -\y_mul__1_carry__3_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__3_i_3_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(16), - I3 => \y_mul__1_carry__3_i_11_n_0\, - I4 => cy0(17), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__3_i_7_n_0\ - ); -\y_mul__1_carry__3_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[17]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(15), - I3 => \y_mul__1_carry__3_i_11__0_n_0\, - I4 => cy1(16), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[18]\(1) - ); -\y_mul__1_carry__3_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[17]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(15), - I3 => \y_mul__1_carry__3_i_11__1_n_0\, - I4 => cy2(16), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[18]\(1) - ); -\y_mul__1_carry__3_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__3_i_4_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(15), - I3 => \y_mul__1_carry__3_i_12_n_0\, - I4 => cy0(16), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__3_i_8_n_0\ - ); -\y_mul__1_carry__3_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[17]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(14), - I3 => \y_mul__1_carry__3_i_12__0_n_0\, - I4 => cy1(15), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[18]\(0) - ); -\y_mul__1_carry__3_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[17]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(14), - I3 => \y_mul__1_carry__3_i_12__1_n_0\, - I4 => cy2(15), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[18]\(0) - ); -\y_mul__1_carry__3_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(17), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_9_n_0\ - ); -\y_mul__1_carry__3_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(16), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_9__0_n_0\ - ); -\y_mul__1_carry__3_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(16), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__3_i_9__1_n_0\ - ); -\y_mul__1_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__3_n_0\, - CO(3) => \y_mul__1_carry__4_n_0\, - CO(2) => \y_mul__1_carry__4_n_1\, - CO(1) => \y_mul__1_carry__4_n_2\, - CO(0) => \y_mul__1_carry__4_n_3\, - CYINIT => '0', - DI(3) => \y_mul__1_carry__4_i_1_n_0\, - DI(2) => \y_mul__1_carry__4_i_2_n_0\, - DI(1) => \y_mul__1_carry__4_i_3_n_0\, - DI(0) => \y_mul__1_carry__4_i_4_n_0\, - O(3) => \y_mul__1_carry__4_n_4\, - O(2) => \y_mul__1_carry__4_n_5\, - O(1) => \y_mul__1_carry__4_n_6\, - O(0) => \y_mul__1_carry__4_n_7\, - S(3) => \y_mul__1_carry__4_i_5_n_0\, - S(2) => \y_mul__1_carry__4_i_6_n_0\, - S(1) => \y_mul__1_carry__4_i_7_n_0\, - S(0) => \y_mul__1_carry__4_i_8_n_0\ - ); -\y_mul__1_carry__4_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"7888877787778777" - ) - port map ( - I0 => cy0(21), - I1 => \out_r_reg[0]\(0), - I2 => cy0(22), - I3 => \out_r_reg[0]_0\(1), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(23), - O => \y_mul__1_carry__4_i_1_n_0\ - ); -\y_mul__1_carry__4_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(20), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_10_n_0\ - ); -\y_mul__1_carry__4_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(19), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_10__0_n_0\ - ); -\y_mul__1_carry__4_i_10__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(19), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_10__1_n_0\ - ); -\y_mul__1_carry__4_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(19), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_11_n_0\ - ); -\y_mul__1_carry__4_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(18), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_11__0_n_0\ - ); -\y_mul__1_carry__4_i_11__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(18), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_11__1_n_0\ - ); -\y_mul__1_carry__4_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(18), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_12_n_0\ - ); -\y_mul__1_carry__4_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(17), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_12__0_n_0\ - ); -\y_mul__1_carry__4_i_12__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(17), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry__4_i_12__1_n_0\ - ); -\y_mul__1_carry__4_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(20), - I2 => \out_r_reg[0]\(0), - I3 => cy0(19), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(21), - O => \y_mul__1_carry__4_i_2_n_0\ - ); -\y_mul__1_carry__4_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(19), - I2 => \out_r_reg[0]\(0), - I3 => cy1(18), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(20), - O => \^cy1[20]\(2) - ); -\y_mul__1_carry__4_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(19), - I2 => \out_r_reg[0]\(0), - I3 => cy2(18), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(20), - O => \^cy2[20]\(2) - ); -\y_mul__1_carry__4_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(19), - I2 => \out_r_reg[0]\(0), - I3 => cy0(18), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(20), - O => \y_mul__1_carry__4_i_3_n_0\ - ); -\y_mul__1_carry__4_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(18), - I2 => \out_r_reg[0]\(0), - I3 => cy1(17), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(19), - O => \^cy1[20]\(1) - ); -\y_mul__1_carry__4_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(18), - I2 => \out_r_reg[0]\(0), - I3 => cy2(17), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(19), - O => \^cy2[20]\(1) - ); -\y_mul__1_carry__4_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(18), - I2 => \out_r_reg[0]\(0), - I3 => cy0(17), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(19), - O => \y_mul__1_carry__4_i_4_n_0\ - ); -\y_mul__1_carry__4_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy1(17), - I2 => \out_r_reg[0]\(0), - I3 => cy1(16), - I4 => \out_r_reg[0]_0\(0), - I5 => cy1(18), - O => \^cy1[20]\(0) - ); -\y_mul__1_carry__4_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8FFF088808880888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy2(17), - I2 => \out_r_reg[0]\(0), - I3 => cy2(16), - I4 => \out_r_reg[0]_0\(0), - I5 => cy2(18), - O => \^cy2[20]\(0) - ); -\y_mul__1_carry__4_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AA6A6A6A6A555555" - ) - port map ( - I0 => \y_mul__1_carry__4_i_1_n_0\, - I1 => cy0(22), - I2 => \out_r_reg[0]_0\(0), - I3 => cy0(20), - I4 => \out_r_reg[0]\(0), - I5 => \y_mul__1_carry__4_i_9_n_0\, - O => \y_mul__1_carry__4_i_5_n_0\ - ); -\y_mul__1_carry__4_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AA6A6A6A6A555555" - ) - port map ( - I0 => \y_mul__1_carry__4_0\(0), - I1 => cy1(21), - I2 => \out_r_reg[0]_0\(0), - I3 => cy1(19), - I4 => \out_r_reg[0]\(0), - I5 => \y_mul__1_carry__4_1\, - O => \cy1[22]_0\(3) - ); -\y_mul__1_carry__4_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AA6A6A6A6A555555" - ) - port map ( - I0 => \y_mul__1_carry__4_2\(0), - I1 => cy2(21), - I2 => \out_r_reg[0]_0\(0), - I3 => cy2(19), - I4 => \out_r_reg[0]\(0), - I5 => \y_mul__1_carry__4_3\, - O => \cy2[22]_0\(3) - ); -\y_mul__1_carry__4_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__4_i_2_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(21), - I3 => \y_mul__1_carry__4_i_10_n_0\, - I4 => cy0(22), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__4_i_6_n_0\ - ); -\y_mul__1_carry__4_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[20]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(20), - I3 => \y_mul__1_carry__4_i_10__0_n_0\, - I4 => cy1(21), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[22]_0\(2) - ); -\y_mul__1_carry__4_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[20]\(2), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(20), - I3 => \y_mul__1_carry__4_i_10__1_n_0\, - I4 => cy2(21), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[22]_0\(2) - ); -\y_mul__1_carry__4_i_7\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__4_i_3_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(20), - I3 => \y_mul__1_carry__4_i_11_n_0\, - I4 => cy0(21), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__4_i_7_n_0\ - ); -\y_mul__1_carry__4_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[20]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(19), - I3 => \y_mul__1_carry__4_i_11__0_n_0\, - I4 => cy1(20), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[22]_0\(1) - ); -\y_mul__1_carry__4_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[20]\(1), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(19), - I3 => \y_mul__1_carry__4_i_11__1_n_0\, - I4 => cy2(20), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[22]_0\(1) - ); -\y_mul__1_carry__4_i_8\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry__4_i_4_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(19), - I3 => \y_mul__1_carry__4_i_12_n_0\, - I4 => cy0(20), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry__4_i_8_n_0\ - ); -\y_mul__1_carry__4_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy1[20]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(18), - I3 => \y_mul__1_carry__4_i_12__0_n_0\, - I4 => cy1(19), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[22]_0\(0) - ); -\y_mul__1_carry__4_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \^cy2[20]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(18), - I3 => \y_mul__1_carry__4_i_12__1_n_0\, - I4 => cy2(19), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[22]_0\(0) - ); -\y_mul__1_carry__4_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(21), - I1 => \out_r_reg[0]_0\(1), - O => \y_mul__1_carry__4_i_9_n_0\ - ); -\y_mul__1_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__4_n_0\, - CO(3) => \NLW_y_mul__1_carry__5_CO_UNCONNECTED\(3), - CO(2) => \y_mul__1_carry__5_n_1\, - CO(1) => \NLW_y_mul__1_carry__5_CO_UNCONNECTED\(1), - CO(0) => \y_mul__1_carry__5_n_3\, - CYINIT => '0', - DI(3 downto 2) => B"00", - DI(1) => \y_mul__1_carry__5_i_1_n_0\, - DI(0) => \y_mul__1_carry__5_i_2_n_0\, - O(3 downto 2) => \NLW_y_mul__1_carry__5_O_UNCONNECTED\(3 downto 2), - O(1) => \y_mul__1_carry__5_n_6\, - O(0) => \y_mul__1_carry__5_n_7\, - S(3 downto 2) => B"01", - S(1) => \y_mul__1_carry__5_i_3_n_0\, - S(0) => \y_mul__1_carry__5_i_4_n_0\ - ); -\y_mul__1_carry__5_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"57F77FFF" - ) - port map ( - I0 => \out_r_reg[0]\(0), - I1 => cy0(22), - I2 => \out_r_reg[0]_0\(1), - I3 => cy0(23), - I4 => cy0(21), - O => \y_mul__1_carry__5_i_1_n_0\ - ); -\y_mul__1_carry__5_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"57F77FFF" - ) - port map ( - I0 => \out_r_reg[0]\(0), - I1 => cy1(21), - I2 => \out_r_reg[0]_0\(1), - I3 => cy1(22), - I4 => cy1(20), - O => \^cy1[22]\(1) - ); -\y_mul__1_carry__5_i_1__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"57F77FFF" - ) - port map ( - I0 => \out_r_reg[0]\(0), - I1 => cy2(21), - I2 => \out_r_reg[0]_0\(1), - I3 => cy2(22), - I4 => cy2(20), - O => \^cy2[22]\(1) - ); -\y_mul__1_carry__5_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0777700070007000" - ) - port map ( - I0 => \out_r_reg[0]_0\(0), - I1 => cy0(23), - I2 => cy0(21), - I3 => \out_r_reg[0]\(0), - I4 => cy0(22), - I5 => \out_r_reg[0]_0\(1), - O => \y_mul__1_carry__5_i_2_n_0\ - ); -\y_mul__1_carry__5_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0777700070007000" - ) - port map ( - I0 => \out_r_reg[0]_0\(0), - I1 => cy1(22), - I2 => cy1(20), - I3 => \out_r_reg[0]\(0), - I4 => cy1(21), - I5 => \out_r_reg[0]_0\(1), - O => \^cy1[22]\(0) - ); -\y_mul__1_carry__5_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0777700070007000" - ) - port map ( - I0 => \out_r_reg[0]_0\(0), - I1 => cy2(22), - I2 => cy2(20), - I3 => \out_r_reg[0]\(0), - I4 => cy2(21), - I5 => \out_r_reg[0]_0\(1), - O => \^cy2[22]\(0) - ); -\y_mul__1_carry__5_i_3\: unisim.vcomponents.LUT5 - generic map( - INIT => X"E8FFDFFF" - ) - port map ( - I0 => cy0(21), - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(22), - I3 => \out_r_reg[0]\(0), - I4 => cy0(23), - O => \y_mul__1_carry__5_i_3_n_0\ - ); -\y_mul__1_carry__5_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"59956A956A959595" - ) - port map ( - I0 => \y_mul__1_carry__5_i_2_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(23), - I3 => \out_r_reg[0]\(0), - I4 => cy0(22), - I5 => cy0(21), - O => \y_mul__1_carry__5_i_4_n_0\ - ); -\y_mul__1_carry__5_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"59956A956A959595" - ) - port map ( - I0 => \^cy1[22]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(22), - I3 => \out_r_reg[0]\(0), - I4 => cy1(21), - I5 => cy1(20), - O => \cy1[23]\(0) - ); -\y_mul__1_carry__5_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"59956A956A959595" - ) - port map ( - I0 => \^cy2[22]\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(22), - I3 => \out_r_reg[0]\(0), - I4 => cy2(21), - I5 => cy2(20), - O => \cy2[23]\(0) - ); -\y_mul__1_carry_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"F777" - ) - port map ( - I0 => \out_r_reg[0]\(0), - I1 => cy0(0), - I2 => \out_r_reg[0]_0\(1), - I3 => cy0(1), - O => \y_mul__1_carry_i_1_n_0\ - ); -\y_mul__1_carry_i_2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"7888" - ) - port map ( - I0 => \out_r_reg[0]_0\(1), - I1 => cy0(1), - I2 => \out_r_reg[0]\(0), - I3 => cy0(0), - O => \y_mul__1_carry_i_2_n_0\ - ); -\y_mul__1_carry_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => \out_r_reg[0]_0\(0), - I1 => cy0(1), - O => \y_mul__1_carry_i_3_n_0\ - ); -\y_mul__1_carry_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry_i_1_n_0\, - I1 => \out_r_reg[0]_0\(1), - I2 => cy0(2), - I3 => \y_mul__1_carry_i_8_n_0\, - I4 => cy0(3), - I5 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry_i_4_n_0\ - ); -\y_mul__1_carry_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry_0\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy1(1), - I3 => \y_mul__1_carry_i_8__0_n_0\, - I4 => cy1(2), - I5 => \out_r_reg[0]_0\(0), - O => \cy1[2]\(0) - ); -\y_mul__1_carry_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \y_mul__1_carry_1\(0), - I1 => \out_r_reg[0]_0\(1), - I2 => cy2(1), - I3 => \y_mul__1_carry_i_8__1_n_0\, - I4 => cy2(2), - I5 => \out_r_reg[0]_0\(0), - O => \cy2[2]\(0) - ); -\y_mul__1_carry_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8777788878887888" - ) - port map ( - I0 => cy0(0), - I1 => \out_r_reg[0]\(0), - I2 => cy0(1), - I3 => \out_r_reg[0]_0\(1), - I4 => \out_r_reg[0]_0\(0), - I5 => cy0(2), - O => \y_mul__1_carry_i_5_n_0\ - ); -\y_mul__1_carry_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"7888" - ) - port map ( - I0 => \out_r_reg[0]_0\(0), - I1 => cy0(1), - I2 => \out_r_reg[0]_0\(1), - I3 => cy0(0), - O => \y_mul__1_carry_i_6_n_0\ - ); -\y_mul__1_carry_i_7\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cy0(0), - I1 => \out_r_reg[0]_0\(0), - O => \y_mul__1_carry_i_7_n_0\ - ); -\y_mul__1_carry_i_8\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy0(1), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry_i_8_n_0\ - ); -\y_mul__1_carry_i_8__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(0), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry_i_8__0_n_0\ - ); -\y_mul__1_carry_i_8__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(0), - I1 => \out_r_reg[0]\(0), - O => \y_mul__1_carry_i_8__1_n_0\ - ); -\y_mul_inferred__1/i__carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y_mul_inferred__1/i__carry_n_0\, - CO(2) => \y_mul_inferred__1/i__carry_n_1\, - CO(1) => \y_mul_inferred__1/i__carry_n_2\, - CO(0) => \y_mul_inferred__1/i__carry_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_86\, - DI(2) => \y_mul__0_n_87\, - DI(1) => \y_mul__0_n_88\, - DI(0) => '0', - O(3 downto 0) => \y_mul__1__0\(36 downto 33), - S(3) => \i__carry_i_1_n_0\, - S(2) => \i__carry_i_2_n_0\, - S(1) => \i__carry_i_3_n_0\, - S(0) => \y_mul__0_n_89\ - ); -\y_mul_inferred__1/i__carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__0_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__0_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__0_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__0_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_82\, - DI(2) => \y_mul__0_n_83\, - DI(1) => \y_mul__0_n_84\, - DI(0) => \y_mul__0_n_85\, - O(3 downto 0) => \y_mul__1__0\(40 downto 37), - S(3) => \i__carry__0_i_1_n_0\, - S(2) => \i__carry__0_i_2_n_0\, - S(1) => \i__carry__0_i_3_n_0\, - S(0) => \i__carry__0_i_4_n_0\ - ); -\y_mul_inferred__1/i__carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__0_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__1_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__1_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__1_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__1_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_78\, - DI(2) => \y_mul__0_n_79\, - DI(1) => \y_mul__0_n_80\, - DI(0) => \y_mul__0_n_81\, - O(3 downto 0) => \y_mul__1__0\(44 downto 41), - S(3) => \i__carry__1_i_1_n_0\, - S(2) => \i__carry__1_i_2_n_0\, - S(1) => \i__carry__1_i_3_n_0\, - S(0) => \i__carry__1_i_4_n_0\ - ); -\y_mul_inferred__1/i__carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__1_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__2_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__2_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__2_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__2_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_74\, - DI(2) => \y_mul__0_n_75\, - DI(1) => \y_mul__0_n_76\, - DI(0) => \y_mul__0_n_77\, - O(3 downto 0) => \y_mul__1__0\(48 downto 45), - S(3) => \i__carry__2_i_1_n_0\, - S(2) => \i__carry__2_i_2_n_0\, - S(1) => \i__carry__2_i_3_n_0\, - S(0) => \i__carry__2_i_4_n_0\ - ); -\y_mul_inferred__1/i__carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__2_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__3_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__3_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__3_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__3_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_70\, - DI(2) => \y_mul__0_n_71\, - DI(1) => \y_mul__0_n_72\, - DI(0) => \y_mul__0_n_73\, - O(3 downto 0) => \y_mul__1__0\(52 downto 49), - S(3) => \i__carry__3_i_1_n_0\, - S(2) => \i__carry__3_i_2_n_0\, - S(1) => \i__carry__3_i_3_n_0\, - S(0) => \i__carry__3_i_4_n_0\ - ); -\y_mul_inferred__1/i__carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__3_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__4_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__4_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__4_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__4_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_66\, - DI(2) => \y_mul__0_n_67\, - DI(1) => \y_mul__0_n_68\, - DI(0) => \y_mul__0_n_69\, - O(3 downto 0) => \y_mul__1__0\(56 downto 53), - S(3) => \i__carry__4_i_1_n_0\, - S(2) => \i__carry__4_i_2_n_0\, - S(1) => \i__carry__4_i_3_n_0\, - S(0) => \i__carry__4_i_4_n_0\ - ); -\y_mul_inferred__1/i__carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__4_n_0\, - CO(3) => \NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED\(3), - CO(2) => \y_mul_inferred__1/i__carry__5_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__5_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__5_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \y_mul__0_n_63\, - DI(1) => \y_mul__0_n_64\, - DI(0) => \y_mul__0_n_65\, - O(3 downto 0) => \y_mul__1__0\(60 downto 57), - S(3) => \i__carry__5_i_1_n_0\, - S(2) => \i__carry__5_i_2_n_0\, - S(1) => \i__carry__5_i_3_n_0\, - S(0) => \i__carry__5_i_4_n_0\ - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_IIR_filter_0_0_iir_filter_tap_0 is - port ( - P : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \cy1[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \cy1[21]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - O : out STD_LOGIC_VECTOR ( 0 to 0 ); - ch_reg : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \intreg_reg[0][39]_0\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); - \intreg_reg[1][39]_0\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); - \cy1[21]_0\ : out STD_LOGIC; - \intreg_reg[1][38]_0\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); - \y_mul__0_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - B : in STD_LOGIC_VECTOR ( 16 downto 0 ); - cy1 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - \y_mul__0_1\ : in STD_LOGIC_VECTOR ( 16 downto 0 ); - \i__carry_i_3__0_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \i__carry__0_i_3__0_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__0_i_3__0_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__1_i_3__0_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__1_i_3__0_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__2_i_3__0_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__2_i_3__0_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__3_i_3__0_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__3_i_3__0_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__4_i_3__0_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \i__carry__4_i_3__0_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__5_i_3__0_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \i__carry__5_i_3__0_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - DI : in STD_LOGIC_VECTOR ( 1 downto 0 ); - S : in STD_LOGIC_VECTOR ( 0 to 0 ); - \y_mul__1_carry__5_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \y_mul__1_carry__5_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - Q : in STD_LOGIC_VECTOR ( 38 downto 0 ); - \p_4_out_carry__8_i_4__0_0\ : in STD_LOGIC_VECTOR ( 38 downto 0 ); - ch : in STD_LOGIC; - cx1 : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \intreg_reg[1][3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \x_mul1__0_carry__7_0\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); - tap2 : in STD_LOGIC_VECTOR ( 36 downto 0 ); - \p_4_out_carry__8_i_4\ : in STD_LOGIC; - cx0 : in STD_LOGIC_VECTOR ( 0 to 0 ); - \intreg_reg[1][3]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); - clk : in STD_LOGIC; - reset : in STD_LOGIC; - out_m : in STD_LOGIC - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of audio_IIR_filter_0_0_iir_filter_tap_0 : entity is "iir_filter_tap"; -end audio_IIR_filter_0_0_iir_filter_tap_0; - -architecture STRUCTURE of audio_IIR_filter_0_0_iir_filter_tap_0 is - signal \^o\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^p\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^ch_reg\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^cy1[0]\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^cy1[21]\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \i__carry__0_i_1__0_n_0\ : STD_LOGIC; - signal \i__carry__0_i_2__0_n_0\ : STD_LOGIC; - signal \i__carry__0_i_3__0_n_0\ : STD_LOGIC; - signal \i__carry__0_i_4__0_n_0\ : STD_LOGIC; - signal \i__carry__1_i_1__0_n_0\ : STD_LOGIC; - signal \i__carry__1_i_2__0_n_0\ : STD_LOGIC; - signal \i__carry__1_i_3__0_n_0\ : STD_LOGIC; - signal \i__carry__1_i_4__0_n_0\ : STD_LOGIC; - signal \i__carry__2_i_1__0_n_0\ : STD_LOGIC; - signal \i__carry__2_i_2__0_n_0\ : STD_LOGIC; - signal \i__carry__2_i_3__0_n_0\ : STD_LOGIC; - signal \i__carry__2_i_4__0_n_0\ : STD_LOGIC; - signal \i__carry__3_i_1__0_n_0\ : STD_LOGIC; - signal \i__carry__3_i_2__0_n_0\ : STD_LOGIC; - signal \i__carry__3_i_3__0_n_0\ : STD_LOGIC; - signal \i__carry__3_i_4__0_n_0\ : STD_LOGIC; - signal \i__carry__4_i_1__0_n_0\ : STD_LOGIC; - signal \i__carry__4_i_2__0_n_0\ : STD_LOGIC; - signal \i__carry__4_i_3__0_n_0\ : STD_LOGIC; - signal \i__carry__4_i_4__0_n_0\ : STD_LOGIC; - signal \i__carry__5_i_1__0_n_0\ : STD_LOGIC; - signal \i__carry__5_i_2__0_n_0\ : STD_LOGIC; - signal \i__carry__5_i_3__0_n_0\ : STD_LOGIC; - signal \i__carry__5_i_4__0_n_0\ : STD_LOGIC; - signal \i__carry_i_1__0_n_0\ : STD_LOGIC; - signal \i__carry_i_2__0_n_0\ : STD_LOGIC; - signal \i__carry_i_3__0_n_0\ : STD_LOGIC; - signal \^intreg_reg[0][39]_0\ : STD_LOGIC_VECTOR ( 38 downto 0 ); - signal \intreg_reg[0]_2\ : STD_LOGIC_VECTOR ( 38 to 38 ); - signal \^intreg_reg[1][39]_0\ : STD_LOGIC_VECTOR ( 38 downto 0 ); - signal \intreg_reg[1]_3\ : STD_LOGIC_VECTOR ( 38 to 38 ); - signal p_4_out : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \p_4_out_carry__0_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_8__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_n_1\ : STD_LOGIC; - signal \p_4_out_carry__0_n_2\ : STD_LOGIC; - signal \p_4_out_carry__0_n_3\ : STD_LOGIC; - signal \p_4_out_carry__1_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_8__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_n_1\ : STD_LOGIC; - signal \p_4_out_carry__1_n_2\ : STD_LOGIC; - signal \p_4_out_carry__1_n_3\ : STD_LOGIC; - signal \p_4_out_carry__2_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_8__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_n_1\ : STD_LOGIC; - signal \p_4_out_carry__2_n_2\ : STD_LOGIC; - signal \p_4_out_carry__2_n_3\ : STD_LOGIC; - signal \p_4_out_carry__3_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_8__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_n_1\ : STD_LOGIC; - signal \p_4_out_carry__3_n_2\ : STD_LOGIC; - signal \p_4_out_carry__3_n_3\ : STD_LOGIC; - signal \p_4_out_carry__4_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_8__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_n_1\ : STD_LOGIC; - signal \p_4_out_carry__4_n_2\ : STD_LOGIC; - signal \p_4_out_carry__4_n_3\ : STD_LOGIC; - signal \p_4_out_carry__5_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_8__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_n_1\ : STD_LOGIC; - signal \p_4_out_carry__5_n_2\ : STD_LOGIC; - signal \p_4_out_carry__5_n_3\ : STD_LOGIC; - signal \p_4_out_carry__6_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_8__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_n_1\ : STD_LOGIC; - signal \p_4_out_carry__6_n_2\ : STD_LOGIC; - signal \p_4_out_carry__6_n_3\ : STD_LOGIC; - signal \p_4_out_carry__7_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_8__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_n_1\ : STD_LOGIC; - signal \p_4_out_carry__7_n_2\ : STD_LOGIC; - signal \p_4_out_carry__7_n_3\ : STD_LOGIC; - signal \p_4_out_carry__8_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_3__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_4__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_7__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_9__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_n_1\ : STD_LOGIC; - signal \p_4_out_carry__8_n_2\ : STD_LOGIC; - signal \p_4_out_carry__8_n_3\ : STD_LOGIC; - signal \p_4_out_carry_i_1__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry_i_2__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry_i_5__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry_i_6__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry_i_8__0_n_0\ : STD_LOGIC; - signal p_4_out_carry_n_0 : STD_LOGIC; - signal p_4_out_carry_n_1 : STD_LOGIC; - signal p_4_out_carry_n_2 : STD_LOGIC; - signal p_4_out_carry_n_3 : STD_LOGIC; - signal tap1 : STD_LOGIC_VECTOR ( 1 to 1 ); - signal \x_mul1__0_carry__0_i_10__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_11__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_12__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_8__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_9__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_10__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_11__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_12__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_8__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_9__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_10__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_11__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_12__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_8__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_9__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_10__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_11__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_12__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_8__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_9__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_10__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_11__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_12__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_8__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_9__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_10__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_11__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_12__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_8__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_9__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_10__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_11__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_12__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_8__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry_i_10__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_1__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_2__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_3__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_4__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_5__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_6__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_7__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_8__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_9__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry_n_3\ : STD_LOGIC; - signal x_mul2_out : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \x_mul__39\ : STD_LOGIC_VECTOR ( 35 to 35 ); - signal \y_mul__0_n_102\ : STD_LOGIC; - signal \y_mul__0_n_103\ : STD_LOGIC; - signal \y_mul__0_n_104\ : STD_LOGIC; - signal \y_mul__0_n_105\ : STD_LOGIC; - signal \y_mul__0_n_62\ : STD_LOGIC; - signal \y_mul__0_n_63\ : STD_LOGIC; - signal \y_mul__0_n_64\ : STD_LOGIC; - signal \y_mul__0_n_65\ : STD_LOGIC; - signal \y_mul__0_n_66\ : STD_LOGIC; - signal \y_mul__0_n_67\ : STD_LOGIC; - signal \y_mul__0_n_68\ : STD_LOGIC; - signal \y_mul__0_n_69\ : STD_LOGIC; - signal \y_mul__0_n_70\ : STD_LOGIC; - signal \y_mul__0_n_71\ : STD_LOGIC; - signal \y_mul__0_n_72\ : STD_LOGIC; - signal \y_mul__0_n_73\ : STD_LOGIC; - signal \y_mul__0_n_74\ : STD_LOGIC; - signal \y_mul__0_n_75\ : STD_LOGIC; - signal \y_mul__0_n_76\ : STD_LOGIC; - signal \y_mul__0_n_77\ : STD_LOGIC; - signal \y_mul__0_n_78\ : STD_LOGIC; - signal \y_mul__0_n_79\ : STD_LOGIC; - signal \y_mul__0_n_80\ : STD_LOGIC; - signal \y_mul__0_n_81\ : STD_LOGIC; - signal \y_mul__0_n_82\ : STD_LOGIC; - signal \y_mul__0_n_83\ : STD_LOGIC; - signal \y_mul__0_n_84\ : STD_LOGIC; - signal \y_mul__0_n_85\ : STD_LOGIC; - signal \y_mul__0_n_86\ : STD_LOGIC; - signal \y_mul__0_n_87\ : STD_LOGIC; - signal \y_mul__0_n_88\ : STD_LOGIC; - signal \y_mul__0_n_89\ : STD_LOGIC; - signal \y_mul__0_n_90\ : STD_LOGIC; - signal \y_mul__0_n_91\ : STD_LOGIC; - signal \y_mul__0_n_92\ : STD_LOGIC; - signal \y_mul__0_n_93\ : STD_LOGIC; - signal \y_mul__0_n_94\ : STD_LOGIC; - signal \y_mul__0_n_95\ : STD_LOGIC; - signal \y_mul__0_n_96\ : STD_LOGIC; - signal \y_mul__0_n_97\ : STD_LOGIC; - signal \y_mul__0_n_98\ : STD_LOGIC; - signal \y_mul__0_n_99\ : STD_LOGIC; - signal \y_mul__1__0\ : STD_LOGIC_VECTOR ( 60 downto 33 ); - signal \y_mul__1_carry__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__5_i_3__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_7\ : STD_LOGIC; - signal \y_mul__1_carry_i_2__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_3__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_5__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_6__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_7__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_n_1\ : STD_LOGIC; - signal \y_mul__1_carry_n_2\ : STD_LOGIC; - signal \y_mul__1_carry_n_3\ : STD_LOGIC; - signal \y_mul__1_carry_n_4\ : STD_LOGIC; - signal \y_mul__1_carry_n_5\ : STD_LOGIC; - signal \y_mul__1_carry_n_6\ : STD_LOGIC; - signal \y_mul__1_carry_n_7\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_3\ : STD_LOGIC; - signal y_mul_n_100 : STD_LOGIC; - signal y_mul_n_101 : STD_LOGIC; - signal y_mul_n_102 : STD_LOGIC; - signal y_mul_n_103 : STD_LOGIC; - signal y_mul_n_104 : STD_LOGIC; - signal y_mul_n_105 : STD_LOGIC; - signal y_mul_n_106 : STD_LOGIC; - signal y_mul_n_107 : STD_LOGIC; - signal y_mul_n_108 : STD_LOGIC; - signal y_mul_n_109 : STD_LOGIC; - signal y_mul_n_110 : STD_LOGIC; - signal y_mul_n_111 : STD_LOGIC; - signal y_mul_n_112 : STD_LOGIC; - signal y_mul_n_113 : STD_LOGIC; - signal y_mul_n_114 : STD_LOGIC; - signal y_mul_n_115 : STD_LOGIC; - signal y_mul_n_116 : STD_LOGIC; - signal y_mul_n_117 : STD_LOGIC; - signal y_mul_n_118 : STD_LOGIC; - signal y_mul_n_119 : STD_LOGIC; - signal y_mul_n_120 : STD_LOGIC; - signal y_mul_n_121 : STD_LOGIC; - signal y_mul_n_122 : STD_LOGIC; - signal y_mul_n_123 : STD_LOGIC; - signal y_mul_n_124 : STD_LOGIC; - signal y_mul_n_125 : STD_LOGIC; - signal y_mul_n_126 : STD_LOGIC; - signal y_mul_n_127 : STD_LOGIC; - signal y_mul_n_128 : STD_LOGIC; - signal y_mul_n_129 : STD_LOGIC; - signal y_mul_n_130 : STD_LOGIC; - signal y_mul_n_131 : STD_LOGIC; - signal y_mul_n_132 : STD_LOGIC; - signal y_mul_n_133 : STD_LOGIC; - signal y_mul_n_134 : STD_LOGIC; - signal y_mul_n_135 : STD_LOGIC; - signal y_mul_n_136 : STD_LOGIC; - signal y_mul_n_137 : STD_LOGIC; - signal y_mul_n_138 : STD_LOGIC; - signal y_mul_n_139 : STD_LOGIC; - signal y_mul_n_140 : STD_LOGIC; - signal y_mul_n_141 : STD_LOGIC; - signal y_mul_n_142 : STD_LOGIC; - signal y_mul_n_143 : STD_LOGIC; - signal y_mul_n_144 : STD_LOGIC; - signal y_mul_n_145 : STD_LOGIC; - signal y_mul_n_146 : STD_LOGIC; - signal y_mul_n_147 : STD_LOGIC; - signal y_mul_n_148 : STD_LOGIC; - signal y_mul_n_149 : STD_LOGIC; - signal y_mul_n_150 : STD_LOGIC; - signal y_mul_n_151 : STD_LOGIC; - signal y_mul_n_152 : STD_LOGIC; - signal y_mul_n_153 : STD_LOGIC; - signal y_mul_n_58 : STD_LOGIC; - signal y_mul_n_59 : STD_LOGIC; - signal y_mul_n_60 : STD_LOGIC; - signal y_mul_n_61 : STD_LOGIC; - signal y_mul_n_62 : STD_LOGIC; - signal y_mul_n_63 : STD_LOGIC; - signal y_mul_n_64 : STD_LOGIC; - signal y_mul_n_65 : STD_LOGIC; - signal y_mul_n_66 : STD_LOGIC; - signal y_mul_n_67 : STD_LOGIC; - signal y_mul_n_68 : STD_LOGIC; - signal y_mul_n_69 : STD_LOGIC; - signal y_mul_n_70 : STD_LOGIC; - signal y_mul_n_71 : STD_LOGIC; - signal y_mul_n_72 : STD_LOGIC; - signal y_mul_n_73 : STD_LOGIC; - signal y_mul_n_74 : STD_LOGIC; - signal y_mul_n_75 : STD_LOGIC; - signal y_mul_n_76 : STD_LOGIC; - signal y_mul_n_77 : STD_LOGIC; - signal y_mul_n_78 : STD_LOGIC; - signal y_mul_n_79 : STD_LOGIC; - signal y_mul_n_80 : STD_LOGIC; - signal y_mul_n_81 : STD_LOGIC; - signal y_mul_n_82 : STD_LOGIC; - signal y_mul_n_83 : STD_LOGIC; - signal y_mul_n_84 : STD_LOGIC; - signal y_mul_n_85 : STD_LOGIC; - signal y_mul_n_86 : STD_LOGIC; - signal y_mul_n_87 : STD_LOGIC; - signal y_mul_n_88 : STD_LOGIC; - signal y_mul_n_89 : STD_LOGIC; - signal y_mul_n_90 : STD_LOGIC; - signal y_mul_n_91 : STD_LOGIC; - signal y_mul_n_92 : STD_LOGIC; - signal y_mul_n_93 : STD_LOGIC; - signal y_mul_n_94 : STD_LOGIC; - signal y_mul_n_95 : STD_LOGIC; - signal y_mul_n_96 : STD_LOGIC; - signal y_mul_n_97 : STD_LOGIC; - signal y_mul_n_98 : STD_LOGIC; - signal y_mul_n_99 : STD_LOGIC; - signal \NLW_p_4_out_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_x_mul1__0_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal NLW_y_mul_CARRYCASCOUT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_MULTSIGNOUT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_OVERFLOW_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_PATTERNBDETECT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_PATTERNDETECT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_UNDERFLOW_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 ); - signal NLW_y_mul_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 ); - signal NLW_y_mul_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_OVERFLOW_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); - signal \NLW_y_mul__0_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); - signal \NLW_y_mul__0_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_y_mul__0_P_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 44 ); - signal \NLW_y_mul__0_PCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 0 ); - signal \NLW_y_mul__1_carry__5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_y_mul__1_carry__5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - attribute ramstyle : string; - attribute ramstyle of \intreg_reg[0][0]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][10]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][11]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][12]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][13]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][14]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][15]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][16]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][17]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][18]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][19]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][1]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][20]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][21]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][22]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][23]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][24]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][25]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][26]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][27]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][28]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][29]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][2]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][30]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][31]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][32]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][33]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][34]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][35]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][36]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][37]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][38]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][39]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][3]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][4]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][5]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][6]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][7]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][8]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][9]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][0]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][10]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][11]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][12]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][13]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][14]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][15]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][16]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][17]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][18]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][19]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][1]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][20]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][21]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][22]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][23]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][24]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][25]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][26]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][27]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][28]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][29]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][2]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][30]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][31]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][32]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][33]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][34]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][35]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][36]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][37]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][38]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][39]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][3]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][4]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][5]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][6]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][7]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][8]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][9]\ : label is "logic"; - attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of p_4_out_carry : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__0\ : label is 35; - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \p_4_out_carry__0_i_10\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \p_4_out_carry__0_i_11\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \p_4_out_carry__0_i_12\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \p_4_out_carry__0_i_9\ : label is "soft_lutpair49"; - attribute ADDER_THRESHOLD of \p_4_out_carry__1\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__1_i_10\ : label is "soft_lutpair50"; - attribute SOFT_HLUTNM of \p_4_out_carry__1_i_11\ : label is "soft_lutpair50"; - attribute SOFT_HLUTNM of \p_4_out_carry__1_i_12\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \p_4_out_carry__1_i_9\ : label is "soft_lutpair51"; - attribute ADDER_THRESHOLD of \p_4_out_carry__2\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__2_i_10\ : label is "soft_lutpair52"; - attribute SOFT_HLUTNM of \p_4_out_carry__2_i_12\ : label is "soft_lutpair51"; - attribute SOFT_HLUTNM of \p_4_out_carry__2_i_9\ : label is "soft_lutpair52"; - attribute ADDER_THRESHOLD of \p_4_out_carry__3\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__3_i_10\ : label is "soft_lutpair54"; - attribute SOFT_HLUTNM of \p_4_out_carry__3_i_11\ : label is "soft_lutpair53"; - attribute SOFT_HLUTNM of \p_4_out_carry__3_i_12\ : label is "soft_lutpair53"; - attribute SOFT_HLUTNM of \p_4_out_carry__3_i_9\ : label is "soft_lutpair54"; - attribute ADDER_THRESHOLD of \p_4_out_carry__4\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__4_i_10\ : label is "soft_lutpair56"; - attribute SOFT_HLUTNM of \p_4_out_carry__4_i_11\ : label is "soft_lutpair55"; - attribute SOFT_HLUTNM of \p_4_out_carry__4_i_12\ : label is "soft_lutpair55"; - attribute SOFT_HLUTNM of \p_4_out_carry__4_i_9\ : label is "soft_lutpair56"; - attribute ADDER_THRESHOLD of \p_4_out_carry__5\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__5_i_10\ : label is "soft_lutpair58"; - attribute SOFT_HLUTNM of \p_4_out_carry__5_i_11\ : label is "soft_lutpair57"; - attribute SOFT_HLUTNM of \p_4_out_carry__5_i_12\ : label is "soft_lutpair57"; - attribute SOFT_HLUTNM of \p_4_out_carry__5_i_9\ : label is "soft_lutpair58"; - attribute ADDER_THRESHOLD of \p_4_out_carry__6\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__6_i_10\ : label is "soft_lutpair60"; - attribute SOFT_HLUTNM of \p_4_out_carry__6_i_11\ : label is "soft_lutpair59"; - attribute SOFT_HLUTNM of \p_4_out_carry__6_i_12\ : label is "soft_lutpair59"; - attribute SOFT_HLUTNM of \p_4_out_carry__6_i_9\ : label is "soft_lutpair60"; - attribute ADDER_THRESHOLD of \p_4_out_carry__7\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__7_i_10\ : label is "soft_lutpair62"; - attribute SOFT_HLUTNM of \p_4_out_carry__7_i_11\ : label is "soft_lutpair61"; - attribute SOFT_HLUTNM of \p_4_out_carry__7_i_12\ : label is "soft_lutpair61"; - attribute SOFT_HLUTNM of \p_4_out_carry__7_i_9\ : label is "soft_lutpair62"; - attribute ADDER_THRESHOLD of \p_4_out_carry__8\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__8_i_10\ : label is "soft_lutpair63"; - attribute SOFT_HLUTNM of \p_4_out_carry__8_i_11\ : label is "soft_lutpair63"; - attribute SOFT_HLUTNM of p_4_out_carry_i_10 : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of p_4_out_carry_i_11 : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of p_4_out_carry_i_9 : label is "soft_lutpair47"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry\ : label is 35; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__0\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_10__0\ : label is "soft_lutpair76"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_11__0\ : label is "soft_lutpair76"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_12__0\ : label is "soft_lutpair77"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_9__0\ : label is "soft_lutpair75"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__1\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_10__0\ : label is "soft_lutpair74"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_11__0\ : label is "soft_lutpair74"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_12__0\ : label is "soft_lutpair75"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_9__0\ : label is "soft_lutpair73"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__2\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_10__0\ : label is "soft_lutpair72"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_11__0\ : label is "soft_lutpair72"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_12__0\ : label is "soft_lutpair73"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_9__0\ : label is "soft_lutpair71"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__3\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_10__0\ : label is "soft_lutpair70"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_11__0\ : label is "soft_lutpair70"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_12__0\ : label is "soft_lutpair71"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_9__0\ : label is "soft_lutpair69"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__4\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_10__0\ : label is "soft_lutpair68"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_11__0\ : label is "soft_lutpair68"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_12__0\ : label is "soft_lutpair69"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_9__0\ : label is "soft_lutpair67"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__5\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_10__0\ : label is "soft_lutpair66"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_11__0\ : label is "soft_lutpair66"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_12__0\ : label is "soft_lutpair67"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_9__0\ : label is "soft_lutpair65"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__6\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_10__0\ : label is "soft_lutpair64"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_11__0\ : label is "soft_lutpair64"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_12__0\ : label is "soft_lutpair65"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__7\ : label is 35; - attribute HLUTNM : string; - attribute HLUTNM of \x_mul1__0_carry__7_i_1__0\ : label is "lutpair1"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__8\ : label is 35; - attribute HLUTNM of \x_mul1__0_carry__8_i_3__0\ : label is "lutpair1"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_10__0\ : label is "soft_lutpair78"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_8__0\ : label is "soft_lutpair77"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_9__0\ : label is "soft_lutpair78"; - attribute METHODOLOGY_DRC_VIOS : string; - attribute METHODOLOGY_DRC_VIOS of y_mul : label is "{SYNTH-10 {cell *THIS*} {string 18x24 3}}"; - attribute METHODOLOGY_DRC_VIOS of \y_mul__0\ : label is "{SYNTH-13 {cell *THIS*}}"; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__4\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__5\ : label is 35; -begin - O(0) <= \^o\(0); - P(1 downto 0) <= \^p\(1 downto 0); - ch_reg(1 downto 0) <= \^ch_reg\(1 downto 0); - \cy1[0]\(0) <= \^cy1[0]\(0); - \cy1[21]\(0) <= \^cy1[21]\(0); - \intreg_reg[0][39]_0\(38 downto 0) <= \^intreg_reg[0][39]_0\(38 downto 0); - \intreg_reg[1][39]_0\(38 downto 0) <= \^intreg_reg[1][39]_0\(38 downto 0); -\i__carry__0_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_82\, - I1 => \y_mul__1_carry__0_n_5\, - O => \i__carry__0_i_1__0_n_0\ - ); -\i__carry__0_i_2__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_83\, - I1 => \y_mul__1_carry__0_n_6\, - O => \i__carry__0_i_2__0_n_0\ - ); -\i__carry__0_i_3__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_84\, - I1 => \y_mul__1_carry__0_n_7\, - O => \i__carry__0_i_3__0_n_0\ - ); -\i__carry__0_i_4__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_85\, - I1 => \y_mul__1_carry_n_4\, - O => \i__carry__0_i_4__0_n_0\ - ); -\i__carry__1_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_78\, - I1 => \y_mul__1_carry__1_n_5\, - O => \i__carry__1_i_1__0_n_0\ - ); -\i__carry__1_i_2__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_79\, - I1 => \y_mul__1_carry__1_n_6\, - O => \i__carry__1_i_2__0_n_0\ - ); -\i__carry__1_i_3__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_80\, - I1 => \y_mul__1_carry__1_n_7\, - O => \i__carry__1_i_3__0_n_0\ - ); -\i__carry__1_i_4__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_81\, - I1 => \y_mul__1_carry__0_n_4\, - O => \i__carry__1_i_4__0_n_0\ - ); -\i__carry__2_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_74\, - I1 => \y_mul__1_carry__2_n_5\, - O => \i__carry__2_i_1__0_n_0\ - ); -\i__carry__2_i_2__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_75\, - I1 => \y_mul__1_carry__2_n_6\, - O => \i__carry__2_i_2__0_n_0\ - ); -\i__carry__2_i_3__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_76\, - I1 => \y_mul__1_carry__2_n_7\, - O => \i__carry__2_i_3__0_n_0\ - ); -\i__carry__2_i_4__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_77\, - I1 => \y_mul__1_carry__1_n_4\, - O => \i__carry__2_i_4__0_n_0\ - ); -\i__carry__3_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_70\, - I1 => \y_mul__1_carry__3_n_5\, - O => \i__carry__3_i_1__0_n_0\ - ); -\i__carry__3_i_2__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_71\, - I1 => \y_mul__1_carry__3_n_6\, - O => \i__carry__3_i_2__0_n_0\ - ); -\i__carry__3_i_3__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_72\, - I1 => \y_mul__1_carry__3_n_7\, - O => \i__carry__3_i_3__0_n_0\ - ); -\i__carry__3_i_4__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_73\, - I1 => \y_mul__1_carry__2_n_4\, - O => \i__carry__3_i_4__0_n_0\ - ); -\i__carry__4_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_66\, - I1 => \y_mul__1_carry__4_n_5\, - O => \i__carry__4_i_1__0_n_0\ - ); -\i__carry__4_i_2__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_67\, - I1 => \y_mul__1_carry__4_n_6\, - O => \i__carry__4_i_2__0_n_0\ - ); -\i__carry__4_i_3__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_68\, - I1 => \y_mul__1_carry__4_n_7\, - O => \i__carry__4_i_3__0_n_0\ - ); -\i__carry__4_i_4__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_69\, - I1 => \y_mul__1_carry__3_n_4\, - O => \i__carry__4_i_4__0_n_0\ - ); -\i__carry__5_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y_mul__0_n_62\, - I1 => \y_mul__1_carry__5_n_1\, - O => \i__carry__5_i_1__0_n_0\ - ); -\i__carry__5_i_2__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_63\, - I1 => \y_mul__1_carry__5_n_6\, - O => \i__carry__5_i_2__0_n_0\ - ); -\i__carry__5_i_3__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_64\, - I1 => \y_mul__1_carry__5_n_7\, - O => \i__carry__5_i_3__0_n_0\ - ); -\i__carry__5_i_4__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_65\, - I1 => \y_mul__1_carry__4_n_4\, - O => \i__carry__5_i_4__0_n_0\ - ); -\i__carry_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_86\, - I1 => \y_mul__1_carry_n_5\, - O => \i__carry_i_1__0_n_0\ - ); -\i__carry_i_2__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_87\, - I1 => \y_mul__1_carry_n_6\, - O => \i__carry_i_2__0_n_0\ - ); -\i__carry_i_3__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_88\, - I1 => \y_mul__1_carry_n_7\, - O => \i__carry_i_3__0_n_0\ - ); -\intreg_reg[0][0]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(0), - Q => \^intreg_reg[0][39]_0\(0) - ); -\intreg_reg[0][10]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(10), - Q => \^intreg_reg[0][39]_0\(10) - ); -\intreg_reg[0][11]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(11), - Q => \^intreg_reg[0][39]_0\(11) - ); -\intreg_reg[0][12]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(12), - Q => \^intreg_reg[0][39]_0\(12) - ); -\intreg_reg[0][13]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(13), - Q => \^intreg_reg[0][39]_0\(13) - ); -\intreg_reg[0][14]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(14), - Q => \^intreg_reg[0][39]_0\(14) - ); -\intreg_reg[0][15]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(15), - Q => \^intreg_reg[0][39]_0\(15) - ); -\intreg_reg[0][16]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(16), - Q => \^intreg_reg[0][39]_0\(16) - ); -\intreg_reg[0][17]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(17), - Q => \^intreg_reg[0][39]_0\(17) - ); -\intreg_reg[0][18]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(18), - Q => \^intreg_reg[0][39]_0\(18) - ); -\intreg_reg[0][19]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(19), - Q => \^intreg_reg[0][39]_0\(19) - ); -\intreg_reg[0][1]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(1), - Q => \^intreg_reg[0][39]_0\(1) - ); -\intreg_reg[0][20]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(20), - Q => \^intreg_reg[0][39]_0\(20) - ); -\intreg_reg[0][21]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(21), - Q => \^intreg_reg[0][39]_0\(21) - ); -\intreg_reg[0][22]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(22), - Q => \^intreg_reg[0][39]_0\(22) - ); -\intreg_reg[0][23]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(23), - Q => \^intreg_reg[0][39]_0\(23) - ); -\intreg_reg[0][24]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(24), - Q => \^intreg_reg[0][39]_0\(24) - ); -\intreg_reg[0][25]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(25), - Q => \^intreg_reg[0][39]_0\(25) - ); -\intreg_reg[0][26]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(26), - Q => \^intreg_reg[0][39]_0\(26) - ); -\intreg_reg[0][27]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(27), - Q => \^intreg_reg[0][39]_0\(27) - ); -\intreg_reg[0][28]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(28), - Q => \^intreg_reg[0][39]_0\(28) - ); -\intreg_reg[0][29]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(29), - Q => \^intreg_reg[0][39]_0\(29) - ); -\intreg_reg[0][2]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(2), - Q => \^intreg_reg[0][39]_0\(2) - ); -\intreg_reg[0][30]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(30), - Q => \^intreg_reg[0][39]_0\(30) - ); -\intreg_reg[0][31]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(31), - Q => \^intreg_reg[0][39]_0\(31) - ); -\intreg_reg[0][32]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(32), - Q => \^intreg_reg[0][39]_0\(32) - ); -\intreg_reg[0][33]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(33), - Q => \^intreg_reg[0][39]_0\(33) - ); -\intreg_reg[0][34]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(34), - Q => \^intreg_reg[0][39]_0\(34) - ); -\intreg_reg[0][35]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(35), - Q => \^intreg_reg[0][39]_0\(35) - ); -\intreg_reg[0][36]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(36), - Q => \^intreg_reg[0][39]_0\(36) - ); -\intreg_reg[0][37]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(37), - Q => \^intreg_reg[0][39]_0\(37) - ); -\intreg_reg[0][38]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(38), - Q => \intreg_reg[0]_2\(38) - ); -\intreg_reg[0][39]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(39), - Q => \^intreg_reg[0][39]_0\(38) - ); -\intreg_reg[0][3]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(3), - Q => \^intreg_reg[0][39]_0\(3) - ); -\intreg_reg[0][4]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(4), - Q => \^intreg_reg[0][39]_0\(4) - ); -\intreg_reg[0][5]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(5), - Q => \^intreg_reg[0][39]_0\(5) - ); -\intreg_reg[0][6]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(6), - Q => \^intreg_reg[0][39]_0\(6) - ); -\intreg_reg[0][7]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(7), - Q => \^intreg_reg[0][39]_0\(7) - ); -\intreg_reg[0][8]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(8), - Q => \^intreg_reg[0][39]_0\(8) - ); -\intreg_reg[0][9]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => E(0), - CLR => reset, - D => p_4_out(9), - Q => \^intreg_reg[0][39]_0\(9) - ); -\intreg_reg[1][0]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(0), - Q => \^intreg_reg[1][39]_0\(0) - ); -\intreg_reg[1][10]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(10), - Q => \^intreg_reg[1][39]_0\(10) - ); -\intreg_reg[1][11]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(11), - Q => \^intreg_reg[1][39]_0\(11) - ); -\intreg_reg[1][12]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(12), - Q => \^intreg_reg[1][39]_0\(12) - ); -\intreg_reg[1][13]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(13), - Q => \^intreg_reg[1][39]_0\(13) - ); -\intreg_reg[1][14]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(14), - Q => \^intreg_reg[1][39]_0\(14) - ); -\intreg_reg[1][15]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(15), - Q => \^intreg_reg[1][39]_0\(15) - ); -\intreg_reg[1][16]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(16), - Q => \^intreg_reg[1][39]_0\(16) - ); -\intreg_reg[1][17]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(17), - Q => \^intreg_reg[1][39]_0\(17) - ); -\intreg_reg[1][18]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(18), - Q => \^intreg_reg[1][39]_0\(18) - ); -\intreg_reg[1][19]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(19), - Q => \^intreg_reg[1][39]_0\(19) - ); -\intreg_reg[1][1]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(1), - Q => \^intreg_reg[1][39]_0\(1) - ); -\intreg_reg[1][20]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(20), - Q => \^intreg_reg[1][39]_0\(20) - ); -\intreg_reg[1][21]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(21), - Q => \^intreg_reg[1][39]_0\(21) - ); -\intreg_reg[1][22]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(22), - Q => \^intreg_reg[1][39]_0\(22) - ); -\intreg_reg[1][23]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(23), - Q => \^intreg_reg[1][39]_0\(23) - ); -\intreg_reg[1][24]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(24), - Q => \^intreg_reg[1][39]_0\(24) - ); -\intreg_reg[1][25]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(25), - Q => \^intreg_reg[1][39]_0\(25) - ); -\intreg_reg[1][26]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(26), - Q => \^intreg_reg[1][39]_0\(26) - ); -\intreg_reg[1][27]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(27), - Q => \^intreg_reg[1][39]_0\(27) - ); -\intreg_reg[1][28]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(28), - Q => \^intreg_reg[1][39]_0\(28) - ); -\intreg_reg[1][29]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(29), - Q => \^intreg_reg[1][39]_0\(29) - ); -\intreg_reg[1][2]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(2), - Q => \^intreg_reg[1][39]_0\(2) - ); -\intreg_reg[1][30]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(30), - Q => \^intreg_reg[1][39]_0\(30) - ); -\intreg_reg[1][31]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(31), - Q => \^intreg_reg[1][39]_0\(31) - ); -\intreg_reg[1][32]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(32), - Q => \^intreg_reg[1][39]_0\(32) - ); -\intreg_reg[1][33]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(33), - Q => \^intreg_reg[1][39]_0\(33) - ); -\intreg_reg[1][34]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(34), - Q => \^intreg_reg[1][39]_0\(34) - ); -\intreg_reg[1][35]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(35), - Q => \^intreg_reg[1][39]_0\(35) - ); -\intreg_reg[1][36]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(36), - Q => \^intreg_reg[1][39]_0\(36) - ); -\intreg_reg[1][37]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(37), - Q => \^intreg_reg[1][39]_0\(37) - ); -\intreg_reg[1][38]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(38), - Q => \intreg_reg[1]_3\(38) - ); -\intreg_reg[1][39]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(39), - Q => \^intreg_reg[1][39]_0\(38) - ); -\intreg_reg[1][3]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(3), - Q => \^intreg_reg[1][39]_0\(3) - ); -\intreg_reg[1][4]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(4), - Q => \^intreg_reg[1][39]_0\(4) - ); -\intreg_reg[1][5]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(5), - Q => \^intreg_reg[1][39]_0\(5) - ); -\intreg_reg[1][6]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(6), - Q => \^intreg_reg[1][39]_0\(6) - ); -\intreg_reg[1][7]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(7), - Q => \^intreg_reg[1][39]_0\(7) - ); -\intreg_reg[1][8]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(8), - Q => \^intreg_reg[1][39]_0\(8) - ); -\intreg_reg[1][9]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => out_m, - CLR => reset, - D => p_4_out(9), - Q => \^intreg_reg[1][39]_0\(9) - ); -p_4_out_carry: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => p_4_out_carry_n_0, - CO(2) => p_4_out_carry_n_1, - CO(1) => p_4_out_carry_n_2, - CO(0) => p_4_out_carry_n_3, - CYINIT => '0', - DI(3) => \p_4_out_carry_i_1__0_n_0\, - DI(2) => \p_4_out_carry_i_2__0_n_0\, - DI(1 downto 0) => DI(1 downto 0), - O(3 downto 0) => p_4_out(3 downto 0), - S(3) => \p_4_out_carry_i_5__0_n_0\, - S(2) => \p_4_out_carry_i_6__0_n_0\, - S(1) => S(0), - S(0) => \p_4_out_carry_i_8__0_n_0\ - ); -\p_4_out_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => p_4_out_carry_n_0, - CO(3) => \p_4_out_carry__0_n_0\, - CO(2) => \p_4_out_carry__0_n_1\, - CO(1) => \p_4_out_carry__0_n_2\, - CO(0) => \p_4_out_carry__0_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__0_i_1__0_n_0\, - DI(2) => \p_4_out_carry__0_i_2__0_n_0\, - DI(1) => \p_4_out_carry__0_i_3__0_n_0\, - DI(0) => \p_4_out_carry__0_i_4__0_n_0\, - O(3 downto 0) => p_4_out(7 downto 4), - S(3) => \p_4_out_carry__0_i_5__0_n_0\, - S(2) => \p_4_out_carry__0_i_6__0_n_0\, - S(1) => \p_4_out_carry__0_i_7__0_n_0\, - S(0) => \p_4_out_carry__0_i_8__0_n_0\ - ); -\p_4_out_carry__0_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(6), - I1 => \^intreg_reg[0][39]_0\(6), - I2 => ch, - O => \intreg_reg[1][38]_0\(4) - ); -\p_4_out_carry__0_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(5), - I1 => \^intreg_reg[0][39]_0\(5), - I2 => ch, - O => \intreg_reg[1][38]_0\(3) - ); -\p_4_out_carry__0_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(4), - I1 => \^intreg_reg[0][39]_0\(4), - I2 => ch, - O => \intreg_reg[1][38]_0\(2) - ); -\p_4_out_carry__0_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_95\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(6), - I3 => Q(6), - I4 => x_mul2_out(6), - I5 => cx1(3), - O => \p_4_out_carry__0_i_1__0_n_0\ - ); -\p_4_out_carry__0_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_96\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(5), - I3 => Q(5), - I4 => x_mul2_out(5), - I5 => cx1(3), - O => \p_4_out_carry__0_i_2__0_n_0\ - ); -\p_4_out_carry__0_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_97\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(4), - I3 => Q(4), - I4 => x_mul2_out(4), - I5 => cx1(3), - O => \p_4_out_carry__0_i_3__0_n_0\ - ); -\p_4_out_carry__0_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_98\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(3), - I3 => Q(3), - I4 => x_mul2_out(3), - I5 => cx1(3), - O => \p_4_out_carry__0_i_4__0_n_0\ - ); -\p_4_out_carry__0_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__0_i_1__0_n_0\, - I1 => tap2(5), - I2 => \y_mul__0_n_94\, - I3 => cx1(3), - I4 => x_mul2_out(7), - O => \p_4_out_carry__0_i_5__0_n_0\ - ); -\p_4_out_carry__0_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__0_i_2__0_n_0\, - I1 => tap2(4), - I2 => \y_mul__0_n_95\, - I3 => cx1(3), - I4 => x_mul2_out(6), - O => \p_4_out_carry__0_i_6__0_n_0\ - ); -\p_4_out_carry__0_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__0_i_3__0_n_0\, - I1 => tap2(3), - I2 => \y_mul__0_n_96\, - I3 => cx1(3), - I4 => x_mul2_out(5), - O => \p_4_out_carry__0_i_7__0_n_0\ - ); -\p_4_out_carry__0_i_8__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__0_i_4__0_n_0\, - I1 => tap2(2), - I2 => \y_mul__0_n_97\, - I3 => cx1(3), - I4 => x_mul2_out(4), - O => \p_4_out_carry__0_i_8__0_n_0\ - ); -\p_4_out_carry__0_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(7), - I1 => \^intreg_reg[0][39]_0\(7), - I2 => ch, - O => \intreg_reg[1][38]_0\(5) - ); -\p_4_out_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__0_n_0\, - CO(3) => \p_4_out_carry__1_n_0\, - CO(2) => \p_4_out_carry__1_n_1\, - CO(1) => \p_4_out_carry__1_n_2\, - CO(0) => \p_4_out_carry__1_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__1_i_1__0_n_0\, - DI(2) => \p_4_out_carry__1_i_2__0_n_0\, - DI(1) => \p_4_out_carry__1_i_3__0_n_0\, - DI(0) => \p_4_out_carry__1_i_4__0_n_0\, - O(3 downto 0) => p_4_out(11 downto 8), - S(3) => \p_4_out_carry__1_i_5__0_n_0\, - S(2) => \p_4_out_carry__1_i_6__0_n_0\, - S(1) => \p_4_out_carry__1_i_7__0_n_0\, - S(0) => \p_4_out_carry__1_i_8__0_n_0\ - ); -\p_4_out_carry__1_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(10), - I1 => \^intreg_reg[0][39]_0\(10), - I2 => ch, - O => \intreg_reg[1][38]_0\(8) - ); -\p_4_out_carry__1_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(9), - I1 => \^intreg_reg[0][39]_0\(9), - I2 => ch, - O => \intreg_reg[1][38]_0\(7) - ); -\p_4_out_carry__1_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(8), - I1 => \^intreg_reg[0][39]_0\(8), - I2 => ch, - O => \intreg_reg[1][38]_0\(6) - ); -\p_4_out_carry__1_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_91\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(10), - I3 => Q(10), - I4 => x_mul2_out(10), - I5 => cx1(3), - O => \p_4_out_carry__1_i_1__0_n_0\ - ); -\p_4_out_carry__1_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_92\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(9), - I3 => Q(9), - I4 => x_mul2_out(9), - I5 => cx1(3), - O => \p_4_out_carry__1_i_2__0_n_0\ - ); -\p_4_out_carry__1_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_93\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(8), - I3 => Q(8), - I4 => x_mul2_out(8), - I5 => cx1(3), - O => \p_4_out_carry__1_i_3__0_n_0\ - ); -\p_4_out_carry__1_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_94\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(7), - I3 => Q(7), - I4 => x_mul2_out(7), - I5 => cx1(3), - O => \p_4_out_carry__1_i_4__0_n_0\ - ); -\p_4_out_carry__1_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__1_i_1__0_n_0\, - I1 => tap2(9), - I2 => \y_mul__0_n_90\, - I3 => cx1(3), - I4 => x_mul2_out(11), - O => \p_4_out_carry__1_i_5__0_n_0\ - ); -\p_4_out_carry__1_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__1_i_2__0_n_0\, - I1 => tap2(8), - I2 => \y_mul__0_n_91\, - I3 => cx1(3), - I4 => x_mul2_out(10), - O => \p_4_out_carry__1_i_6__0_n_0\ - ); -\p_4_out_carry__1_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__1_i_3__0_n_0\, - I1 => tap2(7), - I2 => \y_mul__0_n_92\, - I3 => cx1(3), - I4 => x_mul2_out(9), - O => \p_4_out_carry__1_i_7__0_n_0\ - ); -\p_4_out_carry__1_i_8__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__1_i_4__0_n_0\, - I1 => tap2(6), - I2 => \y_mul__0_n_93\, - I3 => cx1(3), - I4 => x_mul2_out(8), - O => \p_4_out_carry__1_i_8__0_n_0\ - ); -\p_4_out_carry__1_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(11), - I1 => \^intreg_reg[0][39]_0\(11), - I2 => ch, - O => \intreg_reg[1][38]_0\(9) - ); -\p_4_out_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__1_n_0\, - CO(3) => \p_4_out_carry__2_n_0\, - CO(2) => \p_4_out_carry__2_n_1\, - CO(1) => \p_4_out_carry__2_n_2\, - CO(0) => \p_4_out_carry__2_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__2_i_1__0_n_0\, - DI(2) => \p_4_out_carry__2_i_2__0_n_0\, - DI(1) => \p_4_out_carry__2_i_3__0_n_0\, - DI(0) => \p_4_out_carry__2_i_4__0_n_0\, - O(3 downto 0) => p_4_out(15 downto 12), - S(3) => \p_4_out_carry__2_i_5__0_n_0\, - S(2) => \p_4_out_carry__2_i_6__0_n_0\, - S(1) => \p_4_out_carry__2_i_7__0_n_0\, - S(0) => \p_4_out_carry__2_i_8__0_n_0\ - ); -\p_4_out_carry__2_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(14), - I1 => \^intreg_reg[0][39]_0\(14), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(12) - ); -\p_4_out_carry__2_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(13), - I1 => \^intreg_reg[0][39]_0\(13), - I2 => ch, - O => \intreg_reg[1][38]_0\(11) - ); -\p_4_out_carry__2_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(12), - I1 => \^intreg_reg[0][39]_0\(12), - I2 => ch, - O => \intreg_reg[1][38]_0\(10) - ); -\p_4_out_carry__2_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(35), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(14), - I3 => Q(14), - I4 => x_mul2_out(14), - I5 => cx1(3), - O => \p_4_out_carry__2_i_1__0_n_0\ - ); -\p_4_out_carry__2_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(34), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(13), - I3 => Q(13), - I4 => x_mul2_out(13), - I5 => cx1(3), - O => \p_4_out_carry__2_i_2__0_n_0\ - ); -\p_4_out_carry__2_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(33), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(12), - I3 => Q(12), - I4 => x_mul2_out(12), - I5 => cx1(3), - O => \p_4_out_carry__2_i_3__0_n_0\ - ); -\p_4_out_carry__2_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_90\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(11), - I3 => Q(11), - I4 => x_mul2_out(11), - I5 => cx1(3), - O => \p_4_out_carry__2_i_4__0_n_0\ - ); -\p_4_out_carry__2_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__2_i_1__0_n_0\, - I1 => tap2(13), - I2 => \y_mul__1__0\(36), - I3 => cx1(3), - I4 => x_mul2_out(15), - O => \p_4_out_carry__2_i_5__0_n_0\ - ); -\p_4_out_carry__2_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__2_i_2__0_n_0\, - I1 => tap2(12), - I2 => \y_mul__1__0\(35), - I3 => cx1(3), - I4 => x_mul2_out(14), - O => \p_4_out_carry__2_i_6__0_n_0\ - ); -\p_4_out_carry__2_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__2_i_3__0_n_0\, - I1 => tap2(11), - I2 => \y_mul__1__0\(34), - I3 => cx1(3), - I4 => x_mul2_out(13), - O => \p_4_out_carry__2_i_7__0_n_0\ - ); -\p_4_out_carry__2_i_8__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__2_i_4__0_n_0\, - I1 => tap2(10), - I2 => \y_mul__1__0\(33), - I3 => cx1(3), - I4 => x_mul2_out(12), - O => \p_4_out_carry__2_i_8__0_n_0\ - ); -\p_4_out_carry__2_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(15), - I1 => \^intreg_reg[0][39]_0\(15), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(13) - ); -\p_4_out_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__2_n_0\, - CO(3) => \p_4_out_carry__3_n_0\, - CO(2) => \p_4_out_carry__3_n_1\, - CO(1) => \p_4_out_carry__3_n_2\, - CO(0) => \p_4_out_carry__3_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__3_i_1__0_n_0\, - DI(2) => \p_4_out_carry__3_i_2__0_n_0\, - DI(1) => \p_4_out_carry__3_i_3__0_n_0\, - DI(0) => \p_4_out_carry__3_i_4__0_n_0\, - O(3 downto 0) => p_4_out(19 downto 16), - S(3) => \p_4_out_carry__3_i_5__0_n_0\, - S(2) => \p_4_out_carry__3_i_6__0_n_0\, - S(1) => \p_4_out_carry__3_i_7__0_n_0\, - S(0) => \p_4_out_carry__3_i_8__0_n_0\ - ); -\p_4_out_carry__3_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(18), - I1 => \^intreg_reg[0][39]_0\(18), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(16) - ); -\p_4_out_carry__3_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(17), - I1 => \^intreg_reg[0][39]_0\(17), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(15) - ); -\p_4_out_carry__3_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(16), - I1 => \^intreg_reg[0][39]_0\(16), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(14) - ); -\p_4_out_carry__3_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(39), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(18), - I3 => Q(18), - I4 => x_mul2_out(18), - I5 => cx1(3), - O => \p_4_out_carry__3_i_1__0_n_0\ - ); -\p_4_out_carry__3_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(38), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(17), - I3 => Q(17), - I4 => x_mul2_out(17), - I5 => cx1(3), - O => \p_4_out_carry__3_i_2__0_n_0\ - ); -\p_4_out_carry__3_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(37), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(16), - I3 => Q(16), - I4 => x_mul2_out(16), - I5 => cx1(3), - O => \p_4_out_carry__3_i_3__0_n_0\ - ); -\p_4_out_carry__3_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(36), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(15), - I3 => Q(15), - I4 => x_mul2_out(15), - I5 => cx1(3), - O => \p_4_out_carry__3_i_4__0_n_0\ - ); -\p_4_out_carry__3_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__3_i_1__0_n_0\, - I1 => tap2(17), - I2 => \y_mul__1__0\(40), - I3 => cx1(3), - I4 => x_mul2_out(19), - O => \p_4_out_carry__3_i_5__0_n_0\ - ); -\p_4_out_carry__3_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__3_i_2__0_n_0\, - I1 => tap2(16), - I2 => \y_mul__1__0\(39), - I3 => cx1(3), - I4 => x_mul2_out(18), - O => \p_4_out_carry__3_i_6__0_n_0\ - ); -\p_4_out_carry__3_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__3_i_3__0_n_0\, - I1 => tap2(15), - I2 => \y_mul__1__0\(38), - I3 => cx1(3), - I4 => x_mul2_out(17), - O => \p_4_out_carry__3_i_7__0_n_0\ - ); -\p_4_out_carry__3_i_8__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__3_i_4__0_n_0\, - I1 => tap2(14), - I2 => \y_mul__1__0\(37), - I3 => cx1(3), - I4 => x_mul2_out(16), - O => \p_4_out_carry__3_i_8__0_n_0\ - ); -\p_4_out_carry__3_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(19), - I1 => \^intreg_reg[0][39]_0\(19), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(17) - ); -\p_4_out_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__3_n_0\, - CO(3) => \p_4_out_carry__4_n_0\, - CO(2) => \p_4_out_carry__4_n_1\, - CO(1) => \p_4_out_carry__4_n_2\, - CO(0) => \p_4_out_carry__4_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__4_i_1__0_n_0\, - DI(2) => \p_4_out_carry__4_i_2__0_n_0\, - DI(1) => \p_4_out_carry__4_i_3__0_n_0\, - DI(0) => \p_4_out_carry__4_i_4__0_n_0\, - O(3 downto 0) => p_4_out(23 downto 20), - S(3) => \p_4_out_carry__4_i_5__0_n_0\, - S(2) => \p_4_out_carry__4_i_6__0_n_0\, - S(1) => \p_4_out_carry__4_i_7__0_n_0\, - S(0) => \p_4_out_carry__4_i_8__0_n_0\ - ); -\p_4_out_carry__4_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(22), - I1 => \^intreg_reg[0][39]_0\(22), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(20) - ); -\p_4_out_carry__4_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(21), - I1 => \^intreg_reg[0][39]_0\(21), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(19) - ); -\p_4_out_carry__4_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(20), - I1 => \^intreg_reg[0][39]_0\(20), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(18) - ); -\p_4_out_carry__4_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(43), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(22), - I3 => Q(22), - I4 => x_mul2_out(22), - I5 => cx1(3), - O => \p_4_out_carry__4_i_1__0_n_0\ - ); -\p_4_out_carry__4_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(42), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(21), - I3 => Q(21), - I4 => x_mul2_out(21), - I5 => cx1(3), - O => \p_4_out_carry__4_i_2__0_n_0\ - ); -\p_4_out_carry__4_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(41), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(20), - I3 => Q(20), - I4 => x_mul2_out(20), - I5 => cx1(3), - O => \p_4_out_carry__4_i_3__0_n_0\ - ); -\p_4_out_carry__4_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(40), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(19), - I3 => Q(19), - I4 => x_mul2_out(19), - I5 => cx1(3), - O => \p_4_out_carry__4_i_4__0_n_0\ - ); -\p_4_out_carry__4_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__4_i_1__0_n_0\, - I1 => tap2(21), - I2 => \y_mul__1__0\(44), - I3 => cx1(3), - I4 => x_mul2_out(23), - O => \p_4_out_carry__4_i_5__0_n_0\ - ); -\p_4_out_carry__4_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__4_i_2__0_n_0\, - I1 => tap2(20), - I2 => \y_mul__1__0\(43), - I3 => cx1(3), - I4 => x_mul2_out(22), - O => \p_4_out_carry__4_i_6__0_n_0\ - ); -\p_4_out_carry__4_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__4_i_3__0_n_0\, - I1 => tap2(19), - I2 => \y_mul__1__0\(42), - I3 => cx1(3), - I4 => x_mul2_out(21), - O => \p_4_out_carry__4_i_7__0_n_0\ - ); -\p_4_out_carry__4_i_8__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__4_i_4__0_n_0\, - I1 => tap2(18), - I2 => \y_mul__1__0\(41), - I3 => cx1(3), - I4 => x_mul2_out(20), - O => \p_4_out_carry__4_i_8__0_n_0\ - ); -\p_4_out_carry__4_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(23), - I1 => \^intreg_reg[0][39]_0\(23), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(21) - ); -\p_4_out_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__4_n_0\, - CO(3) => \p_4_out_carry__5_n_0\, - CO(2) => \p_4_out_carry__5_n_1\, - CO(1) => \p_4_out_carry__5_n_2\, - CO(0) => \p_4_out_carry__5_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__5_i_1__0_n_0\, - DI(2) => \p_4_out_carry__5_i_2__0_n_0\, - DI(1) => \p_4_out_carry__5_i_3__0_n_0\, - DI(0) => \p_4_out_carry__5_i_4__0_n_0\, - O(3 downto 0) => p_4_out(27 downto 24), - S(3) => \p_4_out_carry__5_i_5__0_n_0\, - S(2) => \p_4_out_carry__5_i_6__0_n_0\, - S(1) => \p_4_out_carry__5_i_7__0_n_0\, - S(0) => \p_4_out_carry__5_i_8__0_n_0\ - ); -\p_4_out_carry__5_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(26), - I1 => \^intreg_reg[0][39]_0\(26), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(24) - ); -\p_4_out_carry__5_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(25), - I1 => \^intreg_reg[0][39]_0\(25), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(23) - ); -\p_4_out_carry__5_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(24), - I1 => \^intreg_reg[0][39]_0\(24), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(22) - ); -\p_4_out_carry__5_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(47), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(26), - I3 => Q(26), - I4 => x_mul2_out(26), - I5 => cx1(3), - O => \p_4_out_carry__5_i_1__0_n_0\ - ); -\p_4_out_carry__5_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(46), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(25), - I3 => Q(25), - I4 => x_mul2_out(25), - I5 => cx1(3), - O => \p_4_out_carry__5_i_2__0_n_0\ - ); -\p_4_out_carry__5_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(45), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(24), - I3 => Q(24), - I4 => x_mul2_out(24), - I5 => cx1(3), - O => \p_4_out_carry__5_i_3__0_n_0\ - ); -\p_4_out_carry__5_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(44), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(23), - I3 => Q(23), - I4 => x_mul2_out(23), - I5 => cx1(3), - O => \p_4_out_carry__5_i_4__0_n_0\ - ); -\p_4_out_carry__5_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__5_i_1__0_n_0\, - I1 => tap2(25), - I2 => \y_mul__1__0\(48), - I3 => cx1(3), - I4 => x_mul2_out(27), - O => \p_4_out_carry__5_i_5__0_n_0\ - ); -\p_4_out_carry__5_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__5_i_2__0_n_0\, - I1 => tap2(24), - I2 => \y_mul__1__0\(47), - I3 => cx1(3), - I4 => x_mul2_out(26), - O => \p_4_out_carry__5_i_6__0_n_0\ - ); -\p_4_out_carry__5_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__5_i_3__0_n_0\, - I1 => tap2(23), - I2 => \y_mul__1__0\(46), - I3 => cx1(3), - I4 => x_mul2_out(25), - O => \p_4_out_carry__5_i_7__0_n_0\ - ); -\p_4_out_carry__5_i_8__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__5_i_4__0_n_0\, - I1 => tap2(22), - I2 => \y_mul__1__0\(45), - I3 => cx1(3), - I4 => x_mul2_out(24), - O => \p_4_out_carry__5_i_8__0_n_0\ - ); -\p_4_out_carry__5_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(27), - I1 => \^intreg_reg[0][39]_0\(27), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(25) - ); -\p_4_out_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__5_n_0\, - CO(3) => \p_4_out_carry__6_n_0\, - CO(2) => \p_4_out_carry__6_n_1\, - CO(1) => \p_4_out_carry__6_n_2\, - CO(0) => \p_4_out_carry__6_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__6_i_1__0_n_0\, - DI(2) => \p_4_out_carry__6_i_2__0_n_0\, - DI(1) => \p_4_out_carry__6_i_3__0_n_0\, - DI(0) => \p_4_out_carry__6_i_4__0_n_0\, - O(3 downto 0) => p_4_out(31 downto 28), - S(3) => \p_4_out_carry__6_i_5__0_n_0\, - S(2) => \p_4_out_carry__6_i_6__0_n_0\, - S(1) => \p_4_out_carry__6_i_7__0_n_0\, - S(0) => \p_4_out_carry__6_i_8__0_n_0\ - ); -\p_4_out_carry__6_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(30), - I1 => \^intreg_reg[0][39]_0\(30), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(28) - ); -\p_4_out_carry__6_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(29), - I1 => \^intreg_reg[0][39]_0\(29), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(27) - ); -\p_4_out_carry__6_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(28), - I1 => \^intreg_reg[0][39]_0\(28), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(26) - ); -\p_4_out_carry__6_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(51), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(30), - I3 => Q(30), - I4 => x_mul2_out(30), - I5 => cx1(3), - O => \p_4_out_carry__6_i_1__0_n_0\ - ); -\p_4_out_carry__6_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(50), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(29), - I3 => Q(29), - I4 => x_mul2_out(29), - I5 => cx1(3), - O => \p_4_out_carry__6_i_2__0_n_0\ - ); -\p_4_out_carry__6_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(49), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(28), - I3 => Q(28), - I4 => x_mul2_out(28), - I5 => cx1(3), - O => \p_4_out_carry__6_i_3__0_n_0\ - ); -\p_4_out_carry__6_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(48), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(27), - I3 => Q(27), - I4 => x_mul2_out(27), - I5 => cx1(3), - O => \p_4_out_carry__6_i_4__0_n_0\ - ); -\p_4_out_carry__6_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__6_i_1__0_n_0\, - I1 => tap2(29), - I2 => \y_mul__1__0\(52), - I3 => cx1(3), - I4 => x_mul2_out(31), - O => \p_4_out_carry__6_i_5__0_n_0\ - ); -\p_4_out_carry__6_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__6_i_2__0_n_0\, - I1 => tap2(28), - I2 => \y_mul__1__0\(51), - I3 => cx1(3), - I4 => x_mul2_out(30), - O => \p_4_out_carry__6_i_6__0_n_0\ - ); -\p_4_out_carry__6_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__6_i_3__0_n_0\, - I1 => tap2(27), - I2 => \y_mul__1__0\(50), - I3 => cx1(3), - I4 => x_mul2_out(29), - O => \p_4_out_carry__6_i_7__0_n_0\ - ); -\p_4_out_carry__6_i_8__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__6_i_4__0_n_0\, - I1 => tap2(26), - I2 => \y_mul__1__0\(49), - I3 => cx1(3), - I4 => x_mul2_out(28), - O => \p_4_out_carry__6_i_8__0_n_0\ - ); -\p_4_out_carry__6_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(31), - I1 => \^intreg_reg[0][39]_0\(31), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(29) - ); -\p_4_out_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__6_n_0\, - CO(3) => \p_4_out_carry__7_n_0\, - CO(2) => \p_4_out_carry__7_n_1\, - CO(1) => \p_4_out_carry__7_n_2\, - CO(0) => \p_4_out_carry__7_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__7_i_1__0_n_0\, - DI(2) => \p_4_out_carry__7_i_2__0_n_0\, - DI(1) => \p_4_out_carry__7_i_3__0_n_0\, - DI(0) => \p_4_out_carry__7_i_4__0_n_0\, - O(3 downto 0) => p_4_out(35 downto 32), - S(3) => \p_4_out_carry__7_i_5__0_n_0\, - S(2) => \p_4_out_carry__7_i_6__0_n_0\, - S(1) => \p_4_out_carry__7_i_7__0_n_0\, - S(0) => \p_4_out_carry__7_i_8__0_n_0\ - ); -\p_4_out_carry__7_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(34), - I1 => \^intreg_reg[0][39]_0\(34), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(32) - ); -\p_4_out_carry__7_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(33), - I1 => \^intreg_reg[0][39]_0\(33), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(31) - ); -\p_4_out_carry__7_i_12\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(32), - I1 => \^intreg_reg[0][39]_0\(32), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(30) - ); -\p_4_out_carry__7_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(55), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(34), - I3 => Q(34), - I4 => x_mul2_out(34), - I5 => cx1(3), - O => \p_4_out_carry__7_i_1__0_n_0\ - ); -\p_4_out_carry__7_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(54), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(33), - I3 => Q(33), - I4 => x_mul2_out(33), - I5 => cx1(3), - O => \p_4_out_carry__7_i_2__0_n_0\ - ); -\p_4_out_carry__7_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(53), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(32), - I3 => Q(32), - I4 => x_mul2_out(32), - I5 => cx1(3), - O => \p_4_out_carry__7_i_3__0_n_0\ - ); -\p_4_out_carry__7_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(52), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(31), - I3 => Q(31), - I4 => x_mul2_out(31), - I5 => cx1(3), - O => \p_4_out_carry__7_i_4__0_n_0\ - ); -\p_4_out_carry__7_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__7_i_1__0_n_0\, - I1 => tap2(33), - I2 => \y_mul__1__0\(56), - I3 => cx1(3), - I4 => x_mul2_out(35), - O => \p_4_out_carry__7_i_5__0_n_0\ - ); -\p_4_out_carry__7_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__7_i_2__0_n_0\, - I1 => tap2(32), - I2 => \y_mul__1__0\(55), - I3 => cx1(3), - I4 => x_mul2_out(34), - O => \p_4_out_carry__7_i_6__0_n_0\ - ); -\p_4_out_carry__7_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__7_i_3__0_n_0\, - I1 => tap2(31), - I2 => \y_mul__1__0\(54), - I3 => cx1(3), - I4 => x_mul2_out(33), - O => \p_4_out_carry__7_i_7__0_n_0\ - ); -\p_4_out_carry__7_i_8__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__7_i_4__0_n_0\, - I1 => tap2(30), - I2 => \y_mul__1__0\(53), - I3 => cx1(3), - I4 => x_mul2_out(32), - O => \p_4_out_carry__7_i_8__0_n_0\ - ); -\p_4_out_carry__7_i_9\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(35), - I1 => \^intreg_reg[0][39]_0\(35), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(33) - ); -\p_4_out_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__7_n_0\, - CO(3) => \NLW_p_4_out_carry__8_CO_UNCONNECTED\(3), - CO(2) => \p_4_out_carry__8_n_1\, - CO(1) => \p_4_out_carry__8_n_2\, - CO(0) => \p_4_out_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \p_4_out_carry__8_i_1__0_n_0\, - DI(1) => \p_4_out_carry__8_i_2__0_n_0\, - DI(0) => \p_4_out_carry__8_i_3__0_n_0\, - O(3 downto 0) => p_4_out(39 downto 36), - S(3) => \p_4_out_carry__8_i_4__0_n_0\, - S(2) => \p_4_out_carry__8_i_5__0_n_0\, - S(1) => \p_4_out_carry__8_i_6__0_n_0\, - S(0) => \p_4_out_carry__8_i_7__0_n_0\ - ); -\p_4_out_carry__8_i_10\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(37), - I1 => \^intreg_reg[0][39]_0\(37), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(35) - ); -\p_4_out_carry__8_i_11\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(36), - I1 => \^intreg_reg[0][39]_0\(36), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(34) - ); -\p_4_out_carry__8_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(58), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(37), - I3 => Q(37), - I4 => x_mul2_out(37), - I5 => cx1(3), - O => \p_4_out_carry__8_i_1__0_n_0\ - ); -\p_4_out_carry__8_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(57), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(36), - I3 => Q(36), - I4 => x_mul2_out(36), - I5 => cx1(3), - O => \p_4_out_carry__8_i_2__0_n_0\ - ); -\p_4_out_carry__8_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__1__0\(56), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(35), - I3 => Q(35), - I4 => x_mul2_out(35), - I5 => cx1(3), - O => \p_4_out_carry__8_i_3__0_n_0\ - ); -\p_4_out_carry__8_i_4__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"9F0960F6" - ) - port map ( - I0 => cx1(3), - I1 => x_mul2_out(38), - I2 => tap2(36), - I3 => \y_mul__1__0\(59), - I4 => \p_4_out_carry__8_i_9__0_n_0\, - O => \p_4_out_carry__8_i_4__0_n_0\ - ); -\p_4_out_carry__8_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__8_i_1__0_n_0\, - I1 => tap2(36), - I2 => \y_mul__1__0\(59), - I3 => cx1(3), - I4 => x_mul2_out(38), - O => \p_4_out_carry__8_i_5__0_n_0\ - ); -\p_4_out_carry__8_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__8_i_2__0_n_0\, - I1 => tap2(35), - I2 => \y_mul__1__0\(58), - I3 => cx1(3), - I4 => x_mul2_out(37), - O => \p_4_out_carry__8_i_6__0_n_0\ - ); -\p_4_out_carry__8_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry__8_i_3__0_n_0\, - I1 => tap2(34), - I2 => \y_mul__1__0\(57), - I3 => cx1(3), - I4 => x_mul2_out(36), - O => \p_4_out_carry__8_i_7__0_n_0\ - ); -\p_4_out_carry__8_i_8\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \intreg_reg[1]_3\(38), - I1 => \intreg_reg[0]_2\(38), - I2 => \p_4_out_carry__8_i_4\, - O => \intreg_reg[1][38]_0\(36) - ); -\p_4_out_carry__8_i_9__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9669966996966969" - ) - port map ( - I0 => x_mul2_out(39), - I1 => cx1(3), - I2 => \y_mul__1__0\(60), - I3 => Q(38), - I4 => \p_4_out_carry__8_i_4__0_0\(38), - I5 => ch, - O => \p_4_out_carry__8_i_9__0_n_0\ - ); -p_4_out_carry_i_10: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(2), - I1 => \^intreg_reg[0][39]_0\(2), - I2 => ch, - O => \intreg_reg[1][38]_0\(0) - ); -p_4_out_carry_i_11: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(1), - I1 => \^intreg_reg[0][39]_0\(1), - I2 => ch, - O => tap1(1) - ); -\p_4_out_carry_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \y_mul__0_n_99\, - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(2), - I3 => Q(2), - I4 => x_mul2_out(2), - I5 => cx1(3), - O => \p_4_out_carry_i_1__0_n_0\ - ); -\p_4_out_carry_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5410FD75FD755410" - ) - port map ( - I0 => \^p\(1), - I1 => ch, - I2 => \p_4_out_carry__8_i_4__0_0\(1), - I3 => Q(1), - I4 => \^o\(0), - I5 => cx1(3), - O => \p_4_out_carry_i_2__0_n_0\ - ); -\p_4_out_carry_i_3__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"E4FF" - ) - port map ( - I0 => ch, - I1 => \^intreg_reg[0][39]_0\(0), - I2 => \^intreg_reg[1][39]_0\(0), - I3 => \intreg_reg[1][3]_0\(0), - O => \^ch_reg\(1) - ); -p_4_out_carry_i_4: unisim.vcomponents.LUT4 - generic map( - INIT => X"665A" - ) - port map ( - I0 => \intreg_reg[1][3]_0\(0), - I1 => \^intreg_reg[1][39]_0\(0), - I2 => \^intreg_reg[0][39]_0\(0), - I3 => ch, - O => \^ch_reg\(0) - ); -\p_4_out_carry_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry_i_1__0_n_0\, - I1 => tap2(1), - I2 => \y_mul__0_n_98\, - I3 => cx1(3), - I4 => x_mul2_out(3), - O => \p_4_out_carry_i_5__0_n_0\ - ); -\p_4_out_carry_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \p_4_out_carry_i_2__0_n_0\, - I1 => tap2(0), - I2 => \y_mul__0_n_99\, - I3 => cx1(3), - I4 => x_mul2_out(2), - O => \p_4_out_carry_i_6__0_n_0\ - ); -p_4_out_carry_i_7: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \^ch_reg\(1), - I1 => tap1(1), - I2 => \intreg_reg[1][3]_0\(1), - I3 => cx0(0), - I4 => \intreg_reg[1][3]_1\(0), - O => \y_mul__0_0\(0) - ); -\p_4_out_carry_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"665A99A599A5665A" - ) - port map ( - I0 => \^p\(0), - I1 => Q(0), - I2 => \p_4_out_carry__8_i_4__0_0\(0), - I3 => ch, - I4 => x_mul2_out(0), - I5 => cx1(3), - O => \p_4_out_carry_i_8__0_n_0\ - ); -p_4_out_carry_i_9: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(3), - I1 => \^intreg_reg[0][39]_0\(3), - I2 => ch, - O => \intreg_reg[1][38]_0\(1) - ); -\x_mul1__0_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \x_mul1__0_carry_n_0\, - CO(2) => \x_mul1__0_carry_n_1\, - CO(1) => \x_mul1__0_carry_n_2\, - CO(0) => \x_mul1__0_carry_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry_i_2__0_n_0\, - DI(1) => \x_mul1__0_carry_i_3__0_n_0\, - DI(0) => '0', - O(3 downto 2) => x_mul2_out(3 downto 2), - O(1) => \^o\(0), - O(0) => x_mul2_out(0), - S(3) => \x_mul1__0_carry_i_4__0_n_0\, - S(2) => \x_mul1__0_carry_i_5__0_n_0\, - S(1) => \x_mul1__0_carry_i_6__0_n_0\, - S(0) => \x_mul1__0_carry_i_7__0_n_0\ - ); -\x_mul1__0_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry_n_0\, - CO(3) => \x_mul1__0_carry__0_n_0\, - CO(2) => \x_mul1__0_carry__0_n_1\, - CO(1) => \x_mul1__0_carry__0_n_2\, - CO(0) => \x_mul1__0_carry__0_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__0_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry__0_i_2__0_n_0\, - DI(1) => \x_mul1__0_carry__0_i_3__0_n_0\, - DI(0) => \x_mul1__0_carry__0_i_4__0_n_0\, - O(3 downto 0) => x_mul2_out(7 downto 4), - S(3) => \x_mul1__0_carry__0_i_5__0_n_0\, - S(2) => \x_mul1__0_carry__0_i_6__0_n_0\, - S(1) => \x_mul1__0_carry__0_i_7__0_n_0\, - S(0) => \x_mul1__0_carry__0_i_8__0_n_0\ - ); -\x_mul1__0_carry__0_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(7), - O => \x_mul1__0_carry__0_i_10__0_n_0\ - ); -\x_mul1__0_carry__0_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(6), - O => \x_mul1__0_carry__0_i_11__0_n_0\ - ); -\x_mul1__0_carry__0_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(5), - O => \x_mul1__0_carry__0_i_12__0_n_0\ - ); -\x_mul1__0_carry__0_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(7), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(6), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(8), - I5 => cx1(0), - O => \x_mul1__0_carry__0_i_1__0_n_0\ - ); -\x_mul1__0_carry__0_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(6), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(5), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(7), - I5 => cx1(0), - O => \x_mul1__0_carry__0_i_2__0_n_0\ - ); -\x_mul1__0_carry__0_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(5), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(4), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(6), - I5 => cx1(0), - O => \x_mul1__0_carry__0_i_3__0_n_0\ - ); -\x_mul1__0_carry__0_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(4), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(3), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(5), - I5 => cx1(0), - O => \x_mul1__0_carry__0_i_4__0_n_0\ - ); -\x_mul1__0_carry__0_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_1__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(7), - I2 => cx1(2), - I3 => \x_mul1__0_carry__0_i_9__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(9), - O => \x_mul1__0_carry__0_i_5__0_n_0\ - ); -\x_mul1__0_carry__0_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_2__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(6), - I2 => cx1(2), - I3 => \x_mul1__0_carry__0_i_10__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(8), - O => \x_mul1__0_carry__0_i_6__0_n_0\ - ); -\x_mul1__0_carry__0_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(5), - I2 => cx1(2), - I3 => \x_mul1__0_carry__0_i_11__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(7), - O => \x_mul1__0_carry__0_i_7__0_n_0\ - ); -\x_mul1__0_carry__0_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_4__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(4), - I2 => cx1(2), - I3 => \x_mul1__0_carry__0_i_12__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(6), - O => \x_mul1__0_carry__0_i_8__0_n_0\ - ); -\x_mul1__0_carry__0_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(8), - O => \x_mul1__0_carry__0_i_9__0_n_0\ - ); -\x_mul1__0_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__0_n_0\, - CO(3) => \x_mul1__0_carry__1_n_0\, - CO(2) => \x_mul1__0_carry__1_n_1\, - CO(1) => \x_mul1__0_carry__1_n_2\, - CO(0) => \x_mul1__0_carry__1_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__1_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry__1_i_2__0_n_0\, - DI(1) => \x_mul1__0_carry__1_i_3__0_n_0\, - DI(0) => \x_mul1__0_carry__1_i_4__0_n_0\, - O(3 downto 0) => x_mul2_out(11 downto 8), - S(3) => \x_mul1__0_carry__1_i_5__0_n_0\, - S(2) => \x_mul1__0_carry__1_i_6__0_n_0\, - S(1) => \x_mul1__0_carry__1_i_7__0_n_0\, - S(0) => \x_mul1__0_carry__1_i_8__0_n_0\ - ); -\x_mul1__0_carry__1_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(11), - O => \x_mul1__0_carry__1_i_10__0_n_0\ - ); -\x_mul1__0_carry__1_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(10), - O => \x_mul1__0_carry__1_i_11__0_n_0\ - ); -\x_mul1__0_carry__1_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(9), - O => \x_mul1__0_carry__1_i_12__0_n_0\ - ); -\x_mul1__0_carry__1_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(11), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(10), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(12), - I5 => cx1(0), - O => \x_mul1__0_carry__1_i_1__0_n_0\ - ); -\x_mul1__0_carry__1_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(10), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(9), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(11), - I5 => cx1(0), - O => \x_mul1__0_carry__1_i_2__0_n_0\ - ); -\x_mul1__0_carry__1_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(9), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(8), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(10), - I5 => cx1(0), - O => \x_mul1__0_carry__1_i_3__0_n_0\ - ); -\x_mul1__0_carry__1_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(8), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(7), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(9), - I5 => cx1(0), - O => \x_mul1__0_carry__1_i_4__0_n_0\ - ); -\x_mul1__0_carry__1_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_1__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(11), - I2 => cx1(2), - I3 => \x_mul1__0_carry__1_i_9__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(13), - O => \x_mul1__0_carry__1_i_5__0_n_0\ - ); -\x_mul1__0_carry__1_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_2__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(10), - I2 => cx1(2), - I3 => \x_mul1__0_carry__1_i_10__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(12), - O => \x_mul1__0_carry__1_i_6__0_n_0\ - ); -\x_mul1__0_carry__1_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(9), - I2 => cx1(2), - I3 => \x_mul1__0_carry__1_i_11__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(11), - O => \x_mul1__0_carry__1_i_7__0_n_0\ - ); -\x_mul1__0_carry__1_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_4__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(8), - I2 => cx1(2), - I3 => \x_mul1__0_carry__1_i_12__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(10), - O => \x_mul1__0_carry__1_i_8__0_n_0\ - ); -\x_mul1__0_carry__1_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(12), - O => \x_mul1__0_carry__1_i_9__0_n_0\ - ); -\x_mul1__0_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__1_n_0\, - CO(3) => \x_mul1__0_carry__2_n_0\, - CO(2) => \x_mul1__0_carry__2_n_1\, - CO(1) => \x_mul1__0_carry__2_n_2\, - CO(0) => \x_mul1__0_carry__2_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__2_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry__2_i_2__0_n_0\, - DI(1) => \x_mul1__0_carry__2_i_3__0_n_0\, - DI(0) => \x_mul1__0_carry__2_i_4__0_n_0\, - O(3 downto 0) => x_mul2_out(15 downto 12), - S(3) => \x_mul1__0_carry__2_i_5__0_n_0\, - S(2) => \x_mul1__0_carry__2_i_6__0_n_0\, - S(1) => \x_mul1__0_carry__2_i_7__0_n_0\, - S(0) => \x_mul1__0_carry__2_i_8__0_n_0\ - ); -\x_mul1__0_carry__2_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(15), - O => \x_mul1__0_carry__2_i_10__0_n_0\ - ); -\x_mul1__0_carry__2_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(14), - O => \x_mul1__0_carry__2_i_11__0_n_0\ - ); -\x_mul1__0_carry__2_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(13), - O => \x_mul1__0_carry__2_i_12__0_n_0\ - ); -\x_mul1__0_carry__2_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(15), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(14), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(16), - I5 => cx1(0), - O => \x_mul1__0_carry__2_i_1__0_n_0\ - ); -\x_mul1__0_carry__2_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(14), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(13), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(15), - I5 => cx1(0), - O => \x_mul1__0_carry__2_i_2__0_n_0\ - ); -\x_mul1__0_carry__2_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(13), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(12), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(14), - I5 => cx1(0), - O => \x_mul1__0_carry__2_i_3__0_n_0\ - ); -\x_mul1__0_carry__2_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(12), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(11), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(13), - I5 => cx1(0), - O => \x_mul1__0_carry__2_i_4__0_n_0\ - ); -\x_mul1__0_carry__2_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_1__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(15), - I2 => cx1(2), - I3 => \x_mul1__0_carry__2_i_9__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(17), - O => \x_mul1__0_carry__2_i_5__0_n_0\ - ); -\x_mul1__0_carry__2_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_2__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(14), - I2 => cx1(2), - I3 => \x_mul1__0_carry__2_i_10__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(16), - O => \x_mul1__0_carry__2_i_6__0_n_0\ - ); -\x_mul1__0_carry__2_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(13), - I2 => cx1(2), - I3 => \x_mul1__0_carry__2_i_11__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(15), - O => \x_mul1__0_carry__2_i_7__0_n_0\ - ); -\x_mul1__0_carry__2_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_4__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(12), - I2 => cx1(2), - I3 => \x_mul1__0_carry__2_i_12__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(14), - O => \x_mul1__0_carry__2_i_8__0_n_0\ - ); -\x_mul1__0_carry__2_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(16), - O => \x_mul1__0_carry__2_i_9__0_n_0\ - ); -\x_mul1__0_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__2_n_0\, - CO(3) => \x_mul1__0_carry__3_n_0\, - CO(2) => \x_mul1__0_carry__3_n_1\, - CO(1) => \x_mul1__0_carry__3_n_2\, - CO(0) => \x_mul1__0_carry__3_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__3_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry__3_i_2__0_n_0\, - DI(1) => \x_mul1__0_carry__3_i_3__0_n_0\, - DI(0) => \x_mul1__0_carry__3_i_4__0_n_0\, - O(3 downto 0) => x_mul2_out(19 downto 16), - S(3) => \x_mul1__0_carry__3_i_5__0_n_0\, - S(2) => \x_mul1__0_carry__3_i_6__0_n_0\, - S(1) => \x_mul1__0_carry__3_i_7__0_n_0\, - S(0) => \x_mul1__0_carry__3_i_8__0_n_0\ - ); -\x_mul1__0_carry__3_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(19), - O => \x_mul1__0_carry__3_i_10__0_n_0\ - ); -\x_mul1__0_carry__3_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(18), - O => \x_mul1__0_carry__3_i_11__0_n_0\ - ); -\x_mul1__0_carry__3_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(17), - O => \x_mul1__0_carry__3_i_12__0_n_0\ - ); -\x_mul1__0_carry__3_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(19), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(18), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(20), - I5 => cx1(0), - O => \x_mul1__0_carry__3_i_1__0_n_0\ - ); -\x_mul1__0_carry__3_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(18), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(17), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(19), - I5 => cx1(0), - O => \x_mul1__0_carry__3_i_2__0_n_0\ - ); -\x_mul1__0_carry__3_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(17), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(16), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(18), - I5 => cx1(0), - O => \x_mul1__0_carry__3_i_3__0_n_0\ - ); -\x_mul1__0_carry__3_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(16), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(15), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(17), - I5 => cx1(0), - O => \x_mul1__0_carry__3_i_4__0_n_0\ - ); -\x_mul1__0_carry__3_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_1__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(19), - I2 => cx1(2), - I3 => \x_mul1__0_carry__3_i_9__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(21), - O => \x_mul1__0_carry__3_i_5__0_n_0\ - ); -\x_mul1__0_carry__3_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_2__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(18), - I2 => cx1(2), - I3 => \x_mul1__0_carry__3_i_10__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(20), - O => \x_mul1__0_carry__3_i_6__0_n_0\ - ); -\x_mul1__0_carry__3_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(17), - I2 => cx1(2), - I3 => \x_mul1__0_carry__3_i_11__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(19), - O => \x_mul1__0_carry__3_i_7__0_n_0\ - ); -\x_mul1__0_carry__3_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_4__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(16), - I2 => cx1(2), - I3 => \x_mul1__0_carry__3_i_12__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(18), - O => \x_mul1__0_carry__3_i_8__0_n_0\ - ); -\x_mul1__0_carry__3_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(20), - O => \x_mul1__0_carry__3_i_9__0_n_0\ - ); -\x_mul1__0_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__3_n_0\, - CO(3) => \x_mul1__0_carry__4_n_0\, - CO(2) => \x_mul1__0_carry__4_n_1\, - CO(1) => \x_mul1__0_carry__4_n_2\, - CO(0) => \x_mul1__0_carry__4_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__4_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry__4_i_2__0_n_0\, - DI(1) => \x_mul1__0_carry__4_i_3__0_n_0\, - DI(0) => \x_mul1__0_carry__4_i_4__0_n_0\, - O(3 downto 0) => x_mul2_out(23 downto 20), - S(3) => \x_mul1__0_carry__4_i_5__0_n_0\, - S(2) => \x_mul1__0_carry__4_i_6__0_n_0\, - S(1) => \x_mul1__0_carry__4_i_7__0_n_0\, - S(0) => \x_mul1__0_carry__4_i_8__0_n_0\ - ); -\x_mul1__0_carry__4_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(23), - O => \x_mul1__0_carry__4_i_10__0_n_0\ - ); -\x_mul1__0_carry__4_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(22), - O => \x_mul1__0_carry__4_i_11__0_n_0\ - ); -\x_mul1__0_carry__4_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(21), - O => \x_mul1__0_carry__4_i_12__0_n_0\ - ); -\x_mul1__0_carry__4_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(23), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(22), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(24), - I5 => cx1(0), - O => \x_mul1__0_carry__4_i_1__0_n_0\ - ); -\x_mul1__0_carry__4_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(22), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(21), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(23), - I5 => cx1(0), - O => \x_mul1__0_carry__4_i_2__0_n_0\ - ); -\x_mul1__0_carry__4_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(21), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(20), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(22), - I5 => cx1(0), - O => \x_mul1__0_carry__4_i_3__0_n_0\ - ); -\x_mul1__0_carry__4_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(20), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(19), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(21), - I5 => cx1(0), - O => \x_mul1__0_carry__4_i_4__0_n_0\ - ); -\x_mul1__0_carry__4_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_1__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(23), - I2 => cx1(2), - I3 => \x_mul1__0_carry__4_i_9__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(25), - O => \x_mul1__0_carry__4_i_5__0_n_0\ - ); -\x_mul1__0_carry__4_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_2__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(22), - I2 => cx1(2), - I3 => \x_mul1__0_carry__4_i_10__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(24), - O => \x_mul1__0_carry__4_i_6__0_n_0\ - ); -\x_mul1__0_carry__4_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(21), - I2 => cx1(2), - I3 => \x_mul1__0_carry__4_i_11__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(23), - O => \x_mul1__0_carry__4_i_7__0_n_0\ - ); -\x_mul1__0_carry__4_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_4__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(20), - I2 => cx1(2), - I3 => \x_mul1__0_carry__4_i_12__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(22), - O => \x_mul1__0_carry__4_i_8__0_n_0\ - ); -\x_mul1__0_carry__4_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(24), - O => \x_mul1__0_carry__4_i_9__0_n_0\ - ); -\x_mul1__0_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__4_n_0\, - CO(3) => \x_mul1__0_carry__5_n_0\, - CO(2) => \x_mul1__0_carry__5_n_1\, - CO(1) => \x_mul1__0_carry__5_n_2\, - CO(0) => \x_mul1__0_carry__5_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__5_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry__5_i_2__0_n_0\, - DI(1) => \x_mul1__0_carry__5_i_3__0_n_0\, - DI(0) => \x_mul1__0_carry__5_i_4__0_n_0\, - O(3 downto 0) => x_mul2_out(27 downto 24), - S(3) => \x_mul1__0_carry__5_i_5__0_n_0\, - S(2) => \x_mul1__0_carry__5_i_6__0_n_0\, - S(1) => \x_mul1__0_carry__5_i_7__0_n_0\, - S(0) => \x_mul1__0_carry__5_i_8__0_n_0\ - ); -\x_mul1__0_carry__5_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(27), - O => \x_mul1__0_carry__5_i_10__0_n_0\ - ); -\x_mul1__0_carry__5_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(26), - O => \x_mul1__0_carry__5_i_11__0_n_0\ - ); -\x_mul1__0_carry__5_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(25), - O => \x_mul1__0_carry__5_i_12__0_n_0\ - ); -\x_mul1__0_carry__5_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(27), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(26), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(28), - I5 => cx1(0), - O => \x_mul1__0_carry__5_i_1__0_n_0\ - ); -\x_mul1__0_carry__5_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(26), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(25), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(27), - I5 => cx1(0), - O => \x_mul1__0_carry__5_i_2__0_n_0\ - ); -\x_mul1__0_carry__5_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(25), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(24), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(26), - I5 => cx1(0), - O => \x_mul1__0_carry__5_i_3__0_n_0\ - ); -\x_mul1__0_carry__5_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(24), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(23), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(25), - I5 => cx1(0), - O => \x_mul1__0_carry__5_i_4__0_n_0\ - ); -\x_mul1__0_carry__5_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_1__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(27), - I2 => cx1(2), - I3 => \x_mul1__0_carry__5_i_9__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(29), - O => \x_mul1__0_carry__5_i_5__0_n_0\ - ); -\x_mul1__0_carry__5_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_2__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(26), - I2 => cx1(2), - I3 => \x_mul1__0_carry__5_i_10__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(28), - O => \x_mul1__0_carry__5_i_6__0_n_0\ - ); -\x_mul1__0_carry__5_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(25), - I2 => cx1(2), - I3 => \x_mul1__0_carry__5_i_11__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(27), - O => \x_mul1__0_carry__5_i_7__0_n_0\ - ); -\x_mul1__0_carry__5_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_4__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(24), - I2 => cx1(2), - I3 => \x_mul1__0_carry__5_i_12__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(26), - O => \x_mul1__0_carry__5_i_8__0_n_0\ - ); -\x_mul1__0_carry__5_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(28), - O => \x_mul1__0_carry__5_i_9__0_n_0\ - ); -\x_mul1__0_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__5_n_0\, - CO(3) => \x_mul1__0_carry__6_n_0\, - CO(2) => \x_mul1__0_carry__6_n_1\, - CO(1) => \x_mul1__0_carry__6_n_2\, - CO(0) => \x_mul1__0_carry__6_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__6_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry__6_i_2__0_n_0\, - DI(1) => \x_mul1__0_carry__6_i_3__0_n_0\, - DI(0) => \x_mul1__0_carry__6_i_4__0_n_0\, - O(3 downto 0) => x_mul2_out(31 downto 28), - S(3) => \x_mul1__0_carry__6_i_5__0_n_0\, - S(2) => \x_mul1__0_carry__6_i_6__0_n_0\, - S(1) => \x_mul1__0_carry__6_i_7__0_n_0\, - S(0) => \x_mul1__0_carry__6_i_8__0_n_0\ - ); -\x_mul1__0_carry__6_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(31), - O => \x_mul1__0_carry__6_i_10__0_n_0\ - ); -\x_mul1__0_carry__6_i_11__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(30), - O => \x_mul1__0_carry__6_i_11__0_n_0\ - ); -\x_mul1__0_carry__6_i_12__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(29), - O => \x_mul1__0_carry__6_i_12__0_n_0\ - ); -\x_mul1__0_carry__6_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(31), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(30), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(32), - I5 => cx1(0), - O => \x_mul1__0_carry__6_i_1__0_n_0\ - ); -\x_mul1__0_carry__6_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(30), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(29), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(31), - I5 => cx1(0), - O => \x_mul1__0_carry__6_i_2__0_n_0\ - ); -\x_mul1__0_carry__6_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(29), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(28), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(30), - I5 => cx1(0), - O => \x_mul1__0_carry__6_i_3__0_n_0\ - ); -\x_mul1__0_carry__6_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(28), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(27), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(29), - I5 => cx1(0), - O => \x_mul1__0_carry__6_i_4__0_n_0\ - ); -\x_mul1__0_carry__6_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A959595956A6A6A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_1__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(31), - I2 => cx1(2), - I3 => \x_mul1__0_carry__7_0\(32), - I4 => cx1(1), - I5 => \x_mul__39\(35), - O => \x_mul1__0_carry__6_i_5__0_n_0\ - ); -\x_mul1__0_carry__6_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_2__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(30), - I2 => cx1(2), - I3 => \x_mul1__0_carry__6_i_10__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(32), - O => \x_mul1__0_carry__6_i_6__0_n_0\ - ); -\x_mul1__0_carry__6_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(29), - I2 => cx1(2), - I3 => \x_mul1__0_carry__6_i_11__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(31), - O => \x_mul1__0_carry__6_i_7__0_n_0\ - ); -\x_mul1__0_carry__6_i_8__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_4__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(28), - I2 => cx1(2), - I3 => \x_mul1__0_carry__6_i_12__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(30), - O => \x_mul1__0_carry__6_i_8__0_n_0\ - ); -\x_mul1__0_carry__6_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(0), - I1 => \x_mul1__0_carry__7_0\(33), - O => \x_mul__39\(35) - ); -\x_mul1__0_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__6_n_0\, - CO(3) => \x_mul1__0_carry__7_n_0\, - CO(2) => \x_mul1__0_carry__7_n_1\, - CO(1) => \x_mul1__0_carry__7_n_2\, - CO(0) => \x_mul1__0_carry__7_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__7_i_1__0_n_0\, - DI(2) => \x_mul1__0_carry__7_i_1__0_n_0\, - DI(1) => \x_mul1__0_carry__7_i_2__0_n_0\, - DI(0) => \x_mul1__0_carry__7_i_3__0_n_0\, - O(3 downto 0) => x_mul2_out(35 downto 32), - S(3) => \x_mul1__0_carry__7_i_4__0_n_0\, - S(2) => \x_mul1__0_carry__7_i_5__0_n_0\, - S(1) => \x_mul1__0_carry__7_i_6__0_n_0\, - S(0) => \x_mul1__0_carry__7_i_7__0_n_0\ - ); -\x_mul1__0_carry__7_i_1__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"C880" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(33), - I2 => cx1(2), - I3 => cx1(0), - O => \x_mul1__0_carry__7_i_1__0_n_0\ - ); -\x_mul1__0_carry__7_i_2__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A8888000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(33), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(32), - I3 => cx1(2), - I4 => cx1(0), - O => \x_mul1__0_carry__7_i_2__0_n_0\ - ); -\x_mul1__0_carry__7_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(32), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(31), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(33), - I5 => cx1(0), - O => \x_mul1__0_carry__7_i_3__0_n_0\ - ); -\x_mul1__0_carry__7_i_4__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__0_n_0\, - I1 => cx1(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx1(1), - I4 => cx1(0), - O => \x_mul1__0_carry__7_i_4__0_n_0\ - ); -\x_mul1__0_carry__7_i_5__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__0_n_0\, - I1 => cx1(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx1(1), - I4 => cx1(0), - O => \x_mul1__0_carry__7_i_5__0_n_0\ - ); -\x_mul1__0_carry__7_i_6__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_2__0_n_0\, - I1 => cx1(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx1(1), - I4 => cx1(0), - O => \x_mul1__0_carry__7_i_6__0_n_0\ - ); -\x_mul1__0_carry__7_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A6A956A956A6A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(32), - I2 => cx1(2), - I3 => \x_mul1__0_carry__7_0\(33), - I4 => cx1(1), - I5 => cx1(0), - O => \x_mul1__0_carry__7_i_7__0_n_0\ - ); -\x_mul1__0_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__7_n_0\, - CO(3) => \NLW_x_mul1__0_carry__8_CO_UNCONNECTED\(3), - CO(2) => \x_mul1__0_carry__8_n_1\, - CO(1) => \x_mul1__0_carry__8_n_2\, - CO(0) => \x_mul1__0_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \x_mul1__0_carry__7_i_1__0_n_0\, - DI(1) => \x_mul1__0_carry__7_i_1__0_n_0\, - DI(0) => \x_mul1__0_carry__7_i_1__0_n_0\, - O(3 downto 0) => x_mul2_out(39 downto 36), - S(3) => \x_mul1__0_carry__8_i_1__0_n_0\, - S(2) => \x_mul1__0_carry__8_i_2__0_n_0\, - S(1) => \x_mul1__0_carry__8_i_3__0_n_0\, - S(0) => \x_mul1__0_carry__8_i_4__0_n_0\ - ); -\x_mul1__0_carry__8_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__0_n_0\, - I1 => cx1(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx1(1), - I4 => cx1(0), - O => \x_mul1__0_carry__8_i_1__0_n_0\ - ); -\x_mul1__0_carry__8_i_2__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__0_n_0\, - I1 => cx1(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx1(1), - I4 => cx1(0), - O => \x_mul1__0_carry__8_i_2__0_n_0\ - ); -\x_mul1__0_carry__8_i_3__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"7BB78448" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(33), - I2 => cx1(2), - I3 => cx1(0), - I4 => \x_mul1__0_carry__7_i_1__0_n_0\, - O => \x_mul1__0_carry__8_i_3__0_n_0\ - ); -\x_mul1__0_carry__8_i_4__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__0_n_0\, - I1 => cx1(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx1(1), - I4 => cx1(0), - O => \x_mul1__0_carry__8_i_4__0_n_0\ - ); -\x_mul1__0_carry_i_10__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(2), - O => \x_mul1__0_carry_i_10__0_n_0\ - ); -\x_mul1__0_carry_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(3), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(2), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(4), - I5 => cx1(0), - O => \x_mul1__0_carry_i_1__0_n_0\ - ); -\x_mul1__0_carry_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(2), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(1), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(3), - I5 => cx1(0), - O => \x_mul1__0_carry_i_2__0_n_0\ - ); -\x_mul1__0_carry_i_3__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(1), - I1 => cx1(1), - I2 => \x_mul1__0_carry__7_0\(0), - I3 => cx1(2), - I4 => \x_mul1__0_carry__7_0\(2), - I5 => cx1(0), - O => \x_mul1__0_carry_i_3__0_n_0\ - ); -\x_mul1__0_carry_i_4__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_1__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(3), - I2 => cx1(2), - I3 => \x_mul1__0_carry_i_8__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(5), - O => \x_mul1__0_carry_i_4__0_n_0\ - ); -\x_mul1__0_carry_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_2__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(2), - I2 => cx1(2), - I3 => \x_mul1__0_carry_i_9__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(4), - O => \x_mul1__0_carry_i_5__0_n_0\ - ); -\x_mul1__0_carry_i_6__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_3__0_n_0\, - I1 => \x_mul1__0_carry__7_0\(1), - I2 => cx1(2), - I3 => \x_mul1__0_carry_i_10__0_n_0\, - I4 => cx1(0), - I5 => \x_mul1__0_carry__7_0\(3), - O => \x_mul1__0_carry_i_6__0_n_0\ - ); -\x_mul1__0_carry_i_7__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8777788878887888" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(2), - I1 => cx1(0), - I2 => cx1(1), - I3 => \x_mul1__0_carry__7_0\(1), - I4 => cx1(2), - I5 => \x_mul1__0_carry__7_0\(0), - O => \x_mul1__0_carry_i_7__0_n_0\ - ); -\x_mul1__0_carry_i_8__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(4), - O => \x_mul1__0_carry_i_8__0_n_0\ - ); -\x_mul1__0_carry_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx1(1), - I1 => \x_mul1__0_carry__7_0\(3), - O => \x_mul1__0_carry_i_9__0_n_0\ - ); -y_mul: unisim.vcomponents.DSP48E1 - generic map( - ACASCREG => 0, - ADREG => 1, - ALUMODEREG => 0, - AREG => 0, - AUTORESET_PATDET => "NO_RESET", - A_INPUT => "DIRECT", - BCASCREG => 0, - BREG => 0, - B_INPUT => "DIRECT", - CARRYINREG => 0, - CARRYINSELREG => 0, - CREG => 1, - DREG => 1, - INMODEREG => 0, - MASK => X"3FFFFFFFFFFF", - MREG => 0, - OPMODEREG => 0, - PATTERN => X"000000000000", - PREG => 0, - SEL_MASK => "MASK", - SEL_PATTERN => "PATTERN", - USE_DPORT => false, - USE_MULT => "MULTIPLY", - USE_PATTERN_DETECT => "NO_PATDET", - USE_SIMD => "ONE48" - ) - port map ( - A(29) => cy1(23), - A(28) => cy1(23), - A(27) => cy1(23), - A(26) => cy1(23), - A(25) => cy1(23), - A(24) => cy1(23), - A(23 downto 0) => cy1(23 downto 0), - ACIN(29 downto 0) => B"000000000000000000000000000000", - ACOUT(29 downto 0) => NLW_y_mul_ACOUT_UNCONNECTED(29 downto 0), - ALUMODE(3 downto 0) => B"0000", - B(17) => '0', - B(16 downto 0) => B(16 downto 0), - BCIN(17 downto 0) => B"000000000000000000", - BCOUT(17 downto 0) => NLW_y_mul_BCOUT_UNCONNECTED(17 downto 0), - C(47 downto 0) => B"111111111111111111111111111111111111111111111111", - CARRYCASCIN => '0', - CARRYCASCOUT => NLW_y_mul_CARRYCASCOUT_UNCONNECTED, - CARRYIN => '0', - CARRYINSEL(2 downto 0) => B"000", - CARRYOUT(3 downto 0) => NLW_y_mul_CARRYOUT_UNCONNECTED(3 downto 0), - CEA1 => '0', - CEA2 => '0', - CEAD => '0', - CEALUMODE => '0', - CEB1 => '0', - CEB2 => '0', - CEC => '0', - CECARRYIN => '0', - CECTRL => '0', - CED => '0', - CEINMODE => '0', - CEM => '0', - CEP => '0', - CLK => '0', - D(24 downto 0) => B"0000000000000000000000000", - INMODE(4 downto 0) => B"00000", - MULTSIGNIN => '0', - MULTSIGNOUT => NLW_y_mul_MULTSIGNOUT_UNCONNECTED, - OPMODE(6 downto 0) => B"0000101", - OVERFLOW => NLW_y_mul_OVERFLOW_UNCONNECTED, - P(47) => y_mul_n_58, - P(46) => y_mul_n_59, - P(45) => y_mul_n_60, - P(44) => y_mul_n_61, - P(43) => y_mul_n_62, - P(42) => y_mul_n_63, - P(41) => y_mul_n_64, - P(40) => y_mul_n_65, - P(39) => y_mul_n_66, - P(38) => y_mul_n_67, - P(37) => y_mul_n_68, - P(36) => y_mul_n_69, - P(35) => y_mul_n_70, - P(34) => y_mul_n_71, - P(33) => y_mul_n_72, - P(32) => y_mul_n_73, - P(31) => y_mul_n_74, - P(30) => y_mul_n_75, - P(29) => y_mul_n_76, - P(28) => y_mul_n_77, - P(27) => y_mul_n_78, - P(26) => y_mul_n_79, - P(25) => y_mul_n_80, - P(24) => y_mul_n_81, - P(23) => y_mul_n_82, - P(22) => y_mul_n_83, - P(21) => y_mul_n_84, - P(20) => y_mul_n_85, - P(19) => y_mul_n_86, - P(18) => y_mul_n_87, - P(17) => y_mul_n_88, - P(16) => y_mul_n_89, - P(15) => y_mul_n_90, - P(14) => y_mul_n_91, - P(13) => y_mul_n_92, - P(12) => y_mul_n_93, - P(11) => y_mul_n_94, - P(10) => y_mul_n_95, - P(9) => y_mul_n_96, - P(8) => y_mul_n_97, - P(7) => y_mul_n_98, - P(6) => y_mul_n_99, - P(5) => y_mul_n_100, - P(4) => y_mul_n_101, - P(3) => y_mul_n_102, - P(2) => y_mul_n_103, - P(1) => y_mul_n_104, - P(0) => y_mul_n_105, - PATTERNBDETECT => NLW_y_mul_PATTERNBDETECT_UNCONNECTED, - PATTERNDETECT => NLW_y_mul_PATTERNDETECT_UNCONNECTED, - PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000", - PCOUT(47) => y_mul_n_106, - PCOUT(46) => y_mul_n_107, - PCOUT(45) => y_mul_n_108, - PCOUT(44) => y_mul_n_109, - PCOUT(43) => y_mul_n_110, - PCOUT(42) => y_mul_n_111, - PCOUT(41) => y_mul_n_112, - PCOUT(40) => y_mul_n_113, - PCOUT(39) => y_mul_n_114, - PCOUT(38) => y_mul_n_115, - PCOUT(37) => y_mul_n_116, - PCOUT(36) => y_mul_n_117, - PCOUT(35) => y_mul_n_118, - PCOUT(34) => y_mul_n_119, - PCOUT(33) => y_mul_n_120, - PCOUT(32) => y_mul_n_121, - PCOUT(31) => y_mul_n_122, - PCOUT(30) => y_mul_n_123, - PCOUT(29) => y_mul_n_124, - PCOUT(28) => y_mul_n_125, - PCOUT(27) => y_mul_n_126, - PCOUT(26) => y_mul_n_127, - PCOUT(25) => y_mul_n_128, - PCOUT(24) => y_mul_n_129, - PCOUT(23) => y_mul_n_130, - PCOUT(22) => y_mul_n_131, - PCOUT(21) => y_mul_n_132, - PCOUT(20) => y_mul_n_133, - PCOUT(19) => y_mul_n_134, - PCOUT(18) => y_mul_n_135, - PCOUT(17) => y_mul_n_136, - PCOUT(16) => y_mul_n_137, - PCOUT(15) => y_mul_n_138, - PCOUT(14) => y_mul_n_139, - PCOUT(13) => y_mul_n_140, - PCOUT(12) => y_mul_n_141, - PCOUT(11) => y_mul_n_142, - PCOUT(10) => y_mul_n_143, - PCOUT(9) => y_mul_n_144, - PCOUT(8) => y_mul_n_145, - PCOUT(7) => y_mul_n_146, - PCOUT(6) => y_mul_n_147, - PCOUT(5) => y_mul_n_148, - PCOUT(4) => y_mul_n_149, - PCOUT(3) => y_mul_n_150, - PCOUT(2) => y_mul_n_151, - PCOUT(1) => y_mul_n_152, - PCOUT(0) => y_mul_n_153, - RSTA => '0', - RSTALLCARRYIN => '0', - RSTALUMODE => '0', - RSTB => '0', - RSTC => '0', - RSTCTRL => '0', - RSTD => '0', - RSTINMODE => '0', - RSTM => '0', - RSTP => '0', - UNDERFLOW => NLW_y_mul_UNDERFLOW_UNCONNECTED - ); -\y_mul__0\: unisim.vcomponents.DSP48E1 - generic map( - ACASCREG => 0, - ADREG => 1, - ALUMODEREG => 0, - AREG => 0, - AUTORESET_PATDET => "NO_RESET", - A_INPUT => "DIRECT", - BCASCREG => 0, - BREG => 0, - B_INPUT => "DIRECT", - CARRYINREG => 0, - CARRYINSELREG => 0, - CREG => 1, - DREG => 1, - INMODEREG => 0, - MASK => X"3FFFFFFFFFFF", - MREG => 0, - OPMODEREG => 0, - PATTERN => X"000000000000", - PREG => 0, - SEL_MASK => "MASK", - SEL_PATTERN => "PATTERN", - USE_DPORT => false, - USE_MULT => "MULTIPLY", - USE_PATTERN_DETECT => "NO_PATDET", - USE_SIMD => "ONE48" - ) - port map ( - A(29) => cy1(23), - A(28) => cy1(23), - A(27) => cy1(23), - A(26) => cy1(23), - A(25) => cy1(23), - A(24) => cy1(23), - A(23 downto 0) => cy1(23 downto 0), - ACIN(29 downto 0) => B"000000000000000000000000000000", - ACOUT(29 downto 0) => \NLW_y_mul__0_ACOUT_UNCONNECTED\(29 downto 0), - ALUMODE(3 downto 0) => B"0000", - B(17) => '0', - B(16 downto 0) => \y_mul__0_1\(16 downto 0), - BCIN(17 downto 0) => B"000000000000000000", - BCOUT(17 downto 0) => \NLW_y_mul__0_BCOUT_UNCONNECTED\(17 downto 0), - C(47 downto 0) => B"111111111111111111111111111111111111111111111111", - CARRYCASCIN => '0', - CARRYCASCOUT => \NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED\, - CARRYIN => '0', - CARRYINSEL(2 downto 0) => B"000", - CARRYOUT(3 downto 0) => \NLW_y_mul__0_CARRYOUT_UNCONNECTED\(3 downto 0), - CEA1 => '0', - CEA2 => '0', - CEAD => '0', - CEALUMODE => '0', - CEB1 => '0', - CEB2 => '0', - CEC => '0', - CECARRYIN => '0', - CECTRL => '0', - CED => '0', - CEINMODE => '0', - CEM => '0', - CEP => '0', - CLK => '0', - D(24 downto 0) => B"0000000000000000000000000", - INMODE(4 downto 0) => B"00000", - MULTSIGNIN => '0', - MULTSIGNOUT => \NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED\, - OPMODE(6 downto 0) => B"1010101", - OVERFLOW => \NLW_y_mul__0_OVERFLOW_UNCONNECTED\, - P(47 downto 44) => \NLW_y_mul__0_P_UNCONNECTED\(47 downto 44), - P(43) => \y_mul__0_n_62\, - P(42) => \y_mul__0_n_63\, - P(41) => \y_mul__0_n_64\, - P(40) => \y_mul__0_n_65\, - P(39) => \y_mul__0_n_66\, - P(38) => \y_mul__0_n_67\, - P(37) => \y_mul__0_n_68\, - P(36) => \y_mul__0_n_69\, - P(35) => \y_mul__0_n_70\, - P(34) => \y_mul__0_n_71\, - P(33) => \y_mul__0_n_72\, - P(32) => \y_mul__0_n_73\, - P(31) => \y_mul__0_n_74\, - P(30) => \y_mul__0_n_75\, - P(29) => \y_mul__0_n_76\, - P(28) => \y_mul__0_n_77\, - P(27) => \y_mul__0_n_78\, - P(26) => \y_mul__0_n_79\, - P(25) => \y_mul__0_n_80\, - P(24) => \y_mul__0_n_81\, - P(23) => \y_mul__0_n_82\, - P(22) => \y_mul__0_n_83\, - P(21) => \y_mul__0_n_84\, - P(20) => \y_mul__0_n_85\, - P(19) => \y_mul__0_n_86\, - P(18) => \y_mul__0_n_87\, - P(17) => \y_mul__0_n_88\, - P(16) => \y_mul__0_n_89\, - P(15) => \y_mul__0_n_90\, - P(14) => \y_mul__0_n_91\, - P(13) => \y_mul__0_n_92\, - P(12) => \y_mul__0_n_93\, - P(11) => \y_mul__0_n_94\, - P(10) => \y_mul__0_n_95\, - P(9) => \y_mul__0_n_96\, - P(8) => \y_mul__0_n_97\, - P(7) => \y_mul__0_n_98\, - P(6) => \y_mul__0_n_99\, - P(5 downto 4) => \^p\(1 downto 0), - P(3) => \y_mul__0_n_102\, - P(2) => \y_mul__0_n_103\, - P(1) => \y_mul__0_n_104\, - P(0) => \y_mul__0_n_105\, - PATTERNBDETECT => \NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED\, - PATTERNDETECT => \NLW_y_mul__0_PATTERNDETECT_UNCONNECTED\, - PCIN(47) => y_mul_n_106, - PCIN(46) => y_mul_n_107, - PCIN(45) => y_mul_n_108, - PCIN(44) => y_mul_n_109, - PCIN(43) => y_mul_n_110, - PCIN(42) => y_mul_n_111, - PCIN(41) => y_mul_n_112, - PCIN(40) => y_mul_n_113, - PCIN(39) => y_mul_n_114, - PCIN(38) => y_mul_n_115, - PCIN(37) => y_mul_n_116, - PCIN(36) => y_mul_n_117, - PCIN(35) => y_mul_n_118, - PCIN(34) => y_mul_n_119, - PCIN(33) => y_mul_n_120, - PCIN(32) => y_mul_n_121, - PCIN(31) => y_mul_n_122, - PCIN(30) => y_mul_n_123, - PCIN(29) => y_mul_n_124, - PCIN(28) => y_mul_n_125, - PCIN(27) => y_mul_n_126, - PCIN(26) => y_mul_n_127, - PCIN(25) => y_mul_n_128, - PCIN(24) => y_mul_n_129, - PCIN(23) => y_mul_n_130, - PCIN(22) => y_mul_n_131, - PCIN(21) => y_mul_n_132, - PCIN(20) => y_mul_n_133, - PCIN(19) => y_mul_n_134, - PCIN(18) => y_mul_n_135, - PCIN(17) => y_mul_n_136, - PCIN(16) => y_mul_n_137, - PCIN(15) => y_mul_n_138, - PCIN(14) => y_mul_n_139, - PCIN(13) => y_mul_n_140, - PCIN(12) => y_mul_n_141, - PCIN(11) => y_mul_n_142, - PCIN(10) => y_mul_n_143, - PCIN(9) => y_mul_n_144, - PCIN(8) => y_mul_n_145, - PCIN(7) => y_mul_n_146, - PCIN(6) => y_mul_n_147, - PCIN(5) => y_mul_n_148, - PCIN(4) => y_mul_n_149, - PCIN(3) => y_mul_n_150, - PCIN(2) => y_mul_n_151, - PCIN(1) => y_mul_n_152, - PCIN(0) => y_mul_n_153, - PCOUT(47 downto 0) => \NLW_y_mul__0_PCOUT_UNCONNECTED\(47 downto 0), - RSTA => '0', - RSTALLCARRYIN => '0', - RSTALUMODE => '0', - RSTB => '0', - RSTC => '0', - RSTCTRL => '0', - RSTD => '0', - RSTINMODE => '0', - RSTM => '0', - RSTP => '0', - UNDERFLOW => \NLW_y_mul__0_UNDERFLOW_UNCONNECTED\ - ); -\y_mul__1_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y_mul__1_carry_n_0\, - CO(2) => \y_mul__1_carry_n_1\, - CO(1) => \y_mul__1_carry_n_2\, - CO(0) => \y_mul__1_carry_n_3\, - CYINIT => '0', - DI(3) => \^cy1[0]\(0), - DI(2) => \y_mul__1_carry_i_2__0_n_0\, - DI(1) => \y_mul__1_carry_i_3__0_n_0\, - DI(0) => '0', - O(3) => \y_mul__1_carry_n_4\, - O(2) => \y_mul__1_carry_n_5\, - O(1) => \y_mul__1_carry_n_6\, - O(0) => \y_mul__1_carry_n_7\, - S(3) => \i__carry_i_3__0_0\(0), - S(2) => \y_mul__1_carry_i_5__0_n_0\, - S(1) => \y_mul__1_carry_i_6__0_n_0\, - S(0) => \y_mul__1_carry_i_7__0_n_0\ - ); -\y_mul__1_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry_n_0\, - CO(3) => \y_mul__1_carry__0_n_0\, - CO(2) => \y_mul__1_carry__0_n_1\, - CO(1) => \y_mul__1_carry__0_n_2\, - CO(0) => \y_mul__1_carry__0_n_3\, - CYINIT => '0', - DI(3 downto 0) => \i__carry__0_i_3__0_0\(3 downto 0), - O(3) => \y_mul__1_carry__0_n_4\, - O(2) => \y_mul__1_carry__0_n_5\, - O(1) => \y_mul__1_carry__0_n_6\, - O(0) => \y_mul__1_carry__0_n_7\, - S(3 downto 0) => \i__carry__0_i_3__0_1\(3 downto 0) - ); -\y_mul__1_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__0_n_0\, - CO(3) => \y_mul__1_carry__1_n_0\, - CO(2) => \y_mul__1_carry__1_n_1\, - CO(1) => \y_mul__1_carry__1_n_2\, - CO(0) => \y_mul__1_carry__1_n_3\, - CYINIT => '0', - DI(3 downto 0) => \i__carry__1_i_3__0_0\(3 downto 0), - O(3) => \y_mul__1_carry__1_n_4\, - O(2) => \y_mul__1_carry__1_n_5\, - O(1) => \y_mul__1_carry__1_n_6\, - O(0) => \y_mul__1_carry__1_n_7\, - S(3 downto 0) => \i__carry__1_i_3__0_1\(3 downto 0) - ); -\y_mul__1_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__1_n_0\, - CO(3) => \y_mul__1_carry__2_n_0\, - CO(2) => \y_mul__1_carry__2_n_1\, - CO(1) => \y_mul__1_carry__2_n_2\, - CO(0) => \y_mul__1_carry__2_n_3\, - CYINIT => '0', - DI(3 downto 0) => \i__carry__2_i_3__0_0\(3 downto 0), - O(3) => \y_mul__1_carry__2_n_4\, - O(2) => \y_mul__1_carry__2_n_5\, - O(1) => \y_mul__1_carry__2_n_6\, - O(0) => \y_mul__1_carry__2_n_7\, - S(3 downto 0) => \i__carry__2_i_3__0_1\(3 downto 0) - ); -\y_mul__1_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__2_n_0\, - CO(3) => \y_mul__1_carry__3_n_0\, - CO(2) => \y_mul__1_carry__3_n_1\, - CO(1) => \y_mul__1_carry__3_n_2\, - CO(0) => \y_mul__1_carry__3_n_3\, - CYINIT => '0', - DI(3 downto 0) => \i__carry__3_i_3__0_0\(3 downto 0), - O(3) => \y_mul__1_carry__3_n_4\, - O(2) => \y_mul__1_carry__3_n_5\, - O(1) => \y_mul__1_carry__3_n_6\, - O(0) => \y_mul__1_carry__3_n_7\, - S(3 downto 0) => \i__carry__3_i_3__0_1\(3 downto 0) - ); -\y_mul__1_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__3_n_0\, - CO(3) => \y_mul__1_carry__4_n_0\, - CO(2) => \y_mul__1_carry__4_n_1\, - CO(1) => \y_mul__1_carry__4_n_2\, - CO(0) => \y_mul__1_carry__4_n_3\, - CYINIT => '0', - DI(3) => \^cy1[21]\(0), - DI(2 downto 0) => \i__carry__4_i_3__0_0\(2 downto 0), - O(3) => \y_mul__1_carry__4_n_4\, - O(2) => \y_mul__1_carry__4_n_5\, - O(1) => \y_mul__1_carry__4_n_6\, - O(0) => \y_mul__1_carry__4_n_7\, - S(3 downto 0) => \i__carry__4_i_3__0_1\(3 downto 0) - ); -\y_mul__1_carry__4_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"7888877787778777" - ) - port map ( - I0 => cy1(21), - I1 => \y_mul__1_carry__5_0\(0), - I2 => cy1(22), - I3 => \y_mul__1_carry__5_1\(1), - I4 => \y_mul__1_carry__5_1\(0), - I5 => cy1(23), - O => \^cy1[21]\(0) - ); -\y_mul__1_carry__4_i_9__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy1(21), - I1 => \y_mul__1_carry__5_1\(1), - O => \cy1[21]_0\ - ); -\y_mul__1_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__4_n_0\, - CO(3) => \NLW_y_mul__1_carry__5_CO_UNCONNECTED\(3), - CO(2) => \y_mul__1_carry__5_n_1\, - CO(1) => \NLW_y_mul__1_carry__5_CO_UNCONNECTED\(1), - CO(0) => \y_mul__1_carry__5_n_3\, - CYINIT => '0', - DI(3 downto 2) => B"00", - DI(1 downto 0) => \i__carry__5_i_3__0_0\(1 downto 0), - O(3 downto 2) => \NLW_y_mul__1_carry__5_O_UNCONNECTED\(3 downto 2), - O(1) => \y_mul__1_carry__5_n_6\, - O(0) => \y_mul__1_carry__5_n_7\, - S(3 downto 2) => B"01", - S(1) => \y_mul__1_carry__5_i_3__0_n_0\, - S(0) => \i__carry__5_i_3__0_1\(0) - ); -\y_mul__1_carry__5_i_3__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"E8FFDFFF" - ) - port map ( - I0 => cy1(21), - I1 => \y_mul__1_carry__5_1\(1), - I2 => cy1(22), - I3 => \y_mul__1_carry__5_0\(0), - I4 => cy1(23), - O => \y_mul__1_carry__5_i_3__0_n_0\ - ); -\y_mul__1_carry_i_1__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"F777" - ) - port map ( - I0 => \y_mul__1_carry__5_0\(0), - I1 => cy1(0), - I2 => \y_mul__1_carry__5_1\(1), - I3 => cy1(1), - O => \^cy1[0]\(0) - ); -\y_mul__1_carry_i_2__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"7888" - ) - port map ( - I0 => \y_mul__1_carry__5_1\(1), - I1 => cy1(1), - I2 => \y_mul__1_carry__5_0\(0), - I3 => cy1(0), - O => \y_mul__1_carry_i_2__0_n_0\ - ); -\y_mul__1_carry_i_3__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => \y_mul__1_carry__5_1\(0), - I1 => cy1(1), - O => \y_mul__1_carry_i_3__0_n_0\ - ); -\y_mul__1_carry_i_5__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8777788878887888" - ) - port map ( - I0 => cy1(0), - I1 => \y_mul__1_carry__5_0\(0), - I2 => cy1(1), - I3 => \y_mul__1_carry__5_1\(1), - I4 => \y_mul__1_carry__5_1\(0), - I5 => cy1(2), - O => \y_mul__1_carry_i_5__0_n_0\ - ); -\y_mul__1_carry_i_6__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"7888" - ) - port map ( - I0 => \y_mul__1_carry__5_1\(0), - I1 => cy1(1), - I2 => \y_mul__1_carry__5_1\(1), - I3 => cy1(0), - O => \y_mul__1_carry_i_6__0_n_0\ - ); -\y_mul__1_carry_i_7__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cy1(0), - I1 => \y_mul__1_carry__5_1\(0), - O => \y_mul__1_carry_i_7__0_n_0\ - ); -\y_mul_inferred__1/i__carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y_mul_inferred__1/i__carry_n_0\, - CO(2) => \y_mul_inferred__1/i__carry_n_1\, - CO(1) => \y_mul_inferred__1/i__carry_n_2\, - CO(0) => \y_mul_inferred__1/i__carry_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_86\, - DI(2) => \y_mul__0_n_87\, - DI(1) => \y_mul__0_n_88\, - DI(0) => '0', - O(3 downto 0) => \y_mul__1__0\(36 downto 33), - S(3) => \i__carry_i_1__0_n_0\, - S(2) => \i__carry_i_2__0_n_0\, - S(1) => \i__carry_i_3__0_n_0\, - S(0) => \y_mul__0_n_89\ - ); -\y_mul_inferred__1/i__carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__0_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__0_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__0_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__0_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_82\, - DI(2) => \y_mul__0_n_83\, - DI(1) => \y_mul__0_n_84\, - DI(0) => \y_mul__0_n_85\, - O(3 downto 0) => \y_mul__1__0\(40 downto 37), - S(3) => \i__carry__0_i_1__0_n_0\, - S(2) => \i__carry__0_i_2__0_n_0\, - S(1) => \i__carry__0_i_3__0_n_0\, - S(0) => \i__carry__0_i_4__0_n_0\ - ); -\y_mul_inferred__1/i__carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__0_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__1_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__1_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__1_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__1_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_78\, - DI(2) => \y_mul__0_n_79\, - DI(1) => \y_mul__0_n_80\, - DI(0) => \y_mul__0_n_81\, - O(3 downto 0) => \y_mul__1__0\(44 downto 41), - S(3) => \i__carry__1_i_1__0_n_0\, - S(2) => \i__carry__1_i_2__0_n_0\, - S(1) => \i__carry__1_i_3__0_n_0\, - S(0) => \i__carry__1_i_4__0_n_0\ - ); -\y_mul_inferred__1/i__carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__1_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__2_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__2_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__2_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__2_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_74\, - DI(2) => \y_mul__0_n_75\, - DI(1) => \y_mul__0_n_76\, - DI(0) => \y_mul__0_n_77\, - O(3 downto 0) => \y_mul__1__0\(48 downto 45), - S(3) => \i__carry__2_i_1__0_n_0\, - S(2) => \i__carry__2_i_2__0_n_0\, - S(1) => \i__carry__2_i_3__0_n_0\, - S(0) => \i__carry__2_i_4__0_n_0\ - ); -\y_mul_inferred__1/i__carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__2_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__3_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__3_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__3_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__3_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_70\, - DI(2) => \y_mul__0_n_71\, - DI(1) => \y_mul__0_n_72\, - DI(0) => \y_mul__0_n_73\, - O(3 downto 0) => \y_mul__1__0\(52 downto 49), - S(3) => \i__carry__3_i_1__0_n_0\, - S(2) => \i__carry__3_i_2__0_n_0\, - S(1) => \i__carry__3_i_3__0_n_0\, - S(0) => \i__carry__3_i_4__0_n_0\ - ); -\y_mul_inferred__1/i__carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__3_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__4_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__4_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__4_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__4_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_66\, - DI(2) => \y_mul__0_n_67\, - DI(1) => \y_mul__0_n_68\, - DI(0) => \y_mul__0_n_69\, - O(3 downto 0) => \y_mul__1__0\(56 downto 53), - S(3) => \i__carry__4_i_1__0_n_0\, - S(2) => \i__carry__4_i_2__0_n_0\, - S(1) => \i__carry__4_i_3__0_n_0\, - S(0) => \i__carry__4_i_4__0_n_0\ - ); -\y_mul_inferred__1/i__carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__4_n_0\, - CO(3) => \NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED\(3), - CO(2) => \y_mul_inferred__1/i__carry__5_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__5_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__5_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \y_mul__0_n_63\, - DI(1) => \y_mul__0_n_64\, - DI(0) => \y_mul__0_n_65\, - O(3 downto 0) => \y_mul__1__0\(60 downto 57), - S(3) => \i__carry__5_i_1__0_n_0\, - S(2) => \i__carry__5_i_2__0_n_0\, - S(1) => \i__carry__5_i_3__0_n_0\, - S(0) => \i__carry__5_i_4__0_n_0\ - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_IIR_filter_0_0_iir_filter_tap_1 is - port ( - \cy2[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \cy2[21]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - DI : out STD_LOGIC_VECTOR ( 1 downto 0 ); - Q : out STD_LOGIC_VECTOR ( 38 downto 0 ); - \intreg_reg[1][39]_0\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - \cy2[21]_0\ : out STD_LOGIC; - out_m : out STD_LOGIC; - \intreg_reg[1][38]_0\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); - S : out STD_LOGIC_VECTOR ( 0 to 0 ); - B : in STD_LOGIC_VECTOR ( 16 downto 0 ); - cy2 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - \y_mul__0_0\ : in STD_LOGIC_VECTOR ( 16 downto 0 ); - \i__carry_i_3__1_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \i__carry__0_i_3__1_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__0_i_3__1_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__1_i_3__1_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__1_i_3__1_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__2_i_3__1_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__2_i_3__1_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__3_i_3__1_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__3_i_3__1_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__4_i_3__1_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \i__carry__4_i_3__1_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \i__carry__5_i_3__1_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \i__carry__5_i_3__1_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \y_mul__1_carry__5_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \y_mul__1_carry__5_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - cx2 : in STD_LOGIC_VECTOR ( 3 downto 0 ); - ch : in STD_LOGIC; - P : in STD_LOGIC_VECTOR ( 1 downto 0 ); - ce : in STD_LOGIC; - \x_mul1__0_carry__7_0\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); - cx1 : in STD_LOGIC_VECTOR ( 0 to 0 ); - O : in STD_LOGIC_VECTOR ( 0 to 0 ); - clk : in STD_LOGIC; - reset : in STD_LOGIC - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of audio_IIR_filter_0_0_iir_filter_tap_1 : entity is "iir_filter_tap"; -end audio_IIR_filter_0_0_iir_filter_tap_1; - -architecture STRUCTURE of audio_IIR_filter_0_0_iir_filter_tap_1 is - signal \^di\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^q\ : STD_LOGIC_VECTOR ( 38 downto 0 ); - signal \^cy2[0]\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^cy2[21]\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \i__carry__0_i_1__1_n_0\ : STD_LOGIC; - signal \i__carry__0_i_2__1_n_0\ : STD_LOGIC; - signal \i__carry__0_i_3__1_n_0\ : STD_LOGIC; - signal \i__carry__0_i_4__1_n_0\ : STD_LOGIC; - signal \i__carry__1_i_1__1_n_0\ : STD_LOGIC; - signal \i__carry__1_i_2__1_n_0\ : STD_LOGIC; - signal \i__carry__1_i_3__1_n_0\ : STD_LOGIC; - signal \i__carry__1_i_4__1_n_0\ : STD_LOGIC; - signal \i__carry__2_i_1__1_n_0\ : STD_LOGIC; - signal \i__carry__2_i_2__1_n_0\ : STD_LOGIC; - signal \i__carry__2_i_3__1_n_0\ : STD_LOGIC; - signal \i__carry__2_i_4__1_n_0\ : STD_LOGIC; - signal \i__carry__3_i_1__1_n_0\ : STD_LOGIC; - signal \i__carry__3_i_2__1_n_0\ : STD_LOGIC; - signal \i__carry__3_i_3__1_n_0\ : STD_LOGIC; - signal \i__carry__3_i_4__1_n_0\ : STD_LOGIC; - signal \i__carry__4_i_1__1_n_0\ : STD_LOGIC; - signal \i__carry__4_i_2__1_n_0\ : STD_LOGIC; - signal \i__carry__4_i_3__1_n_0\ : STD_LOGIC; - signal \i__carry__4_i_4__1_n_0\ : STD_LOGIC; - signal \i__carry__5_i_1__1_n_0\ : STD_LOGIC; - signal \i__carry__5_i_2__1_n_0\ : STD_LOGIC; - signal \i__carry__5_i_3__1_n_0\ : STD_LOGIC; - signal \i__carry__5_i_4__1_n_0\ : STD_LOGIC; - signal \i__carry_i_1__1_n_0\ : STD_LOGIC; - signal \i__carry_i_2__1_n_0\ : STD_LOGIC; - signal \i__carry_i_3__1_n_0\ : STD_LOGIC; - signal \intreg_reg[0]_4\ : STD_LOGIC_VECTOR ( 38 to 38 ); - signal \^intreg_reg[1][39]_0\ : STD_LOGIC_VECTOR ( 38 downto 0 ); - signal \intreg_reg[1]_5\ : STD_LOGIC_VECTOR ( 38 to 38 ); - signal \^out_m\ : STD_LOGIC; - signal p_4_out : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \p_4_out_carry__0_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_i_8__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_n_0\ : STD_LOGIC; - signal \p_4_out_carry__0_n_1\ : STD_LOGIC; - signal \p_4_out_carry__0_n_2\ : STD_LOGIC; - signal \p_4_out_carry__0_n_3\ : STD_LOGIC; - signal \p_4_out_carry__1_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_i_8__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__1_n_1\ : STD_LOGIC; - signal \p_4_out_carry__1_n_2\ : STD_LOGIC; - signal \p_4_out_carry__1_n_3\ : STD_LOGIC; - signal \p_4_out_carry__2_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_i_8__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_n_0\ : STD_LOGIC; - signal \p_4_out_carry__2_n_1\ : STD_LOGIC; - signal \p_4_out_carry__2_n_2\ : STD_LOGIC; - signal \p_4_out_carry__2_n_3\ : STD_LOGIC; - signal \p_4_out_carry__3_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_i_8__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_n_0\ : STD_LOGIC; - signal \p_4_out_carry__3_n_1\ : STD_LOGIC; - signal \p_4_out_carry__3_n_2\ : STD_LOGIC; - signal \p_4_out_carry__3_n_3\ : STD_LOGIC; - signal \p_4_out_carry__4_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_i_8__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_n_0\ : STD_LOGIC; - signal \p_4_out_carry__4_n_1\ : STD_LOGIC; - signal \p_4_out_carry__4_n_2\ : STD_LOGIC; - signal \p_4_out_carry__4_n_3\ : STD_LOGIC; - signal \p_4_out_carry__5_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_i_8__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_n_0\ : STD_LOGIC; - signal \p_4_out_carry__5_n_1\ : STD_LOGIC; - signal \p_4_out_carry__5_n_2\ : STD_LOGIC; - signal \p_4_out_carry__5_n_3\ : STD_LOGIC; - signal \p_4_out_carry__6_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_i_8__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_n_0\ : STD_LOGIC; - signal \p_4_out_carry__6_n_1\ : STD_LOGIC; - signal \p_4_out_carry__6_n_2\ : STD_LOGIC; - signal \p_4_out_carry__6_n_3\ : STD_LOGIC; - signal \p_4_out_carry__7_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_i_8__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_n_0\ : STD_LOGIC; - signal \p_4_out_carry__7_n_1\ : STD_LOGIC; - signal \p_4_out_carry__7_n_2\ : STD_LOGIC; - signal \p_4_out_carry__7_n_3\ : STD_LOGIC; - signal \p_4_out_carry__8_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_2__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_3__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_i_7__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry__8_n_1\ : STD_LOGIC; - signal \p_4_out_carry__8_n_2\ : STD_LOGIC; - signal \p_4_out_carry__8_n_3\ : STD_LOGIC; - signal \p_4_out_carry_i_1__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry_i_2__1_n_0\ : STD_LOGIC; - signal p_4_out_carry_i_3_n_0 : STD_LOGIC; - signal \p_4_out_carry_i_4__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry_i_5__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry_i_6__1_n_0\ : STD_LOGIC; - signal \p_4_out_carry_i_7__1_n_0\ : STD_LOGIC; - signal p_4_out_carry_n_0 : STD_LOGIC; - signal p_4_out_carry_n_1 : STD_LOGIC; - signal p_4_out_carry_n_2 : STD_LOGIC; - signal p_4_out_carry_n_3 : STD_LOGIC; - signal tap2 : STD_LOGIC_VECTOR ( 1 to 1 ); - signal \x_mul1__0_carry__0_i_10_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_11_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_12_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_8__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_i_9_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__0_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_10_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_11_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_12_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_8__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_i_9_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__1_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_10_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_11_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_12_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_8__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_i_9_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__2_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_10_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_11_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_12_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_8__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_i_9_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__3_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_10_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_11_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_12_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_8__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_i_9_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__4_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_10_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_11_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_12_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_8__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_i_9_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__5_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_10_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_11_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_12_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_i_8__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__6_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__7_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry__8_n_3\ : STD_LOGIC; - signal \x_mul1__0_carry_i_10_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_1__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_2__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_3__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_4__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_5__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_6__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_7__1_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_8_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_i_9_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_n_0\ : STD_LOGIC; - signal \x_mul1__0_carry_n_1\ : STD_LOGIC; - signal \x_mul1__0_carry_n_2\ : STD_LOGIC; - signal \x_mul1__0_carry_n_3\ : STD_LOGIC; - signal x_mul2_out : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \x_mul__39\ : STD_LOGIC_VECTOR ( 34 to 34 ); - signal \y_mul__0_n_100\ : STD_LOGIC; - signal \y_mul__0_n_101\ : STD_LOGIC; - signal \y_mul__0_n_102\ : STD_LOGIC; - signal \y_mul__0_n_103\ : STD_LOGIC; - signal \y_mul__0_n_104\ : STD_LOGIC; - signal \y_mul__0_n_105\ : STD_LOGIC; - signal \y_mul__0_n_62\ : STD_LOGIC; - signal \y_mul__0_n_63\ : STD_LOGIC; - signal \y_mul__0_n_64\ : STD_LOGIC; - signal \y_mul__0_n_65\ : STD_LOGIC; - signal \y_mul__0_n_66\ : STD_LOGIC; - signal \y_mul__0_n_67\ : STD_LOGIC; - signal \y_mul__0_n_68\ : STD_LOGIC; - signal \y_mul__0_n_69\ : STD_LOGIC; - signal \y_mul__0_n_70\ : STD_LOGIC; - signal \y_mul__0_n_71\ : STD_LOGIC; - signal \y_mul__0_n_72\ : STD_LOGIC; - signal \y_mul__0_n_73\ : STD_LOGIC; - signal \y_mul__0_n_74\ : STD_LOGIC; - signal \y_mul__0_n_75\ : STD_LOGIC; - signal \y_mul__0_n_76\ : STD_LOGIC; - signal \y_mul__0_n_77\ : STD_LOGIC; - signal \y_mul__0_n_78\ : STD_LOGIC; - signal \y_mul__0_n_79\ : STD_LOGIC; - signal \y_mul__0_n_80\ : STD_LOGIC; - signal \y_mul__0_n_81\ : STD_LOGIC; - signal \y_mul__0_n_82\ : STD_LOGIC; - signal \y_mul__0_n_83\ : STD_LOGIC; - signal \y_mul__0_n_84\ : STD_LOGIC; - signal \y_mul__0_n_85\ : STD_LOGIC; - signal \y_mul__0_n_86\ : STD_LOGIC; - signal \y_mul__0_n_87\ : STD_LOGIC; - signal \y_mul__0_n_88\ : STD_LOGIC; - signal \y_mul__0_n_89\ : STD_LOGIC; - signal \y_mul__0_n_90\ : STD_LOGIC; - signal \y_mul__0_n_91\ : STD_LOGIC; - signal \y_mul__0_n_92\ : STD_LOGIC; - signal \y_mul__0_n_93\ : STD_LOGIC; - signal \y_mul__0_n_94\ : STD_LOGIC; - signal \y_mul__0_n_95\ : STD_LOGIC; - signal \y_mul__0_n_96\ : STD_LOGIC; - signal \y_mul__0_n_97\ : STD_LOGIC; - signal \y_mul__0_n_98\ : STD_LOGIC; - signal \y_mul__0_n_99\ : STD_LOGIC; - signal \y_mul__1__0\ : STD_LOGIC_VECTOR ( 60 downto 33 ); - signal \y_mul__1_carry__0_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__0_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__1_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__2_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__3_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_2\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_4\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_5\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__4_n_7\ : STD_LOGIC; - signal \y_mul__1_carry__5_i_3__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_1\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_3\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_6\ : STD_LOGIC; - signal \y_mul__1_carry__5_n_7\ : STD_LOGIC; - signal \y_mul__1_carry_i_2__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_3__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_5__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_6__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_i_7__1_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_n_0\ : STD_LOGIC; - signal \y_mul__1_carry_n_1\ : STD_LOGIC; - signal \y_mul__1_carry_n_2\ : STD_LOGIC; - signal \y_mul__1_carry_n_3\ : STD_LOGIC; - signal \y_mul__1_carry_n_4\ : STD_LOGIC; - signal \y_mul__1_carry_n_5\ : STD_LOGIC; - signal \y_mul__1_carry_n_6\ : STD_LOGIC; - signal \y_mul__1_carry_n_7\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__0_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__1_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__2_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__3_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__4_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry__5_n_3\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_0\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_1\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_2\ : STD_LOGIC; - signal \y_mul_inferred__1/i__carry_n_3\ : STD_LOGIC; - signal y_mul_n_100 : STD_LOGIC; - signal y_mul_n_101 : STD_LOGIC; - signal y_mul_n_102 : STD_LOGIC; - signal y_mul_n_103 : STD_LOGIC; - signal y_mul_n_104 : STD_LOGIC; - signal y_mul_n_105 : STD_LOGIC; - signal y_mul_n_106 : STD_LOGIC; - signal y_mul_n_107 : STD_LOGIC; - signal y_mul_n_108 : STD_LOGIC; - signal y_mul_n_109 : STD_LOGIC; - signal y_mul_n_110 : STD_LOGIC; - signal y_mul_n_111 : STD_LOGIC; - signal y_mul_n_112 : STD_LOGIC; - signal y_mul_n_113 : STD_LOGIC; - signal y_mul_n_114 : STD_LOGIC; - signal y_mul_n_115 : STD_LOGIC; - signal y_mul_n_116 : STD_LOGIC; - signal y_mul_n_117 : STD_LOGIC; - signal y_mul_n_118 : STD_LOGIC; - signal y_mul_n_119 : STD_LOGIC; - signal y_mul_n_120 : STD_LOGIC; - signal y_mul_n_121 : STD_LOGIC; - signal y_mul_n_122 : STD_LOGIC; - signal y_mul_n_123 : STD_LOGIC; - signal y_mul_n_124 : STD_LOGIC; - signal y_mul_n_125 : STD_LOGIC; - signal y_mul_n_126 : STD_LOGIC; - signal y_mul_n_127 : STD_LOGIC; - signal y_mul_n_128 : STD_LOGIC; - signal y_mul_n_129 : STD_LOGIC; - signal y_mul_n_130 : STD_LOGIC; - signal y_mul_n_131 : STD_LOGIC; - signal y_mul_n_132 : STD_LOGIC; - signal y_mul_n_133 : STD_LOGIC; - signal y_mul_n_134 : STD_LOGIC; - signal y_mul_n_135 : STD_LOGIC; - signal y_mul_n_136 : STD_LOGIC; - signal y_mul_n_137 : STD_LOGIC; - signal y_mul_n_138 : STD_LOGIC; - signal y_mul_n_139 : STD_LOGIC; - signal y_mul_n_140 : STD_LOGIC; - signal y_mul_n_141 : STD_LOGIC; - signal y_mul_n_142 : STD_LOGIC; - signal y_mul_n_143 : STD_LOGIC; - signal y_mul_n_144 : STD_LOGIC; - signal y_mul_n_145 : STD_LOGIC; - signal y_mul_n_146 : STD_LOGIC; - signal y_mul_n_147 : STD_LOGIC; - signal y_mul_n_148 : STD_LOGIC; - signal y_mul_n_149 : STD_LOGIC; - signal y_mul_n_150 : STD_LOGIC; - signal y_mul_n_151 : STD_LOGIC; - signal y_mul_n_152 : STD_LOGIC; - signal y_mul_n_153 : STD_LOGIC; - signal y_mul_n_58 : STD_LOGIC; - signal y_mul_n_59 : STD_LOGIC; - signal y_mul_n_60 : STD_LOGIC; - signal y_mul_n_61 : STD_LOGIC; - signal y_mul_n_62 : STD_LOGIC; - signal y_mul_n_63 : STD_LOGIC; - signal y_mul_n_64 : STD_LOGIC; - signal y_mul_n_65 : STD_LOGIC; - signal y_mul_n_66 : STD_LOGIC; - signal y_mul_n_67 : STD_LOGIC; - signal y_mul_n_68 : STD_LOGIC; - signal y_mul_n_69 : STD_LOGIC; - signal y_mul_n_70 : STD_LOGIC; - signal y_mul_n_71 : STD_LOGIC; - signal y_mul_n_72 : STD_LOGIC; - signal y_mul_n_73 : STD_LOGIC; - signal y_mul_n_74 : STD_LOGIC; - signal y_mul_n_75 : STD_LOGIC; - signal y_mul_n_76 : STD_LOGIC; - signal y_mul_n_77 : STD_LOGIC; - signal y_mul_n_78 : STD_LOGIC; - signal y_mul_n_79 : STD_LOGIC; - signal y_mul_n_80 : STD_LOGIC; - signal y_mul_n_81 : STD_LOGIC; - signal y_mul_n_82 : STD_LOGIC; - signal y_mul_n_83 : STD_LOGIC; - signal y_mul_n_84 : STD_LOGIC; - signal y_mul_n_85 : STD_LOGIC; - signal y_mul_n_86 : STD_LOGIC; - signal y_mul_n_87 : STD_LOGIC; - signal y_mul_n_88 : STD_LOGIC; - signal y_mul_n_89 : STD_LOGIC; - signal y_mul_n_90 : STD_LOGIC; - signal y_mul_n_91 : STD_LOGIC; - signal y_mul_n_92 : STD_LOGIC; - signal y_mul_n_93 : STD_LOGIC; - signal y_mul_n_94 : STD_LOGIC; - signal y_mul_n_95 : STD_LOGIC; - signal y_mul_n_96 : STD_LOGIC; - signal y_mul_n_97 : STD_LOGIC; - signal y_mul_n_98 : STD_LOGIC; - signal y_mul_n_99 : STD_LOGIC; - signal \NLW_p_4_out_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_x_mul1__0_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal NLW_y_mul_CARRYCASCOUT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_MULTSIGNOUT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_OVERFLOW_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_PATTERNBDETECT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_PATTERNDETECT_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_UNDERFLOW_UNCONNECTED : STD_LOGIC; - signal NLW_y_mul_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 ); - signal NLW_y_mul_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 ); - signal NLW_y_mul_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_OVERFLOW_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; - signal \NLW_y_mul__0_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); - signal \NLW_y_mul__0_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); - signal \NLW_y_mul__0_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_y_mul__0_P_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 44 ); - signal \NLW_y_mul__0_PCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 0 ); - signal \NLW_y_mul__1_carry__5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_y_mul__1_carry__5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - attribute ramstyle : string; - attribute ramstyle of \intreg_reg[0][0]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][10]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][11]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][12]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][13]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][14]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][15]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][16]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][17]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][18]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][19]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][1]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][20]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][21]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][22]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][23]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][24]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][25]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][26]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][27]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][28]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][29]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][2]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][30]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][31]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][32]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][33]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][34]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][35]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][36]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][37]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][38]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][39]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][3]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][4]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][5]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][6]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][7]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][8]\ : label is "logic"; - attribute ramstyle of \intreg_reg[0][9]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][0]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][10]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][11]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][12]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][13]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][14]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][15]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][16]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][17]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][18]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][19]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][1]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][20]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][21]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][22]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][23]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][24]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][25]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][26]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][27]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][28]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][29]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][2]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][30]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][31]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][32]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][33]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][34]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][35]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][36]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][37]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][38]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][39]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][3]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][4]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][5]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][6]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][7]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][8]\ : label is "logic"; - attribute ramstyle of \intreg_reg[1][9]\ : label is "logic"; - attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of p_4_out_carry : label is 35; - attribute ADDER_THRESHOLD of \p_4_out_carry__0\ : label is 35; - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \p_4_out_carry__0_i_10__0\ : label is "soft_lutpair81"; - attribute SOFT_HLUTNM of \p_4_out_carry__0_i_11__0\ : label is "soft_lutpair81"; - attribute SOFT_HLUTNM of \p_4_out_carry__0_i_12__0\ : label is "soft_lutpair80"; - attribute HLUTNM : string; - attribute HLUTNM of \p_4_out_carry__0_i_1__1\ : label is "lutpair9"; - attribute HLUTNM of \p_4_out_carry__0_i_2__1\ : label is "lutpair8"; - attribute HLUTNM of \p_4_out_carry__0_i_3__1\ : label is "lutpair7"; - attribute HLUTNM of \p_4_out_carry__0_i_4__1\ : label is "lutpair6"; - attribute HLUTNM of \p_4_out_carry__0_i_5__1\ : label is "lutpair10"; - attribute HLUTNM of \p_4_out_carry__0_i_6__1\ : label is "lutpair9"; - attribute HLUTNM of \p_4_out_carry__0_i_7__1\ : label is "lutpair8"; - attribute HLUTNM of \p_4_out_carry__0_i_8__1\ : label is "lutpair7"; - attribute SOFT_HLUTNM of \p_4_out_carry__0_i_9__0\ : label is "soft_lutpair82"; - attribute ADDER_THRESHOLD of \p_4_out_carry__1\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__1_i_10__0\ : label is "soft_lutpair83"; - attribute SOFT_HLUTNM of \p_4_out_carry__1_i_11__0\ : label is "soft_lutpair83"; - attribute SOFT_HLUTNM of \p_4_out_carry__1_i_12__0\ : label is "soft_lutpair82"; - attribute HLUTNM of \p_4_out_carry__1_i_1__1\ : label is "lutpair13"; - attribute HLUTNM of \p_4_out_carry__1_i_2__1\ : label is "lutpair12"; - attribute HLUTNM of \p_4_out_carry__1_i_3__1\ : label is "lutpair11"; - attribute HLUTNM of \p_4_out_carry__1_i_4__1\ : label is "lutpair10"; - attribute HLUTNM of \p_4_out_carry__1_i_5__1\ : label is "lutpair14"; - attribute HLUTNM of \p_4_out_carry__1_i_6__1\ : label is "lutpair13"; - attribute HLUTNM of \p_4_out_carry__1_i_7__1\ : label is "lutpair12"; - attribute HLUTNM of \p_4_out_carry__1_i_8__1\ : label is "lutpair11"; - attribute SOFT_HLUTNM of \p_4_out_carry__1_i_9__0\ : label is "soft_lutpair84"; - attribute ADDER_THRESHOLD of \p_4_out_carry__2\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__2_i_10__0\ : label is "soft_lutpair85"; - attribute SOFT_HLUTNM of \p_4_out_carry__2_i_11__0\ : label is "soft_lutpair85"; - attribute SOFT_HLUTNM of \p_4_out_carry__2_i_12__0\ : label is "soft_lutpair84"; - attribute HLUTNM of \p_4_out_carry__2_i_1__1\ : label is "lutpair17"; - attribute HLUTNM of \p_4_out_carry__2_i_2__1\ : label is "lutpair16"; - attribute HLUTNM of \p_4_out_carry__2_i_3__1\ : label is "lutpair15"; - attribute HLUTNM of \p_4_out_carry__2_i_4__1\ : label is "lutpair14"; - attribute HLUTNM of \p_4_out_carry__2_i_5__1\ : label is "lutpair18"; - attribute HLUTNM of \p_4_out_carry__2_i_6__1\ : label is "lutpair17"; - attribute HLUTNM of \p_4_out_carry__2_i_7__1\ : label is "lutpair16"; - attribute HLUTNM of \p_4_out_carry__2_i_8__1\ : label is "lutpair15"; - attribute SOFT_HLUTNM of \p_4_out_carry__2_i_9__0\ : label is "soft_lutpair86"; - attribute ADDER_THRESHOLD of \p_4_out_carry__3\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__3_i_10__0\ : label is "soft_lutpair87"; - attribute SOFT_HLUTNM of \p_4_out_carry__3_i_11__0\ : label is "soft_lutpair87"; - attribute SOFT_HLUTNM of \p_4_out_carry__3_i_12__0\ : label is "soft_lutpair86"; - attribute HLUTNM of \p_4_out_carry__3_i_1__1\ : label is "lutpair21"; - attribute HLUTNM of \p_4_out_carry__3_i_2__1\ : label is "lutpair20"; - attribute HLUTNM of \p_4_out_carry__3_i_3__1\ : label is "lutpair19"; - attribute HLUTNM of \p_4_out_carry__3_i_4__1\ : label is "lutpair18"; - attribute HLUTNM of \p_4_out_carry__3_i_5__1\ : label is "lutpair22"; - attribute HLUTNM of \p_4_out_carry__3_i_6__1\ : label is "lutpair21"; - attribute HLUTNM of \p_4_out_carry__3_i_7__1\ : label is "lutpair20"; - attribute HLUTNM of \p_4_out_carry__3_i_8__1\ : label is "lutpair19"; - attribute SOFT_HLUTNM of \p_4_out_carry__3_i_9__0\ : label is "soft_lutpair88"; - attribute ADDER_THRESHOLD of \p_4_out_carry__4\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__4_i_10__0\ : label is "soft_lutpair89"; - attribute SOFT_HLUTNM of \p_4_out_carry__4_i_11__0\ : label is "soft_lutpair89"; - attribute SOFT_HLUTNM of \p_4_out_carry__4_i_12__0\ : label is "soft_lutpair88"; - attribute HLUTNM of \p_4_out_carry__4_i_1__1\ : label is "lutpair25"; - attribute HLUTNM of \p_4_out_carry__4_i_2__1\ : label is "lutpair24"; - attribute HLUTNM of \p_4_out_carry__4_i_3__1\ : label is "lutpair23"; - attribute HLUTNM of \p_4_out_carry__4_i_4__1\ : label is "lutpair22"; - attribute HLUTNM of \p_4_out_carry__4_i_6__1\ : label is "lutpair25"; - attribute HLUTNM of \p_4_out_carry__4_i_7__1\ : label is "lutpair24"; - attribute HLUTNM of \p_4_out_carry__4_i_8__1\ : label is "lutpair23"; - attribute SOFT_HLUTNM of \p_4_out_carry__4_i_9__0\ : label is "soft_lutpair90"; - attribute ADDER_THRESHOLD of \p_4_out_carry__5\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__5_i_10__0\ : label is "soft_lutpair91"; - attribute SOFT_HLUTNM of \p_4_out_carry__5_i_11__0\ : label is "soft_lutpair91"; - attribute SOFT_HLUTNM of \p_4_out_carry__5_i_12__0\ : label is "soft_lutpair90"; - attribute HLUTNM of \p_4_out_carry__5_i_1__1\ : label is "lutpair26"; - attribute HLUTNM of \p_4_out_carry__5_i_6__1\ : label is "lutpair26"; - attribute SOFT_HLUTNM of \p_4_out_carry__5_i_9__0\ : label is "soft_lutpair92"; - attribute ADDER_THRESHOLD of \p_4_out_carry__6\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__6_i_10__0\ : label is "soft_lutpair93"; - attribute SOFT_HLUTNM of \p_4_out_carry__6_i_11__0\ : label is "soft_lutpair93"; - attribute SOFT_HLUTNM of \p_4_out_carry__6_i_12__0\ : label is "soft_lutpair92"; - attribute HLUTNM of \p_4_out_carry__6_i_1__1\ : label is "lutpair27"; - attribute HLUTNM of \p_4_out_carry__6_i_6__1\ : label is "lutpair27"; - attribute SOFT_HLUTNM of \p_4_out_carry__6_i_9__0\ : label is "soft_lutpair94"; - attribute ADDER_THRESHOLD of \p_4_out_carry__7\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__7_i_10__0\ : label is "soft_lutpair95"; - attribute SOFT_HLUTNM of \p_4_out_carry__7_i_11__0\ : label is "soft_lutpair95"; - attribute SOFT_HLUTNM of \p_4_out_carry__7_i_12__0\ : label is "soft_lutpair94"; - attribute HLUTNM of \p_4_out_carry__7_i_1__1\ : label is "lutpair28"; - attribute HLUTNM of \p_4_out_carry__7_i_5__1\ : label is "lutpair29"; - attribute HLUTNM of \p_4_out_carry__7_i_6__1\ : label is "lutpair28"; - attribute SOFT_HLUTNM of \p_4_out_carry__7_i_9__0\ : label is "soft_lutpair96"; - attribute ADDER_THRESHOLD of \p_4_out_carry__8\ : label is 35; - attribute SOFT_HLUTNM of \p_4_out_carry__8_i_10__0\ : label is "soft_lutpair97"; - attribute SOFT_HLUTNM of \p_4_out_carry__8_i_11__0\ : label is "soft_lutpair96"; - attribute HLUTNM of \p_4_out_carry__8_i_1__1\ : label is "lutpair31"; - attribute HLUTNM of \p_4_out_carry__8_i_2__1\ : label is "lutpair30"; - attribute HLUTNM of \p_4_out_carry__8_i_3__1\ : label is "lutpair29"; - attribute HLUTNM of \p_4_out_carry__8_i_6__1\ : label is "lutpair31"; - attribute HLUTNM of \p_4_out_carry__8_i_7__1\ : label is "lutpair30"; - attribute SOFT_HLUTNM of \p_4_out_carry__8_i_8__0\ : label is "soft_lutpair97"; - attribute SOFT_HLUTNM of \p_4_out_carry_i_10__0\ : label is "soft_lutpair79"; - attribute SOFT_HLUTNM of \p_4_out_carry_i_11__0\ : label is "soft_lutpair79"; - attribute HLUTNM of \p_4_out_carry_i_1__1\ : label is "lutpair5"; - attribute HLUTNM of \p_4_out_carry_i_2__1\ : label is "lutpair4"; - attribute HLUTNM of p_4_out_carry_i_3 : label is "lutpair3"; - attribute HLUTNM of \p_4_out_carry_i_4__1\ : label is "lutpair6"; - attribute HLUTNM of \p_4_out_carry_i_5__1\ : label is "lutpair5"; - attribute HLUTNM of \p_4_out_carry_i_6__1\ : label is "lutpair4"; - attribute HLUTNM of \p_4_out_carry_i_7__1\ : label is "lutpair3"; - attribute SOFT_HLUTNM of \p_4_out_carry_i_9__0\ : label is "soft_lutpair80"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry\ : label is 35; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__0\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_10\ : label is "soft_lutpair110"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_11\ : label is "soft_lutpair110"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_12\ : label is "soft_lutpair111"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__0_i_9\ : label is "soft_lutpair109"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__1\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_10\ : label is "soft_lutpair108"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_11\ : label is "soft_lutpair108"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_12\ : label is "soft_lutpair109"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__1_i_9\ : label is "soft_lutpair107"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__2\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_10\ : label is "soft_lutpair106"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_11\ : label is "soft_lutpair106"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_12\ : label is "soft_lutpair107"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__2_i_9\ : label is "soft_lutpair105"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__3\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_10\ : label is "soft_lutpair104"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_11\ : label is "soft_lutpair104"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_12\ : label is "soft_lutpair105"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__3_i_9\ : label is "soft_lutpair103"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__4\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_10\ : label is "soft_lutpair102"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_11\ : label is "soft_lutpair102"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_12\ : label is "soft_lutpair103"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__4_i_9\ : label is "soft_lutpair101"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__5\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_10\ : label is "soft_lutpair100"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_11\ : label is "soft_lutpair100"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_12\ : label is "soft_lutpair101"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__5_i_9\ : label is "soft_lutpair99"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__6\ : label is 35; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_10\ : label is "soft_lutpair98"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_11\ : label is "soft_lutpair98"; - attribute SOFT_HLUTNM of \x_mul1__0_carry__6_i_12\ : label is "soft_lutpair99"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__7\ : label is 35; - attribute HLUTNM of \x_mul1__0_carry__7_i_1__1\ : label is "lutpair2"; - attribute ADDER_THRESHOLD of \x_mul1__0_carry__8\ : label is 35; - attribute HLUTNM of \x_mul1__0_carry__8_i_3__1\ : label is "lutpair2"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_10\ : label is "soft_lutpair112"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_8\ : label is "soft_lutpair111"; - attribute SOFT_HLUTNM of \x_mul1__0_carry_i_9\ : label is "soft_lutpair112"; - attribute METHODOLOGY_DRC_VIOS : string; - attribute METHODOLOGY_DRC_VIOS of y_mul : label is "{SYNTH-10 {cell *THIS*} {string 18x24 3}}"; - attribute METHODOLOGY_DRC_VIOS of \y_mul__0\ : label is "{SYNTH-13 {cell *THIS*}}"; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__4\ : label is 35; - attribute ADDER_THRESHOLD of \y_mul_inferred__1/i__carry__5\ : label is 35; -begin - DI(1 downto 0) <= \^di\(1 downto 0); - E(0) <= \^e\(0); - Q(38 downto 0) <= \^q\(38 downto 0); - \cy2[0]\(0) <= \^cy2[0]\(0); - \cy2[21]\(0) <= \^cy2[21]\(0); - \intreg_reg[1][39]_0\(38 downto 0) <= \^intreg_reg[1][39]_0\(38 downto 0); - out_m <= \^out_m\; -\i__carry__0_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_82\, - I1 => \y_mul__1_carry__0_n_5\, - O => \i__carry__0_i_1__1_n_0\ - ); -\i__carry__0_i_2__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_83\, - I1 => \y_mul__1_carry__0_n_6\, - O => \i__carry__0_i_2__1_n_0\ - ); -\i__carry__0_i_3__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_84\, - I1 => \y_mul__1_carry__0_n_7\, - O => \i__carry__0_i_3__1_n_0\ - ); -\i__carry__0_i_4__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_85\, - I1 => \y_mul__1_carry_n_4\, - O => \i__carry__0_i_4__1_n_0\ - ); -\i__carry__1_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_78\, - I1 => \y_mul__1_carry__1_n_5\, - O => \i__carry__1_i_1__1_n_0\ - ); -\i__carry__1_i_2__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_79\, - I1 => \y_mul__1_carry__1_n_6\, - O => \i__carry__1_i_2__1_n_0\ - ); -\i__carry__1_i_3__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_80\, - I1 => \y_mul__1_carry__1_n_7\, - O => \i__carry__1_i_3__1_n_0\ - ); -\i__carry__1_i_4__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_81\, - I1 => \y_mul__1_carry__0_n_4\, - O => \i__carry__1_i_4__1_n_0\ - ); -\i__carry__2_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_74\, - I1 => \y_mul__1_carry__2_n_5\, - O => \i__carry__2_i_1__1_n_0\ - ); -\i__carry__2_i_2__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_75\, - I1 => \y_mul__1_carry__2_n_6\, - O => \i__carry__2_i_2__1_n_0\ - ); -\i__carry__2_i_3__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_76\, - I1 => \y_mul__1_carry__2_n_7\, - O => \i__carry__2_i_3__1_n_0\ - ); -\i__carry__2_i_4__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_77\, - I1 => \y_mul__1_carry__1_n_4\, - O => \i__carry__2_i_4__1_n_0\ - ); -\i__carry__3_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_70\, - I1 => \y_mul__1_carry__3_n_5\, - O => \i__carry__3_i_1__1_n_0\ - ); -\i__carry__3_i_2__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_71\, - I1 => \y_mul__1_carry__3_n_6\, - O => \i__carry__3_i_2__1_n_0\ - ); -\i__carry__3_i_3__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_72\, - I1 => \y_mul__1_carry__3_n_7\, - O => \i__carry__3_i_3__1_n_0\ - ); -\i__carry__3_i_4__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_73\, - I1 => \y_mul__1_carry__2_n_4\, - O => \i__carry__3_i_4__1_n_0\ - ); -\i__carry__4_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_66\, - I1 => \y_mul__1_carry__4_n_5\, - O => \i__carry__4_i_1__1_n_0\ - ); -\i__carry__4_i_2__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_67\, - I1 => \y_mul__1_carry__4_n_6\, - O => \i__carry__4_i_2__1_n_0\ - ); -\i__carry__4_i_3__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_68\, - I1 => \y_mul__1_carry__4_n_7\, - O => \i__carry__4_i_3__1_n_0\ - ); -\i__carry__4_i_4__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_69\, - I1 => \y_mul__1_carry__3_n_4\, - O => \i__carry__4_i_4__1_n_0\ - ); -\i__carry__5_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \y_mul__0_n_62\, - I1 => \y_mul__1_carry__5_n_1\, - O => \i__carry__5_i_1__1_n_0\ - ); -\i__carry__5_i_2__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_63\, - I1 => \y_mul__1_carry__5_n_6\, - O => \i__carry__5_i_2__1_n_0\ - ); -\i__carry__5_i_3__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_64\, - I1 => \y_mul__1_carry__5_n_7\, - O => \i__carry__5_i_3__1_n_0\ - ); -\i__carry__5_i_4__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_65\, - I1 => \y_mul__1_carry__4_n_4\, - O => \i__carry__5_i_4__1_n_0\ - ); -\i__carry_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_86\, - I1 => \y_mul__1_carry_n_5\, - O => \i__carry_i_1__1_n_0\ - ); -\i__carry_i_2__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_87\, - I1 => \y_mul__1_carry_n_6\, - O => \i__carry_i_2__1_n_0\ - ); -\i__carry_i_3__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \y_mul__0_n_88\, - I1 => \y_mul__1_carry_n_7\, - O => \i__carry_i_3__1_n_0\ - ); -\intreg[0][39]_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => ce, - I1 => ch, - O => \^e\(0) - ); -\intreg[1][39]_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => ce, - I1 => ch, - O => \^out_m\ - ); -\intreg_reg[0][0]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(0), - Q => \^q\(0) - ); -\intreg_reg[0][10]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(10), - Q => \^q\(10) - ); -\intreg_reg[0][11]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(11), - Q => \^q\(11) - ); -\intreg_reg[0][12]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(12), - Q => \^q\(12) - ); -\intreg_reg[0][13]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(13), - Q => \^q\(13) - ); -\intreg_reg[0][14]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(14), - Q => \^q\(14) - ); -\intreg_reg[0][15]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(15), - Q => \^q\(15) - ); -\intreg_reg[0][16]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(16), - Q => \^q\(16) - ); -\intreg_reg[0][17]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(17), - Q => \^q\(17) - ); -\intreg_reg[0][18]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(18), - Q => \^q\(18) - ); -\intreg_reg[0][19]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(19), - Q => \^q\(19) - ); -\intreg_reg[0][1]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(1), - Q => \^q\(1) - ); -\intreg_reg[0][20]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(20), - Q => \^q\(20) - ); -\intreg_reg[0][21]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(21), - Q => \^q\(21) - ); -\intreg_reg[0][22]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(22), - Q => \^q\(22) - ); -\intreg_reg[0][23]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(23), - Q => \^q\(23) - ); -\intreg_reg[0][24]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(24), - Q => \^q\(24) - ); -\intreg_reg[0][25]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(25), - Q => \^q\(25) - ); -\intreg_reg[0][26]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(26), - Q => \^q\(26) - ); -\intreg_reg[0][27]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(27), - Q => \^q\(27) - ); -\intreg_reg[0][28]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(28), - Q => \^q\(28) - ); -\intreg_reg[0][29]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(29), - Q => \^q\(29) - ); -\intreg_reg[0][2]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(2), - Q => \^q\(2) - ); -\intreg_reg[0][30]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(30), - Q => \^q\(30) - ); -\intreg_reg[0][31]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(31), - Q => \^q\(31) - ); -\intreg_reg[0][32]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(32), - Q => \^q\(32) - ); -\intreg_reg[0][33]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(33), - Q => \^q\(33) - ); -\intreg_reg[0][34]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(34), - Q => \^q\(34) - ); -\intreg_reg[0][35]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(35), - Q => \^q\(35) - ); -\intreg_reg[0][36]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(36), - Q => \^q\(36) - ); -\intreg_reg[0][37]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(37), - Q => \^q\(37) - ); -\intreg_reg[0][38]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(38), - Q => \intreg_reg[0]_4\(38) - ); -\intreg_reg[0][39]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(39), - Q => \^q\(38) - ); -\intreg_reg[0][3]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(3), - Q => \^q\(3) - ); -\intreg_reg[0][4]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(4), - Q => \^q\(4) - ); -\intreg_reg[0][5]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(5), - Q => \^q\(5) - ); -\intreg_reg[0][6]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(6), - Q => \^q\(6) - ); -\intreg_reg[0][7]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(7), - Q => \^q\(7) - ); -\intreg_reg[0][8]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(8), - Q => \^q\(8) - ); -\intreg_reg[0][9]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^e\(0), - CLR => reset, - D => p_4_out(9), - Q => \^q\(9) - ); -\intreg_reg[1][0]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(0), - Q => \^intreg_reg[1][39]_0\(0) - ); -\intreg_reg[1][10]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(10), - Q => \^intreg_reg[1][39]_0\(10) - ); -\intreg_reg[1][11]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(11), - Q => \^intreg_reg[1][39]_0\(11) - ); -\intreg_reg[1][12]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(12), - Q => \^intreg_reg[1][39]_0\(12) - ); -\intreg_reg[1][13]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(13), - Q => \^intreg_reg[1][39]_0\(13) - ); -\intreg_reg[1][14]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(14), - Q => \^intreg_reg[1][39]_0\(14) - ); -\intreg_reg[1][15]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(15), - Q => \^intreg_reg[1][39]_0\(15) - ); -\intreg_reg[1][16]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(16), - Q => \^intreg_reg[1][39]_0\(16) - ); -\intreg_reg[1][17]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(17), - Q => \^intreg_reg[1][39]_0\(17) - ); -\intreg_reg[1][18]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(18), - Q => \^intreg_reg[1][39]_0\(18) - ); -\intreg_reg[1][19]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(19), - Q => \^intreg_reg[1][39]_0\(19) - ); -\intreg_reg[1][1]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(1), - Q => \^intreg_reg[1][39]_0\(1) - ); -\intreg_reg[1][20]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(20), - Q => \^intreg_reg[1][39]_0\(20) - ); -\intreg_reg[1][21]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(21), - Q => \^intreg_reg[1][39]_0\(21) - ); -\intreg_reg[1][22]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(22), - Q => \^intreg_reg[1][39]_0\(22) - ); -\intreg_reg[1][23]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(23), - Q => \^intreg_reg[1][39]_0\(23) - ); -\intreg_reg[1][24]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(24), - Q => \^intreg_reg[1][39]_0\(24) - ); -\intreg_reg[1][25]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(25), - Q => \^intreg_reg[1][39]_0\(25) - ); -\intreg_reg[1][26]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(26), - Q => \^intreg_reg[1][39]_0\(26) - ); -\intreg_reg[1][27]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(27), - Q => \^intreg_reg[1][39]_0\(27) - ); -\intreg_reg[1][28]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(28), - Q => \^intreg_reg[1][39]_0\(28) - ); -\intreg_reg[1][29]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(29), - Q => \^intreg_reg[1][39]_0\(29) - ); -\intreg_reg[1][2]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(2), - Q => \^intreg_reg[1][39]_0\(2) - ); -\intreg_reg[1][30]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(30), - Q => \^intreg_reg[1][39]_0\(30) - ); -\intreg_reg[1][31]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(31), - Q => \^intreg_reg[1][39]_0\(31) - ); -\intreg_reg[1][32]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(32), - Q => \^intreg_reg[1][39]_0\(32) - ); -\intreg_reg[1][33]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(33), - Q => \^intreg_reg[1][39]_0\(33) - ); -\intreg_reg[1][34]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(34), - Q => \^intreg_reg[1][39]_0\(34) - ); -\intreg_reg[1][35]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(35), - Q => \^intreg_reg[1][39]_0\(35) - ); -\intreg_reg[1][36]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(36), - Q => \^intreg_reg[1][39]_0\(36) - ); -\intreg_reg[1][37]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(37), - Q => \^intreg_reg[1][39]_0\(37) - ); -\intreg_reg[1][38]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(38), - Q => \intreg_reg[1]_5\(38) - ); -\intreg_reg[1][39]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(39), - Q => \^intreg_reg[1][39]_0\(38) - ); -\intreg_reg[1][3]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(3), - Q => \^intreg_reg[1][39]_0\(3) - ); -\intreg_reg[1][4]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(4), - Q => \^intreg_reg[1][39]_0\(4) - ); -\intreg_reg[1][5]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(5), - Q => \^intreg_reg[1][39]_0\(5) - ); -\intreg_reg[1][6]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(6), - Q => \^intreg_reg[1][39]_0\(6) - ); -\intreg_reg[1][7]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(7), - Q => \^intreg_reg[1][39]_0\(7) - ); -\intreg_reg[1][8]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(8), - Q => \^intreg_reg[1][39]_0\(8) - ); -\intreg_reg[1][9]\: unisim.vcomponents.FDCE - port map ( - C => clk, - CE => \^out_m\, - CLR => reset, - D => p_4_out(9), - Q => \^intreg_reg[1][39]_0\(9) - ); -p_4_out_carry: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => p_4_out_carry_n_0, - CO(2) => p_4_out_carry_n_1, - CO(1) => p_4_out_carry_n_2, - CO(0) => p_4_out_carry_n_3, - CYINIT => '0', - DI(3) => \p_4_out_carry_i_1__1_n_0\, - DI(2) => \p_4_out_carry_i_2__1_n_0\, - DI(1) => p_4_out_carry_i_3_n_0, - DI(0) => \y_mul__0_n_101\, - O(3 downto 0) => p_4_out(3 downto 0), - S(3) => \p_4_out_carry_i_4__1_n_0\, - S(2) => \p_4_out_carry_i_5__1_n_0\, - S(1) => \p_4_out_carry_i_6__1_n_0\, - S(0) => \p_4_out_carry_i_7__1_n_0\ - ); -\p_4_out_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => p_4_out_carry_n_0, - CO(3) => \p_4_out_carry__0_n_0\, - CO(2) => \p_4_out_carry__0_n_1\, - CO(1) => \p_4_out_carry__0_n_2\, - CO(0) => \p_4_out_carry__0_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__0_i_1__1_n_0\, - DI(2) => \p_4_out_carry__0_i_2__1_n_0\, - DI(1) => \p_4_out_carry__0_i_3__1_n_0\, - DI(0) => \p_4_out_carry__0_i_4__1_n_0\, - O(3 downto 0) => p_4_out(7 downto 4), - S(3) => \p_4_out_carry__0_i_5__1_n_0\, - S(2) => \p_4_out_carry__0_i_6__1_n_0\, - S(1) => \p_4_out_carry__0_i_7__1_n_0\, - S(0) => \p_4_out_carry__0_i_8__1_n_0\ - ); -\p_4_out_carry__0_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(6), - I1 => \^q\(6), - I2 => ch, - O => \intreg_reg[1][38]_0\(4) - ); -\p_4_out_carry__0_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(5), - I1 => \^q\(5), - I2 => ch, - O => \intreg_reg[1][38]_0\(3) - ); -\p_4_out_carry__0_i_12__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(4), - I1 => \^q\(4), - I2 => ch, - O => \intreg_reg[1][38]_0\(2) - ); -\p_4_out_carry__0_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(6), - I1 => cx2(3), - I2 => \y_mul__0_n_95\, - O => \p_4_out_carry__0_i_1__1_n_0\ - ); -\p_4_out_carry__0_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(5), - I1 => cx2(3), - I2 => \y_mul__0_n_96\, - O => \p_4_out_carry__0_i_2__1_n_0\ - ); -\p_4_out_carry__0_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(4), - I1 => cx2(3), - I2 => \y_mul__0_n_97\, - O => \p_4_out_carry__0_i_3__1_n_0\ - ); -\p_4_out_carry__0_i_4__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(3), - I1 => cx2(3), - I2 => \y_mul__0_n_98\, - O => \p_4_out_carry__0_i_4__1_n_0\ - ); -\p_4_out_carry__0_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(7), - I1 => cx2(3), - I2 => \y_mul__0_n_94\, - I3 => \p_4_out_carry__0_i_1__1_n_0\, - O => \p_4_out_carry__0_i_5__1_n_0\ - ); -\p_4_out_carry__0_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(6), - I1 => cx2(3), - I2 => \y_mul__0_n_95\, - I3 => \p_4_out_carry__0_i_2__1_n_0\, - O => \p_4_out_carry__0_i_6__1_n_0\ - ); -\p_4_out_carry__0_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(5), - I1 => cx2(3), - I2 => \y_mul__0_n_96\, - I3 => \p_4_out_carry__0_i_3__1_n_0\, - O => \p_4_out_carry__0_i_7__1_n_0\ - ); -\p_4_out_carry__0_i_8__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(4), - I1 => cx2(3), - I2 => \y_mul__0_n_97\, - I3 => \p_4_out_carry__0_i_4__1_n_0\, - O => \p_4_out_carry__0_i_8__1_n_0\ - ); -\p_4_out_carry__0_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(7), - I1 => \^q\(7), - I2 => ch, - O => \intreg_reg[1][38]_0\(5) - ); -\p_4_out_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__0_n_0\, - CO(3) => \p_4_out_carry__1_n_0\, - CO(2) => \p_4_out_carry__1_n_1\, - CO(1) => \p_4_out_carry__1_n_2\, - CO(0) => \p_4_out_carry__1_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__1_i_1__1_n_0\, - DI(2) => \p_4_out_carry__1_i_2__1_n_0\, - DI(1) => \p_4_out_carry__1_i_3__1_n_0\, - DI(0) => \p_4_out_carry__1_i_4__1_n_0\, - O(3 downto 0) => p_4_out(11 downto 8), - S(3) => \p_4_out_carry__1_i_5__1_n_0\, - S(2) => \p_4_out_carry__1_i_6__1_n_0\, - S(1) => \p_4_out_carry__1_i_7__1_n_0\, - S(0) => \p_4_out_carry__1_i_8__1_n_0\ - ); -\p_4_out_carry__1_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(10), - I1 => \^q\(10), - I2 => ch, - O => \intreg_reg[1][38]_0\(8) - ); -\p_4_out_carry__1_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(9), - I1 => \^q\(9), - I2 => ch, - O => \intreg_reg[1][38]_0\(7) - ); -\p_4_out_carry__1_i_12__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(8), - I1 => \^q\(8), - I2 => ch, - O => \intreg_reg[1][38]_0\(6) - ); -\p_4_out_carry__1_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(10), - I1 => cx2(3), - I2 => \y_mul__0_n_91\, - O => \p_4_out_carry__1_i_1__1_n_0\ - ); -\p_4_out_carry__1_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(9), - I1 => cx2(3), - I2 => \y_mul__0_n_92\, - O => \p_4_out_carry__1_i_2__1_n_0\ - ); -\p_4_out_carry__1_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(8), - I1 => cx2(3), - I2 => \y_mul__0_n_93\, - O => \p_4_out_carry__1_i_3__1_n_0\ - ); -\p_4_out_carry__1_i_4__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(7), - I1 => cx2(3), - I2 => \y_mul__0_n_94\, - O => \p_4_out_carry__1_i_4__1_n_0\ - ); -\p_4_out_carry__1_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(11), - I1 => cx2(3), - I2 => \y_mul__0_n_90\, - I3 => \p_4_out_carry__1_i_1__1_n_0\, - O => \p_4_out_carry__1_i_5__1_n_0\ - ); -\p_4_out_carry__1_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(10), - I1 => cx2(3), - I2 => \y_mul__0_n_91\, - I3 => \p_4_out_carry__1_i_2__1_n_0\, - O => \p_4_out_carry__1_i_6__1_n_0\ - ); -\p_4_out_carry__1_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(9), - I1 => cx2(3), - I2 => \y_mul__0_n_92\, - I3 => \p_4_out_carry__1_i_3__1_n_0\, - O => \p_4_out_carry__1_i_7__1_n_0\ - ); -\p_4_out_carry__1_i_8__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(8), - I1 => cx2(3), - I2 => \y_mul__0_n_93\, - I3 => \p_4_out_carry__1_i_4__1_n_0\, - O => \p_4_out_carry__1_i_8__1_n_0\ - ); -\p_4_out_carry__1_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(11), - I1 => \^q\(11), - I2 => ch, - O => \intreg_reg[1][38]_0\(9) - ); -\p_4_out_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__1_n_0\, - CO(3) => \p_4_out_carry__2_n_0\, - CO(2) => \p_4_out_carry__2_n_1\, - CO(1) => \p_4_out_carry__2_n_2\, - CO(0) => \p_4_out_carry__2_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__2_i_1__1_n_0\, - DI(2) => \p_4_out_carry__2_i_2__1_n_0\, - DI(1) => \p_4_out_carry__2_i_3__1_n_0\, - DI(0) => \p_4_out_carry__2_i_4__1_n_0\, - O(3 downto 0) => p_4_out(15 downto 12), - S(3) => \p_4_out_carry__2_i_5__1_n_0\, - S(2) => \p_4_out_carry__2_i_6__1_n_0\, - S(1) => \p_4_out_carry__2_i_7__1_n_0\, - S(0) => \p_4_out_carry__2_i_8__1_n_0\ - ); -\p_4_out_carry__2_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(14), - I1 => \^q\(14), - I2 => ch, - O => \intreg_reg[1][38]_0\(12) - ); -\p_4_out_carry__2_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(13), - I1 => \^q\(13), - I2 => ch, - O => \intreg_reg[1][38]_0\(11) - ); -\p_4_out_carry__2_i_12__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(12), - I1 => \^q\(12), - I2 => ch, - O => \intreg_reg[1][38]_0\(10) - ); -\p_4_out_carry__2_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(14), - I1 => cx2(3), - I2 => \y_mul__1__0\(35), - O => \p_4_out_carry__2_i_1__1_n_0\ - ); -\p_4_out_carry__2_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(13), - I1 => cx2(3), - I2 => \y_mul__1__0\(34), - O => \p_4_out_carry__2_i_2__1_n_0\ - ); -\p_4_out_carry__2_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(12), - I1 => cx2(3), - I2 => \y_mul__1__0\(33), - O => \p_4_out_carry__2_i_3__1_n_0\ - ); -\p_4_out_carry__2_i_4__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(11), - I1 => cx2(3), - I2 => \y_mul__0_n_90\, - O => \p_4_out_carry__2_i_4__1_n_0\ - ); -\p_4_out_carry__2_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(15), - I1 => cx2(3), - I2 => \y_mul__1__0\(36), - I3 => \p_4_out_carry__2_i_1__1_n_0\, - O => \p_4_out_carry__2_i_5__1_n_0\ - ); -\p_4_out_carry__2_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(14), - I1 => cx2(3), - I2 => \y_mul__1__0\(35), - I3 => \p_4_out_carry__2_i_2__1_n_0\, - O => \p_4_out_carry__2_i_6__1_n_0\ - ); -\p_4_out_carry__2_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(13), - I1 => cx2(3), - I2 => \y_mul__1__0\(34), - I3 => \p_4_out_carry__2_i_3__1_n_0\, - O => \p_4_out_carry__2_i_7__1_n_0\ - ); -\p_4_out_carry__2_i_8__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(12), - I1 => cx2(3), - I2 => \y_mul__1__0\(33), - I3 => \p_4_out_carry__2_i_4__1_n_0\, - O => \p_4_out_carry__2_i_8__1_n_0\ - ); -\p_4_out_carry__2_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(15), - I1 => \^q\(15), - I2 => ch, - O => \intreg_reg[1][38]_0\(13) - ); -\p_4_out_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__2_n_0\, - CO(3) => \p_4_out_carry__3_n_0\, - CO(2) => \p_4_out_carry__3_n_1\, - CO(1) => \p_4_out_carry__3_n_2\, - CO(0) => \p_4_out_carry__3_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__3_i_1__1_n_0\, - DI(2) => \p_4_out_carry__3_i_2__1_n_0\, - DI(1) => \p_4_out_carry__3_i_3__1_n_0\, - DI(0) => \p_4_out_carry__3_i_4__1_n_0\, - O(3 downto 0) => p_4_out(19 downto 16), - S(3) => \p_4_out_carry__3_i_5__1_n_0\, - S(2) => \p_4_out_carry__3_i_6__1_n_0\, - S(1) => \p_4_out_carry__3_i_7__1_n_0\, - S(0) => \p_4_out_carry__3_i_8__1_n_0\ - ); -\p_4_out_carry__3_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(18), - I1 => \^q\(18), - I2 => ch, - O => \intreg_reg[1][38]_0\(16) - ); -\p_4_out_carry__3_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(17), - I1 => \^q\(17), - I2 => ch, - O => \intreg_reg[1][38]_0\(15) - ); -\p_4_out_carry__3_i_12__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(16), - I1 => \^q\(16), - I2 => ch, - O => \intreg_reg[1][38]_0\(14) - ); -\p_4_out_carry__3_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(18), - I1 => cx2(3), - I2 => \y_mul__1__0\(39), - O => \p_4_out_carry__3_i_1__1_n_0\ - ); -\p_4_out_carry__3_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(17), - I1 => cx2(3), - I2 => \y_mul__1__0\(38), - O => \p_4_out_carry__3_i_2__1_n_0\ - ); -\p_4_out_carry__3_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(16), - I1 => cx2(3), - I2 => \y_mul__1__0\(37), - O => \p_4_out_carry__3_i_3__1_n_0\ - ); -\p_4_out_carry__3_i_4__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(15), - I1 => cx2(3), - I2 => \y_mul__1__0\(36), - O => \p_4_out_carry__3_i_4__1_n_0\ - ); -\p_4_out_carry__3_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(19), - I1 => cx2(3), - I2 => \y_mul__1__0\(40), - I3 => \p_4_out_carry__3_i_1__1_n_0\, - O => \p_4_out_carry__3_i_5__1_n_0\ - ); -\p_4_out_carry__3_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(18), - I1 => cx2(3), - I2 => \y_mul__1__0\(39), - I3 => \p_4_out_carry__3_i_2__1_n_0\, - O => \p_4_out_carry__3_i_6__1_n_0\ - ); -\p_4_out_carry__3_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(17), - I1 => cx2(3), - I2 => \y_mul__1__0\(38), - I3 => \p_4_out_carry__3_i_3__1_n_0\, - O => \p_4_out_carry__3_i_7__1_n_0\ - ); -\p_4_out_carry__3_i_8__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(16), - I1 => cx2(3), - I2 => \y_mul__1__0\(37), - I3 => \p_4_out_carry__3_i_4__1_n_0\, - O => \p_4_out_carry__3_i_8__1_n_0\ - ); -\p_4_out_carry__3_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(19), - I1 => \^q\(19), - I2 => ch, - O => \intreg_reg[1][38]_0\(17) - ); -\p_4_out_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__3_n_0\, - CO(3) => \p_4_out_carry__4_n_0\, - CO(2) => \p_4_out_carry__4_n_1\, - CO(1) => \p_4_out_carry__4_n_2\, - CO(0) => \p_4_out_carry__4_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__4_i_1__1_n_0\, - DI(2) => \p_4_out_carry__4_i_2__1_n_0\, - DI(1) => \p_4_out_carry__4_i_3__1_n_0\, - DI(0) => \p_4_out_carry__4_i_4__1_n_0\, - O(3 downto 0) => p_4_out(23 downto 20), - S(3) => \p_4_out_carry__4_i_5__1_n_0\, - S(2) => \p_4_out_carry__4_i_6__1_n_0\, - S(1) => \p_4_out_carry__4_i_7__1_n_0\, - S(0) => \p_4_out_carry__4_i_8__1_n_0\ - ); -\p_4_out_carry__4_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(22), - I1 => \^q\(22), - I2 => ch, - O => \intreg_reg[1][38]_0\(20) - ); -\p_4_out_carry__4_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(21), - I1 => \^q\(21), - I2 => ch, - O => \intreg_reg[1][38]_0\(19) - ); -\p_4_out_carry__4_i_12__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(20), - I1 => \^q\(20), - I2 => ch, - O => \intreg_reg[1][38]_0\(18) - ); -\p_4_out_carry__4_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(22), - I1 => cx2(3), - I2 => \y_mul__1__0\(43), - O => \p_4_out_carry__4_i_1__1_n_0\ - ); -\p_4_out_carry__4_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(21), - I1 => cx2(3), - I2 => \y_mul__1__0\(42), - O => \p_4_out_carry__4_i_2__1_n_0\ - ); -\p_4_out_carry__4_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(20), - I1 => cx2(3), - I2 => \y_mul__1__0\(41), - O => \p_4_out_carry__4_i_3__1_n_0\ - ); -\p_4_out_carry__4_i_4__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(19), - I1 => cx2(3), - I2 => \y_mul__1__0\(40), - O => \p_4_out_carry__4_i_4__1_n_0\ - ); -\p_4_out_carry__4_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(23), - I1 => cx2(3), - I2 => \y_mul__1__0\(44), - I3 => \p_4_out_carry__4_i_1__1_n_0\, - O => \p_4_out_carry__4_i_5__1_n_0\ - ); -\p_4_out_carry__4_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(22), - I1 => cx2(3), - I2 => \y_mul__1__0\(43), - I3 => \p_4_out_carry__4_i_2__1_n_0\, - O => \p_4_out_carry__4_i_6__1_n_0\ - ); -\p_4_out_carry__4_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(21), - I1 => cx2(3), - I2 => \y_mul__1__0\(42), - I3 => \p_4_out_carry__4_i_3__1_n_0\, - O => \p_4_out_carry__4_i_7__1_n_0\ - ); -\p_4_out_carry__4_i_8__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(20), - I1 => cx2(3), - I2 => \y_mul__1__0\(41), - I3 => \p_4_out_carry__4_i_4__1_n_0\, - O => \p_4_out_carry__4_i_8__1_n_0\ - ); -\p_4_out_carry__4_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(23), - I1 => \^q\(23), - I2 => ch, - O => \intreg_reg[1][38]_0\(21) - ); -\p_4_out_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__4_n_0\, - CO(3) => \p_4_out_carry__5_n_0\, - CO(2) => \p_4_out_carry__5_n_1\, - CO(1) => \p_4_out_carry__5_n_2\, - CO(0) => \p_4_out_carry__5_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__5_i_1__1_n_0\, - DI(2) => \p_4_out_carry__5_i_2__1_n_0\, - DI(1) => \p_4_out_carry__5_i_3__1_n_0\, - DI(0) => \p_4_out_carry__5_i_4__1_n_0\, - O(3 downto 0) => p_4_out(27 downto 24), - S(3) => \p_4_out_carry__5_i_5__1_n_0\, - S(2) => \p_4_out_carry__5_i_6__1_n_0\, - S(1) => \p_4_out_carry__5_i_7__1_n_0\, - S(0) => \p_4_out_carry__5_i_8__1_n_0\ - ); -\p_4_out_carry__5_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(26), - I1 => \^q\(26), - I2 => ch, - O => \intreg_reg[1][38]_0\(24) - ); -\p_4_out_carry__5_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(25), - I1 => \^q\(25), - I2 => ch, - O => \intreg_reg[1][38]_0\(23) - ); -\p_4_out_carry__5_i_12__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(24), - I1 => \^q\(24), - I2 => ch, - O => \intreg_reg[1][38]_0\(22) - ); -\p_4_out_carry__5_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(26), - I1 => cx2(3), - I2 => \y_mul__1__0\(47), - O => \p_4_out_carry__5_i_1__1_n_0\ - ); -\p_4_out_carry__5_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(25), - I1 => cx2(3), - I2 => \y_mul__1__0\(46), - O => \p_4_out_carry__5_i_2__1_n_0\ - ); -\p_4_out_carry__5_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(24), - I1 => cx2(3), - I2 => \y_mul__1__0\(45), - O => \p_4_out_carry__5_i_3__1_n_0\ - ); -\p_4_out_carry__5_i_4__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(23), - I1 => cx2(3), - I2 => \y_mul__1__0\(44), - O => \p_4_out_carry__5_i_4__1_n_0\ - ); -\p_4_out_carry__5_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(27), - I1 => cx2(3), - I2 => \y_mul__1__0\(48), - I3 => \p_4_out_carry__5_i_1__1_n_0\, - O => \p_4_out_carry__5_i_5__1_n_0\ - ); -\p_4_out_carry__5_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(26), - I1 => cx2(3), - I2 => \y_mul__1__0\(47), - I3 => \p_4_out_carry__5_i_2__1_n_0\, - O => \p_4_out_carry__5_i_6__1_n_0\ - ); -\p_4_out_carry__5_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(25), - I1 => cx2(3), - I2 => \y_mul__1__0\(46), - I3 => \p_4_out_carry__5_i_3__1_n_0\, - O => \p_4_out_carry__5_i_7__1_n_0\ - ); -\p_4_out_carry__5_i_8__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(24), - I1 => cx2(3), - I2 => \y_mul__1__0\(45), - I3 => \p_4_out_carry__5_i_4__1_n_0\, - O => \p_4_out_carry__5_i_8__1_n_0\ - ); -\p_4_out_carry__5_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(27), - I1 => \^q\(27), - I2 => ch, - O => \intreg_reg[1][38]_0\(25) - ); -\p_4_out_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__5_n_0\, - CO(3) => \p_4_out_carry__6_n_0\, - CO(2) => \p_4_out_carry__6_n_1\, - CO(1) => \p_4_out_carry__6_n_2\, - CO(0) => \p_4_out_carry__6_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__6_i_1__1_n_0\, - DI(2) => \p_4_out_carry__6_i_2__1_n_0\, - DI(1) => \p_4_out_carry__6_i_3__1_n_0\, - DI(0) => \p_4_out_carry__6_i_4__1_n_0\, - O(3 downto 0) => p_4_out(31 downto 28), - S(3) => \p_4_out_carry__6_i_5__1_n_0\, - S(2) => \p_4_out_carry__6_i_6__1_n_0\, - S(1) => \p_4_out_carry__6_i_7__1_n_0\, - S(0) => \p_4_out_carry__6_i_8__1_n_0\ - ); -\p_4_out_carry__6_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(30), - I1 => \^q\(30), - I2 => ch, - O => \intreg_reg[1][38]_0\(28) - ); -\p_4_out_carry__6_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(29), - I1 => \^q\(29), - I2 => ch, - O => \intreg_reg[1][38]_0\(27) - ); -\p_4_out_carry__6_i_12__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(28), - I1 => \^q\(28), - I2 => ch, - O => \intreg_reg[1][38]_0\(26) - ); -\p_4_out_carry__6_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(30), - I1 => cx2(3), - I2 => \y_mul__1__0\(51), - O => \p_4_out_carry__6_i_1__1_n_0\ - ); -\p_4_out_carry__6_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(29), - I1 => cx2(3), - I2 => \y_mul__1__0\(50), - O => \p_4_out_carry__6_i_2__1_n_0\ - ); -\p_4_out_carry__6_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(28), - I1 => cx2(3), - I2 => \y_mul__1__0\(49), - O => \p_4_out_carry__6_i_3__1_n_0\ - ); -\p_4_out_carry__6_i_4__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(27), - I1 => cx2(3), - I2 => \y_mul__1__0\(48), - O => \p_4_out_carry__6_i_4__1_n_0\ - ); -\p_4_out_carry__6_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(31), - I1 => cx2(3), - I2 => \y_mul__1__0\(52), - I3 => \p_4_out_carry__6_i_1__1_n_0\, - O => \p_4_out_carry__6_i_5__1_n_0\ - ); -\p_4_out_carry__6_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(30), - I1 => cx2(3), - I2 => \y_mul__1__0\(51), - I3 => \p_4_out_carry__6_i_2__1_n_0\, - O => \p_4_out_carry__6_i_6__1_n_0\ - ); -\p_4_out_carry__6_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(29), - I1 => cx2(3), - I2 => \y_mul__1__0\(50), - I3 => \p_4_out_carry__6_i_3__1_n_0\, - O => \p_4_out_carry__6_i_7__1_n_0\ - ); -\p_4_out_carry__6_i_8__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(28), - I1 => cx2(3), - I2 => \y_mul__1__0\(49), - I3 => \p_4_out_carry__6_i_4__1_n_0\, - O => \p_4_out_carry__6_i_8__1_n_0\ - ); -\p_4_out_carry__6_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(31), - I1 => \^q\(31), - I2 => ch, - O => \intreg_reg[1][38]_0\(29) - ); -\p_4_out_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__6_n_0\, - CO(3) => \p_4_out_carry__7_n_0\, - CO(2) => \p_4_out_carry__7_n_1\, - CO(1) => \p_4_out_carry__7_n_2\, - CO(0) => \p_4_out_carry__7_n_3\, - CYINIT => '0', - DI(3) => \p_4_out_carry__7_i_1__1_n_0\, - DI(2) => \p_4_out_carry__7_i_2__1_n_0\, - DI(1) => \p_4_out_carry__7_i_3__1_n_0\, - DI(0) => \p_4_out_carry__7_i_4__1_n_0\, - O(3 downto 0) => p_4_out(35 downto 32), - S(3) => \p_4_out_carry__7_i_5__1_n_0\, - S(2) => \p_4_out_carry__7_i_6__1_n_0\, - S(1) => \p_4_out_carry__7_i_7__1_n_0\, - S(0) => \p_4_out_carry__7_i_8__1_n_0\ - ); -\p_4_out_carry__7_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(34), - I1 => \^q\(34), - I2 => ch, - O => \intreg_reg[1][38]_0\(32) - ); -\p_4_out_carry__7_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(33), - I1 => \^q\(33), - I2 => ch, - O => \intreg_reg[1][38]_0\(31) - ); -\p_4_out_carry__7_i_12__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(32), - I1 => \^q\(32), - I2 => ch, - O => \intreg_reg[1][38]_0\(30) - ); -\p_4_out_carry__7_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(34), - I1 => cx2(3), - I2 => \y_mul__1__0\(55), - O => \p_4_out_carry__7_i_1__1_n_0\ - ); -\p_4_out_carry__7_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(33), - I1 => cx2(3), - I2 => \y_mul__1__0\(54), - O => \p_4_out_carry__7_i_2__1_n_0\ - ); -\p_4_out_carry__7_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(32), - I1 => cx2(3), - I2 => \y_mul__1__0\(53), - O => \p_4_out_carry__7_i_3__1_n_0\ - ); -\p_4_out_carry__7_i_4__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(31), - I1 => cx2(3), - I2 => \y_mul__1__0\(52), - O => \p_4_out_carry__7_i_4__1_n_0\ - ); -\p_4_out_carry__7_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(35), - I1 => cx2(3), - I2 => \y_mul__1__0\(56), - I3 => \p_4_out_carry__7_i_1__1_n_0\, - O => \p_4_out_carry__7_i_5__1_n_0\ - ); -\p_4_out_carry__7_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(34), - I1 => cx2(3), - I2 => \y_mul__1__0\(55), - I3 => \p_4_out_carry__7_i_2__1_n_0\, - O => \p_4_out_carry__7_i_6__1_n_0\ - ); -\p_4_out_carry__7_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(33), - I1 => cx2(3), - I2 => \y_mul__1__0\(54), - I3 => \p_4_out_carry__7_i_3__1_n_0\, - O => \p_4_out_carry__7_i_7__1_n_0\ - ); -\p_4_out_carry__7_i_8__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(32), - I1 => cx2(3), - I2 => \y_mul__1__0\(53), - I3 => \p_4_out_carry__7_i_4__1_n_0\, - O => \p_4_out_carry__7_i_8__1_n_0\ - ); -\p_4_out_carry__7_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(35), - I1 => \^q\(35), - I2 => ch, - O => \intreg_reg[1][38]_0\(33) - ); -\p_4_out_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \p_4_out_carry__7_n_0\, - CO(3) => \NLW_p_4_out_carry__8_CO_UNCONNECTED\(3), - CO(2) => \p_4_out_carry__8_n_1\, - CO(1) => \p_4_out_carry__8_n_2\, - CO(0) => \p_4_out_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \p_4_out_carry__8_i_1__1_n_0\, - DI(1) => \p_4_out_carry__8_i_2__1_n_0\, - DI(0) => \p_4_out_carry__8_i_3__1_n_0\, - O(3 downto 0) => p_4_out(39 downto 36), - S(3) => \p_4_out_carry__8_i_4__1_n_0\, - S(2) => \p_4_out_carry__8_i_5__1_n_0\, - S(1) => \p_4_out_carry__8_i_6__1_n_0\, - S(0) => \p_4_out_carry__8_i_7__1_n_0\ - ); -\p_4_out_carry__8_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(37), - I1 => \^q\(37), - I2 => ch, - O => \intreg_reg[1][38]_0\(35) - ); -\p_4_out_carry__8_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(36), - I1 => \^q\(36), - I2 => ch, - O => \intreg_reg[1][38]_0\(34) - ); -\p_4_out_carry__8_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(37), - I1 => cx2(3), - I2 => \y_mul__1__0\(58), - O => \p_4_out_carry__8_i_1__1_n_0\ - ); -\p_4_out_carry__8_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(36), - I1 => cx2(3), - I2 => \y_mul__1__0\(57), - O => \p_4_out_carry__8_i_2__1_n_0\ - ); -\p_4_out_carry__8_i_3__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(35), - I1 => cx2(3), - I2 => \y_mul__1__0\(56), - O => \p_4_out_carry__8_i_3__1_n_0\ - ); -\p_4_out_carry__8_i_4__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"1ED2E12D" - ) - port map ( - I0 => x_mul2_out(38), - I1 => \y_mul__1__0\(59), - I2 => \y_mul__1__0\(60), - I3 => cx2(3), - I4 => x_mul2_out(39), - O => \p_4_out_carry__8_i_4__1_n_0\ - ); -\p_4_out_carry__8_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => \p_4_out_carry__8_i_1__1_n_0\, - I1 => \y_mul__1__0\(59), - I2 => cx2(3), - I3 => x_mul2_out(38), - O => \p_4_out_carry__8_i_5__1_n_0\ - ); -\p_4_out_carry__8_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(37), - I1 => cx2(3), - I2 => \y_mul__1__0\(58), - I3 => \p_4_out_carry__8_i_2__1_n_0\, - O => \p_4_out_carry__8_i_6__1_n_0\ - ); -\p_4_out_carry__8_i_7__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(36), - I1 => cx2(3), - I2 => \y_mul__1__0\(57), - I3 => \p_4_out_carry__8_i_3__1_n_0\, - O => \p_4_out_carry__8_i_7__1_n_0\ - ); -\p_4_out_carry__8_i_8__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \intreg_reg[1]_5\(38), - I1 => \intreg_reg[0]_4\(38), - I2 => ch, - O => \intreg_reg[1][38]_0\(36) - ); -\p_4_out_carry_i_10__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(2), - I1 => \^q\(2), - I2 => ch, - O => \intreg_reg[1][38]_0\(0) - ); -\p_4_out_carry_i_11__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(1), - I1 => \^q\(1), - I2 => ch, - O => tap2(1) - ); -\p_4_out_carry_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(2), - I1 => cx2(3), - I2 => \y_mul__0_n_99\, - O => \p_4_out_carry_i_1__1_n_0\ - ); -\p_4_out_carry_i_2__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"06" - ) - port map ( - I0 => x_mul2_out(1), - I1 => cx2(3), - I2 => \y_mul__0_n_100\, - O => \p_4_out_carry_i_2__1_n_0\ - ); -p_4_out_carry_i_3: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \y_mul__0_n_101\, - O => p_4_out_carry_i_3_n_0 - ); -\p_4_out_carry_i_3__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"E4FF" - ) - port map ( - I0 => ch, - I1 => \^q\(0), - I2 => \^intreg_reg[1][39]_0\(0), - I3 => P(0), - O => \^di\(1) - ); -\p_4_out_carry_i_4__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"665A" - ) - port map ( - I0 => P(0), - I1 => \^intreg_reg[1][39]_0\(0), - I2 => \^q\(0), - I3 => ch, - O => \^di\(0) - ); -\p_4_out_carry_i_4__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(3), - I1 => cx2(3), - I2 => \y_mul__0_n_98\, - I3 => \p_4_out_carry_i_1__1_n_0\, - O => \p_4_out_carry_i_4__1_n_0\ - ); -\p_4_out_carry_i_5__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(2), - I1 => cx2(3), - I2 => \y_mul__0_n_99\, - I3 => \p_4_out_carry_i_2__1_n_0\, - O => \p_4_out_carry_i_5__1_n_0\ - ); -\p_4_out_carry_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9669" - ) - port map ( - I0 => x_mul2_out(1), - I1 => cx2(3), - I2 => \y_mul__0_n_100\, - I3 => p_4_out_carry_i_3_n_0, - O => \p_4_out_carry_i_6__1_n_0\ - ); -\p_4_out_carry_i_7__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69969669" - ) - port map ( - I0 => \^di\(1), - I1 => tap2(1), - I2 => P(1), - I3 => cx1(0), - I4 => O(0), - O => S(0) - ); -\p_4_out_carry_i_7__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"96" - ) - port map ( - I0 => \y_mul__0_n_101\, - I1 => x_mul2_out(0), - I2 => cx2(3), - O => \p_4_out_carry_i_7__1_n_0\ - ); -\p_4_out_carry_i_9__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => \^intreg_reg[1][39]_0\(3), - I1 => \^q\(3), - I2 => ch, - O => \intreg_reg[1][38]_0\(1) - ); -\x_mul1__0_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \x_mul1__0_carry_n_0\, - CO(2) => \x_mul1__0_carry_n_1\, - CO(1) => \x_mul1__0_carry_n_2\, - CO(0) => \x_mul1__0_carry_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry_i_2__1_n_0\, - DI(1) => \x_mul1__0_carry_i_3__1_n_0\, - DI(0) => '0', - O(3 downto 0) => x_mul2_out(3 downto 0), - S(3) => \x_mul1__0_carry_i_4__1_n_0\, - S(2) => \x_mul1__0_carry_i_5__1_n_0\, - S(1) => \x_mul1__0_carry_i_6__1_n_0\, - S(0) => \x_mul1__0_carry_i_7__1_n_0\ - ); -\x_mul1__0_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry_n_0\, - CO(3) => \x_mul1__0_carry__0_n_0\, - CO(2) => \x_mul1__0_carry__0_n_1\, - CO(1) => \x_mul1__0_carry__0_n_2\, - CO(0) => \x_mul1__0_carry__0_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__0_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry__0_i_2__1_n_0\, - DI(1) => \x_mul1__0_carry__0_i_3__1_n_0\, - DI(0) => \x_mul1__0_carry__0_i_4__1_n_0\, - O(3 downto 0) => x_mul2_out(7 downto 4), - S(3) => \x_mul1__0_carry__0_i_5__1_n_0\, - S(2) => \x_mul1__0_carry__0_i_6__1_n_0\, - S(1) => \x_mul1__0_carry__0_i_7__1_n_0\, - S(0) => \x_mul1__0_carry__0_i_8__1_n_0\ - ); -\x_mul1__0_carry__0_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(7), - O => \x_mul1__0_carry__0_i_10_n_0\ - ); -\x_mul1__0_carry__0_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(6), - O => \x_mul1__0_carry__0_i_11_n_0\ - ); -\x_mul1__0_carry__0_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(5), - O => \x_mul1__0_carry__0_i_12_n_0\ - ); -\x_mul1__0_carry__0_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(7), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(6), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(8), - I5 => cx2(0), - O => \x_mul1__0_carry__0_i_1__1_n_0\ - ); -\x_mul1__0_carry__0_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(6), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(5), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(7), - I5 => cx2(0), - O => \x_mul1__0_carry__0_i_2__1_n_0\ - ); -\x_mul1__0_carry__0_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(5), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(4), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(6), - I5 => cx2(0), - O => \x_mul1__0_carry__0_i_3__1_n_0\ - ); -\x_mul1__0_carry__0_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(4), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(3), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(5), - I5 => cx2(0), - O => \x_mul1__0_carry__0_i_4__1_n_0\ - ); -\x_mul1__0_carry__0_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_1__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(7), - I2 => cx2(2), - I3 => \x_mul1__0_carry__0_i_9_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(9), - O => \x_mul1__0_carry__0_i_5__1_n_0\ - ); -\x_mul1__0_carry__0_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_2__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(6), - I2 => cx2(2), - I3 => \x_mul1__0_carry__0_i_10_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(8), - O => \x_mul1__0_carry__0_i_6__1_n_0\ - ); -\x_mul1__0_carry__0_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(5), - I2 => cx2(2), - I3 => \x_mul1__0_carry__0_i_11_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(7), - O => \x_mul1__0_carry__0_i_7__1_n_0\ - ); -\x_mul1__0_carry__0_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__0_i_4__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(4), - I2 => cx2(2), - I3 => \x_mul1__0_carry__0_i_12_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(6), - O => \x_mul1__0_carry__0_i_8__1_n_0\ - ); -\x_mul1__0_carry__0_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(8), - O => \x_mul1__0_carry__0_i_9_n_0\ - ); -\x_mul1__0_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__0_n_0\, - CO(3) => \x_mul1__0_carry__1_n_0\, - CO(2) => \x_mul1__0_carry__1_n_1\, - CO(1) => \x_mul1__0_carry__1_n_2\, - CO(0) => \x_mul1__0_carry__1_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__1_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry__1_i_2__1_n_0\, - DI(1) => \x_mul1__0_carry__1_i_3__1_n_0\, - DI(0) => \x_mul1__0_carry__1_i_4__1_n_0\, - O(3 downto 0) => x_mul2_out(11 downto 8), - S(3) => \x_mul1__0_carry__1_i_5__1_n_0\, - S(2) => \x_mul1__0_carry__1_i_6__1_n_0\, - S(1) => \x_mul1__0_carry__1_i_7__1_n_0\, - S(0) => \x_mul1__0_carry__1_i_8__1_n_0\ - ); -\x_mul1__0_carry__1_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(11), - O => \x_mul1__0_carry__1_i_10_n_0\ - ); -\x_mul1__0_carry__1_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(10), - O => \x_mul1__0_carry__1_i_11_n_0\ - ); -\x_mul1__0_carry__1_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(9), - O => \x_mul1__0_carry__1_i_12_n_0\ - ); -\x_mul1__0_carry__1_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(11), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(10), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(12), - I5 => cx2(0), - O => \x_mul1__0_carry__1_i_1__1_n_0\ - ); -\x_mul1__0_carry__1_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(10), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(9), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(11), - I5 => cx2(0), - O => \x_mul1__0_carry__1_i_2__1_n_0\ - ); -\x_mul1__0_carry__1_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(9), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(8), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(10), - I5 => cx2(0), - O => \x_mul1__0_carry__1_i_3__1_n_0\ - ); -\x_mul1__0_carry__1_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(8), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(7), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(9), - I5 => cx2(0), - O => \x_mul1__0_carry__1_i_4__1_n_0\ - ); -\x_mul1__0_carry__1_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_1__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(11), - I2 => cx2(2), - I3 => \x_mul1__0_carry__1_i_9_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(13), - O => \x_mul1__0_carry__1_i_5__1_n_0\ - ); -\x_mul1__0_carry__1_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_2__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(10), - I2 => cx2(2), - I3 => \x_mul1__0_carry__1_i_10_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(12), - O => \x_mul1__0_carry__1_i_6__1_n_0\ - ); -\x_mul1__0_carry__1_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(9), - I2 => cx2(2), - I3 => \x_mul1__0_carry__1_i_11_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(11), - O => \x_mul1__0_carry__1_i_7__1_n_0\ - ); -\x_mul1__0_carry__1_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__1_i_4__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(8), - I2 => cx2(2), - I3 => \x_mul1__0_carry__1_i_12_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(10), - O => \x_mul1__0_carry__1_i_8__1_n_0\ - ); -\x_mul1__0_carry__1_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(12), - O => \x_mul1__0_carry__1_i_9_n_0\ - ); -\x_mul1__0_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__1_n_0\, - CO(3) => \x_mul1__0_carry__2_n_0\, - CO(2) => \x_mul1__0_carry__2_n_1\, - CO(1) => \x_mul1__0_carry__2_n_2\, - CO(0) => \x_mul1__0_carry__2_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__2_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry__2_i_2__1_n_0\, - DI(1) => \x_mul1__0_carry__2_i_3__1_n_0\, - DI(0) => \x_mul1__0_carry__2_i_4__1_n_0\, - O(3 downto 0) => x_mul2_out(15 downto 12), - S(3) => \x_mul1__0_carry__2_i_5__1_n_0\, - S(2) => \x_mul1__0_carry__2_i_6__1_n_0\, - S(1) => \x_mul1__0_carry__2_i_7__1_n_0\, - S(0) => \x_mul1__0_carry__2_i_8__1_n_0\ - ); -\x_mul1__0_carry__2_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(15), - O => \x_mul1__0_carry__2_i_10_n_0\ - ); -\x_mul1__0_carry__2_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(14), - O => \x_mul1__0_carry__2_i_11_n_0\ - ); -\x_mul1__0_carry__2_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(13), - O => \x_mul1__0_carry__2_i_12_n_0\ - ); -\x_mul1__0_carry__2_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(15), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(14), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(16), - I5 => cx2(0), - O => \x_mul1__0_carry__2_i_1__1_n_0\ - ); -\x_mul1__0_carry__2_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(14), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(13), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(15), - I5 => cx2(0), - O => \x_mul1__0_carry__2_i_2__1_n_0\ - ); -\x_mul1__0_carry__2_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(13), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(12), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(14), - I5 => cx2(0), - O => \x_mul1__0_carry__2_i_3__1_n_0\ - ); -\x_mul1__0_carry__2_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(12), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(11), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(13), - I5 => cx2(0), - O => \x_mul1__0_carry__2_i_4__1_n_0\ - ); -\x_mul1__0_carry__2_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_1__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(15), - I2 => cx2(2), - I3 => \x_mul1__0_carry__2_i_9_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(17), - O => \x_mul1__0_carry__2_i_5__1_n_0\ - ); -\x_mul1__0_carry__2_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_2__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(14), - I2 => cx2(2), - I3 => \x_mul1__0_carry__2_i_10_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(16), - O => \x_mul1__0_carry__2_i_6__1_n_0\ - ); -\x_mul1__0_carry__2_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(13), - I2 => cx2(2), - I3 => \x_mul1__0_carry__2_i_11_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(15), - O => \x_mul1__0_carry__2_i_7__1_n_0\ - ); -\x_mul1__0_carry__2_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__2_i_4__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(12), - I2 => cx2(2), - I3 => \x_mul1__0_carry__2_i_12_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(14), - O => \x_mul1__0_carry__2_i_8__1_n_0\ - ); -\x_mul1__0_carry__2_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(16), - O => \x_mul1__0_carry__2_i_9_n_0\ - ); -\x_mul1__0_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__2_n_0\, - CO(3) => \x_mul1__0_carry__3_n_0\, - CO(2) => \x_mul1__0_carry__3_n_1\, - CO(1) => \x_mul1__0_carry__3_n_2\, - CO(0) => \x_mul1__0_carry__3_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__3_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry__3_i_2__1_n_0\, - DI(1) => \x_mul1__0_carry__3_i_3__1_n_0\, - DI(0) => \x_mul1__0_carry__3_i_4__1_n_0\, - O(3 downto 0) => x_mul2_out(19 downto 16), - S(3) => \x_mul1__0_carry__3_i_5__1_n_0\, - S(2) => \x_mul1__0_carry__3_i_6__1_n_0\, - S(1) => \x_mul1__0_carry__3_i_7__1_n_0\, - S(0) => \x_mul1__0_carry__3_i_8__1_n_0\ - ); -\x_mul1__0_carry__3_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(19), - O => \x_mul1__0_carry__3_i_10_n_0\ - ); -\x_mul1__0_carry__3_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(18), - O => \x_mul1__0_carry__3_i_11_n_0\ - ); -\x_mul1__0_carry__3_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(17), - O => \x_mul1__0_carry__3_i_12_n_0\ - ); -\x_mul1__0_carry__3_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(19), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(18), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(20), - I5 => cx2(0), - O => \x_mul1__0_carry__3_i_1__1_n_0\ - ); -\x_mul1__0_carry__3_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(18), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(17), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(19), - I5 => cx2(0), - O => \x_mul1__0_carry__3_i_2__1_n_0\ - ); -\x_mul1__0_carry__3_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(17), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(16), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(18), - I5 => cx2(0), - O => \x_mul1__0_carry__3_i_3__1_n_0\ - ); -\x_mul1__0_carry__3_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(16), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(15), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(17), - I5 => cx2(0), - O => \x_mul1__0_carry__3_i_4__1_n_0\ - ); -\x_mul1__0_carry__3_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_1__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(19), - I2 => cx2(2), - I3 => \x_mul1__0_carry__3_i_9_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(21), - O => \x_mul1__0_carry__3_i_5__1_n_0\ - ); -\x_mul1__0_carry__3_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_2__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(18), - I2 => cx2(2), - I3 => \x_mul1__0_carry__3_i_10_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(20), - O => \x_mul1__0_carry__3_i_6__1_n_0\ - ); -\x_mul1__0_carry__3_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(17), - I2 => cx2(2), - I3 => \x_mul1__0_carry__3_i_11_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(19), - O => \x_mul1__0_carry__3_i_7__1_n_0\ - ); -\x_mul1__0_carry__3_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__3_i_4__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(16), - I2 => cx2(2), - I3 => \x_mul1__0_carry__3_i_12_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(18), - O => \x_mul1__0_carry__3_i_8__1_n_0\ - ); -\x_mul1__0_carry__3_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(20), - O => \x_mul1__0_carry__3_i_9_n_0\ - ); -\x_mul1__0_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__3_n_0\, - CO(3) => \x_mul1__0_carry__4_n_0\, - CO(2) => \x_mul1__0_carry__4_n_1\, - CO(1) => \x_mul1__0_carry__4_n_2\, - CO(0) => \x_mul1__0_carry__4_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__4_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry__4_i_2__1_n_0\, - DI(1) => \x_mul1__0_carry__4_i_3__1_n_0\, - DI(0) => \x_mul1__0_carry__4_i_4__1_n_0\, - O(3 downto 0) => x_mul2_out(23 downto 20), - S(3) => \x_mul1__0_carry__4_i_5__1_n_0\, - S(2) => \x_mul1__0_carry__4_i_6__1_n_0\, - S(1) => \x_mul1__0_carry__4_i_7__1_n_0\, - S(0) => \x_mul1__0_carry__4_i_8__1_n_0\ - ); -\x_mul1__0_carry__4_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(23), - O => \x_mul1__0_carry__4_i_10_n_0\ - ); -\x_mul1__0_carry__4_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(22), - O => \x_mul1__0_carry__4_i_11_n_0\ - ); -\x_mul1__0_carry__4_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(21), - O => \x_mul1__0_carry__4_i_12_n_0\ - ); -\x_mul1__0_carry__4_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(23), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(22), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(24), - I5 => cx2(0), - O => \x_mul1__0_carry__4_i_1__1_n_0\ - ); -\x_mul1__0_carry__4_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(22), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(21), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(23), - I5 => cx2(0), - O => \x_mul1__0_carry__4_i_2__1_n_0\ - ); -\x_mul1__0_carry__4_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(21), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(20), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(22), - I5 => cx2(0), - O => \x_mul1__0_carry__4_i_3__1_n_0\ - ); -\x_mul1__0_carry__4_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(20), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(19), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(21), - I5 => cx2(0), - O => \x_mul1__0_carry__4_i_4__1_n_0\ - ); -\x_mul1__0_carry__4_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_1__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(23), - I2 => cx2(2), - I3 => \x_mul1__0_carry__4_i_9_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(25), - O => \x_mul1__0_carry__4_i_5__1_n_0\ - ); -\x_mul1__0_carry__4_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_2__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(22), - I2 => cx2(2), - I3 => \x_mul1__0_carry__4_i_10_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(24), - O => \x_mul1__0_carry__4_i_6__1_n_0\ - ); -\x_mul1__0_carry__4_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(21), - I2 => cx2(2), - I3 => \x_mul1__0_carry__4_i_11_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(23), - O => \x_mul1__0_carry__4_i_7__1_n_0\ - ); -\x_mul1__0_carry__4_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__4_i_4__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(20), - I2 => cx2(2), - I3 => \x_mul1__0_carry__4_i_12_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(22), - O => \x_mul1__0_carry__4_i_8__1_n_0\ - ); -\x_mul1__0_carry__4_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(24), - O => \x_mul1__0_carry__4_i_9_n_0\ - ); -\x_mul1__0_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__4_n_0\, - CO(3) => \x_mul1__0_carry__5_n_0\, - CO(2) => \x_mul1__0_carry__5_n_1\, - CO(1) => \x_mul1__0_carry__5_n_2\, - CO(0) => \x_mul1__0_carry__5_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__5_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry__5_i_2__1_n_0\, - DI(1) => \x_mul1__0_carry__5_i_3__1_n_0\, - DI(0) => \x_mul1__0_carry__5_i_4__1_n_0\, - O(3 downto 0) => x_mul2_out(27 downto 24), - S(3) => \x_mul1__0_carry__5_i_5__1_n_0\, - S(2) => \x_mul1__0_carry__5_i_6__1_n_0\, - S(1) => \x_mul1__0_carry__5_i_7__1_n_0\, - S(0) => \x_mul1__0_carry__5_i_8__1_n_0\ - ); -\x_mul1__0_carry__5_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(27), - O => \x_mul1__0_carry__5_i_10_n_0\ - ); -\x_mul1__0_carry__5_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(26), - O => \x_mul1__0_carry__5_i_11_n_0\ - ); -\x_mul1__0_carry__5_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(25), - O => \x_mul1__0_carry__5_i_12_n_0\ - ); -\x_mul1__0_carry__5_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(27), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(26), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(28), - I5 => cx2(0), - O => \x_mul1__0_carry__5_i_1__1_n_0\ - ); -\x_mul1__0_carry__5_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(26), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(25), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(27), - I5 => cx2(0), - O => \x_mul1__0_carry__5_i_2__1_n_0\ - ); -\x_mul1__0_carry__5_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(25), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(24), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(26), - I5 => cx2(0), - O => \x_mul1__0_carry__5_i_3__1_n_0\ - ); -\x_mul1__0_carry__5_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(24), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(23), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(25), - I5 => cx2(0), - O => \x_mul1__0_carry__5_i_4__1_n_0\ - ); -\x_mul1__0_carry__5_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_1__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(27), - I2 => cx2(2), - I3 => \x_mul1__0_carry__5_i_9_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(29), - O => \x_mul1__0_carry__5_i_5__1_n_0\ - ); -\x_mul1__0_carry__5_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_2__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(26), - I2 => cx2(2), - I3 => \x_mul1__0_carry__5_i_10_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(28), - O => \x_mul1__0_carry__5_i_6__1_n_0\ - ); -\x_mul1__0_carry__5_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(25), - I2 => cx2(2), - I3 => \x_mul1__0_carry__5_i_11_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(27), - O => \x_mul1__0_carry__5_i_7__1_n_0\ - ); -\x_mul1__0_carry__5_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__5_i_4__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(24), - I2 => cx2(2), - I3 => \x_mul1__0_carry__5_i_12_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(26), - O => \x_mul1__0_carry__5_i_8__1_n_0\ - ); -\x_mul1__0_carry__5_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(28), - O => \x_mul1__0_carry__5_i_9_n_0\ - ); -\x_mul1__0_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__5_n_0\, - CO(3) => \x_mul1__0_carry__6_n_0\, - CO(2) => \x_mul1__0_carry__6_n_1\, - CO(1) => \x_mul1__0_carry__6_n_2\, - CO(0) => \x_mul1__0_carry__6_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__6_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry__6_i_2__1_n_0\, - DI(1) => \x_mul1__0_carry__6_i_3__1_n_0\, - DI(0) => \x_mul1__0_carry__6_i_4__1_n_0\, - O(3 downto 0) => x_mul2_out(31 downto 28), - S(3) => \x_mul1__0_carry__6_i_5__1_n_0\, - S(2) => \x_mul1__0_carry__6_i_6__1_n_0\, - S(1) => \x_mul1__0_carry__6_i_7__1_n_0\, - S(0) => \x_mul1__0_carry__6_i_8__1_n_0\ - ); -\x_mul1__0_carry__6_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(31), - O => \x_mul1__0_carry__6_i_10_n_0\ - ); -\x_mul1__0_carry__6_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(30), - O => \x_mul1__0_carry__6_i_11_n_0\ - ); -\x_mul1__0_carry__6_i_12\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(29), - O => \x_mul1__0_carry__6_i_12_n_0\ - ); -\x_mul1__0_carry__6_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(31), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(30), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(32), - I5 => cx2(0), - O => \x_mul1__0_carry__6_i_1__1_n_0\ - ); -\x_mul1__0_carry__6_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(30), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(29), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(31), - I5 => cx2(0), - O => \x_mul1__0_carry__6_i_2__1_n_0\ - ); -\x_mul1__0_carry__6_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(29), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(28), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(30), - I5 => cx2(0), - O => \x_mul1__0_carry__6_i_3__1_n_0\ - ); -\x_mul1__0_carry__6_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(28), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(27), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(29), - I5 => cx2(0), - O => \x_mul1__0_carry__6_i_4__1_n_0\ - ); -\x_mul1__0_carry__6_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A959595956A6A6A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_1__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(31), - I2 => cx2(2), - I3 => \x_mul1__0_carry__7_0\(32), - I4 => cx2(1), - I5 => \x_mul__39\(34), - O => \x_mul1__0_carry__6_i_5__1_n_0\ - ); -\x_mul1__0_carry__6_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_2__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(30), - I2 => cx2(2), - I3 => \x_mul1__0_carry__6_i_10_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(32), - O => \x_mul1__0_carry__6_i_6__1_n_0\ - ); -\x_mul1__0_carry__6_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(29), - I2 => cx2(2), - I3 => \x_mul1__0_carry__6_i_11_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(31), - O => \x_mul1__0_carry__6_i_7__1_n_0\ - ); -\x_mul1__0_carry__6_i_8__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry__6_i_4__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(28), - I2 => cx2(2), - I3 => \x_mul1__0_carry__6_i_12_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(30), - O => \x_mul1__0_carry__6_i_8__1_n_0\ - ); -\x_mul1__0_carry__6_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(0), - I1 => \x_mul1__0_carry__7_0\(33), - O => \x_mul__39\(34) - ); -\x_mul1__0_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__6_n_0\, - CO(3) => \x_mul1__0_carry__7_n_0\, - CO(2) => \x_mul1__0_carry__7_n_1\, - CO(1) => \x_mul1__0_carry__7_n_2\, - CO(0) => \x_mul1__0_carry__7_n_3\, - CYINIT => '0', - DI(3) => \x_mul1__0_carry__7_i_1__1_n_0\, - DI(2) => \x_mul1__0_carry__7_i_1__1_n_0\, - DI(1) => \x_mul1__0_carry__7_i_2__1_n_0\, - DI(0) => \x_mul1__0_carry__7_i_3__1_n_0\, - O(3 downto 0) => x_mul2_out(35 downto 32), - S(3) => \x_mul1__0_carry__7_i_4__1_n_0\, - S(2) => \x_mul1__0_carry__7_i_5__1_n_0\, - S(1) => \x_mul1__0_carry__7_i_6__1_n_0\, - S(0) => \x_mul1__0_carry__7_i_7__1_n_0\ - ); -\x_mul1__0_carry__7_i_1__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"C880" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(33), - I2 => cx2(2), - I3 => cx2(0), - O => \x_mul1__0_carry__7_i_1__1_n_0\ - ); -\x_mul1__0_carry__7_i_2__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A8888000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(33), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(32), - I3 => cx2(2), - I4 => cx2(0), - O => \x_mul1__0_carry__7_i_2__1_n_0\ - ); -\x_mul1__0_carry__7_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(32), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(31), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(33), - I5 => cx2(0), - O => \x_mul1__0_carry__7_i_3__1_n_0\ - ); -\x_mul1__0_carry__7_i_4__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__1_n_0\, - I1 => cx2(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx2(1), - I4 => cx2(0), - O => \x_mul1__0_carry__7_i_4__1_n_0\ - ); -\x_mul1__0_carry__7_i_5__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__1_n_0\, - I1 => cx2(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx2(1), - I4 => cx2(0), - O => \x_mul1__0_carry__7_i_5__1_n_0\ - ); -\x_mul1__0_carry__7_i_6__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_2__1_n_0\, - I1 => cx2(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx2(1), - I4 => cx2(0), - O => \x_mul1__0_carry__7_i_6__1_n_0\ - ); -\x_mul1__0_carry__7_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A6A956A956A6A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(32), - I2 => cx2(2), - I3 => \x_mul1__0_carry__7_0\(33), - I4 => cx2(1), - I5 => cx2(0), - O => \x_mul1__0_carry__7_i_7__1_n_0\ - ); -\x_mul1__0_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \x_mul1__0_carry__7_n_0\, - CO(3) => \NLW_x_mul1__0_carry__8_CO_UNCONNECTED\(3), - CO(2) => \x_mul1__0_carry__8_n_1\, - CO(1) => \x_mul1__0_carry__8_n_2\, - CO(0) => \x_mul1__0_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \x_mul1__0_carry__7_i_1__1_n_0\, - DI(1) => \x_mul1__0_carry__7_i_1__1_n_0\, - DI(0) => \x_mul1__0_carry__7_i_1__1_n_0\, - O(3 downto 0) => x_mul2_out(39 downto 36), - S(3) => \x_mul1__0_carry__8_i_1__1_n_0\, - S(2) => \x_mul1__0_carry__8_i_2__1_n_0\, - S(1) => \x_mul1__0_carry__8_i_3__1_n_0\, - S(0) => \x_mul1__0_carry__8_i_4__1_n_0\ - ); -\x_mul1__0_carry__8_i_1__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__1_n_0\, - I1 => cx2(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx2(1), - I4 => cx2(0), - O => \x_mul1__0_carry__8_i_1__1_n_0\ - ); -\x_mul1__0_carry__8_i_2__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__1_n_0\, - I1 => cx2(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx2(1), - I4 => cx2(0), - O => \x_mul1__0_carry__8_i_2__1_n_0\ - ); -\x_mul1__0_carry__8_i_3__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"7BB78448" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(33), - I2 => cx2(2), - I3 => cx2(0), - I4 => \x_mul1__0_carry__7_i_1__1_n_0\, - O => \x_mul1__0_carry__8_i_3__1_n_0\ - ); -\x_mul1__0_carry__8_i_4__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6A9A9A6A" - ) - port map ( - I0 => \x_mul1__0_carry__7_i_1__1_n_0\, - I1 => cx2(2), - I2 => \x_mul1__0_carry__7_0\(33), - I3 => cx2(1), - I4 => cx2(0), - O => \x_mul1__0_carry__8_i_4__1_n_0\ - ); -\x_mul1__0_carry_i_10\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(2), - O => \x_mul1__0_carry_i_10_n_0\ - ); -\x_mul1__0_carry_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(3), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(2), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(4), - I5 => cx2(0), - O => \x_mul1__0_carry_i_1__1_n_0\ - ); -\x_mul1__0_carry_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(2), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(1), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(3), - I5 => cx2(0), - O => \x_mul1__0_carry_i_2__1_n_0\ - ); -\x_mul1__0_carry_i_3__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"F888800080008000" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(1), - I1 => cx2(1), - I2 => \x_mul1__0_carry__7_0\(0), - I3 => cx2(2), - I4 => \x_mul1__0_carry__7_0\(2), - I5 => cx2(0), - O => \x_mul1__0_carry_i_3__1_n_0\ - ); -\x_mul1__0_carry_i_4__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_1__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(3), - I2 => cx2(2), - I3 => \x_mul1__0_carry_i_8_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(5), - O => \x_mul1__0_carry_i_4__1_n_0\ - ); -\x_mul1__0_carry_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_2__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(2), - I2 => cx2(2), - I3 => \x_mul1__0_carry_i_9_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(4), - O => \x_mul1__0_carry_i_5__1_n_0\ - ); -\x_mul1__0_carry_i_6__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6A95956A956A956A" - ) - port map ( - I0 => \x_mul1__0_carry_i_3__1_n_0\, - I1 => \x_mul1__0_carry__7_0\(1), - I2 => cx2(2), - I3 => \x_mul1__0_carry_i_10_n_0\, - I4 => cx2(0), - I5 => \x_mul1__0_carry__7_0\(3), - O => \x_mul1__0_carry_i_6__1_n_0\ - ); -\x_mul1__0_carry_i_7__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8777788878887888" - ) - port map ( - I0 => \x_mul1__0_carry__7_0\(2), - I1 => cx2(0), - I2 => cx2(1), - I3 => \x_mul1__0_carry__7_0\(1), - I4 => cx2(2), - I5 => \x_mul1__0_carry__7_0\(0), - O => \x_mul1__0_carry_i_7__1_n_0\ - ); -\x_mul1__0_carry_i_8\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(4), - O => \x_mul1__0_carry_i_8_n_0\ - ); -\x_mul1__0_carry_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cx2(1), - I1 => \x_mul1__0_carry__7_0\(3), - O => \x_mul1__0_carry_i_9_n_0\ - ); -y_mul: unisim.vcomponents.DSP48E1 - generic map( - ACASCREG => 0, - ADREG => 1, - ALUMODEREG => 0, - AREG => 0, - AUTORESET_PATDET => "NO_RESET", - A_INPUT => "DIRECT", - BCASCREG => 0, - BREG => 0, - B_INPUT => "DIRECT", - CARRYINREG => 0, - CARRYINSELREG => 0, - CREG => 1, - DREG => 1, - INMODEREG => 0, - MASK => X"3FFFFFFFFFFF", - MREG => 0, - OPMODEREG => 0, - PATTERN => X"000000000000", - PREG => 0, - SEL_MASK => "MASK", - SEL_PATTERN => "PATTERN", - USE_DPORT => false, - USE_MULT => "MULTIPLY", - USE_PATTERN_DETECT => "NO_PATDET", - USE_SIMD => "ONE48" - ) - port map ( - A(29) => cy2(23), - A(28) => cy2(23), - A(27) => cy2(23), - A(26) => cy2(23), - A(25) => cy2(23), - A(24) => cy2(23), - A(23 downto 0) => cy2(23 downto 0), - ACIN(29 downto 0) => B"000000000000000000000000000000", - ACOUT(29 downto 0) => NLW_y_mul_ACOUT_UNCONNECTED(29 downto 0), - ALUMODE(3 downto 0) => B"0000", - B(17) => '0', - B(16 downto 0) => B(16 downto 0), - BCIN(17 downto 0) => B"000000000000000000", - BCOUT(17 downto 0) => NLW_y_mul_BCOUT_UNCONNECTED(17 downto 0), - C(47 downto 0) => B"111111111111111111111111111111111111111111111111", - CARRYCASCIN => '0', - CARRYCASCOUT => NLW_y_mul_CARRYCASCOUT_UNCONNECTED, - CARRYIN => '0', - CARRYINSEL(2 downto 0) => B"000", - CARRYOUT(3 downto 0) => NLW_y_mul_CARRYOUT_UNCONNECTED(3 downto 0), - CEA1 => '0', - CEA2 => '0', - CEAD => '0', - CEALUMODE => '0', - CEB1 => '0', - CEB2 => '0', - CEC => '0', - CECARRYIN => '0', - CECTRL => '0', - CED => '0', - CEINMODE => '0', - CEM => '0', - CEP => '0', - CLK => '0', - D(24 downto 0) => B"0000000000000000000000000", - INMODE(4 downto 0) => B"00000", - MULTSIGNIN => '0', - MULTSIGNOUT => NLW_y_mul_MULTSIGNOUT_UNCONNECTED, - OPMODE(6 downto 0) => B"0000101", - OVERFLOW => NLW_y_mul_OVERFLOW_UNCONNECTED, - P(47) => y_mul_n_58, - P(46) => y_mul_n_59, - P(45) => y_mul_n_60, - P(44) => y_mul_n_61, - P(43) => y_mul_n_62, - P(42) => y_mul_n_63, - P(41) => y_mul_n_64, - P(40) => y_mul_n_65, - P(39) => y_mul_n_66, - P(38) => y_mul_n_67, - P(37) => y_mul_n_68, - P(36) => y_mul_n_69, - P(35) => y_mul_n_70, - P(34) => y_mul_n_71, - P(33) => y_mul_n_72, - P(32) => y_mul_n_73, - P(31) => y_mul_n_74, - P(30) => y_mul_n_75, - P(29) => y_mul_n_76, - P(28) => y_mul_n_77, - P(27) => y_mul_n_78, - P(26) => y_mul_n_79, - P(25) => y_mul_n_80, - P(24) => y_mul_n_81, - P(23) => y_mul_n_82, - P(22) => y_mul_n_83, - P(21) => y_mul_n_84, - P(20) => y_mul_n_85, - P(19) => y_mul_n_86, - P(18) => y_mul_n_87, - P(17) => y_mul_n_88, - P(16) => y_mul_n_89, - P(15) => y_mul_n_90, - P(14) => y_mul_n_91, - P(13) => y_mul_n_92, - P(12) => y_mul_n_93, - P(11) => y_mul_n_94, - P(10) => y_mul_n_95, - P(9) => y_mul_n_96, - P(8) => y_mul_n_97, - P(7) => y_mul_n_98, - P(6) => y_mul_n_99, - P(5) => y_mul_n_100, - P(4) => y_mul_n_101, - P(3) => y_mul_n_102, - P(2) => y_mul_n_103, - P(1) => y_mul_n_104, - P(0) => y_mul_n_105, - PATTERNBDETECT => NLW_y_mul_PATTERNBDETECT_UNCONNECTED, - PATTERNDETECT => NLW_y_mul_PATTERNDETECT_UNCONNECTED, - PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000", - PCOUT(47) => y_mul_n_106, - PCOUT(46) => y_mul_n_107, - PCOUT(45) => y_mul_n_108, - PCOUT(44) => y_mul_n_109, - PCOUT(43) => y_mul_n_110, - PCOUT(42) => y_mul_n_111, - PCOUT(41) => y_mul_n_112, - PCOUT(40) => y_mul_n_113, - PCOUT(39) => y_mul_n_114, - PCOUT(38) => y_mul_n_115, - PCOUT(37) => y_mul_n_116, - PCOUT(36) => y_mul_n_117, - PCOUT(35) => y_mul_n_118, - PCOUT(34) => y_mul_n_119, - PCOUT(33) => y_mul_n_120, - PCOUT(32) => y_mul_n_121, - PCOUT(31) => y_mul_n_122, - PCOUT(30) => y_mul_n_123, - PCOUT(29) => y_mul_n_124, - PCOUT(28) => y_mul_n_125, - PCOUT(27) => y_mul_n_126, - PCOUT(26) => y_mul_n_127, - PCOUT(25) => y_mul_n_128, - PCOUT(24) => y_mul_n_129, - PCOUT(23) => y_mul_n_130, - PCOUT(22) => y_mul_n_131, - PCOUT(21) => y_mul_n_132, - PCOUT(20) => y_mul_n_133, - PCOUT(19) => y_mul_n_134, - PCOUT(18) => y_mul_n_135, - PCOUT(17) => y_mul_n_136, - PCOUT(16) => y_mul_n_137, - PCOUT(15) => y_mul_n_138, - PCOUT(14) => y_mul_n_139, - PCOUT(13) => y_mul_n_140, - PCOUT(12) => y_mul_n_141, - PCOUT(11) => y_mul_n_142, - PCOUT(10) => y_mul_n_143, - PCOUT(9) => y_mul_n_144, - PCOUT(8) => y_mul_n_145, - PCOUT(7) => y_mul_n_146, - PCOUT(6) => y_mul_n_147, - PCOUT(5) => y_mul_n_148, - PCOUT(4) => y_mul_n_149, - PCOUT(3) => y_mul_n_150, - PCOUT(2) => y_mul_n_151, - PCOUT(1) => y_mul_n_152, - PCOUT(0) => y_mul_n_153, - RSTA => '0', - RSTALLCARRYIN => '0', - RSTALUMODE => '0', - RSTB => '0', - RSTC => '0', - RSTCTRL => '0', - RSTD => '0', - RSTINMODE => '0', - RSTM => '0', - RSTP => '0', - UNDERFLOW => NLW_y_mul_UNDERFLOW_UNCONNECTED - ); -\y_mul__0\: unisim.vcomponents.DSP48E1 - generic map( - ACASCREG => 0, - ADREG => 1, - ALUMODEREG => 0, - AREG => 0, - AUTORESET_PATDET => "NO_RESET", - A_INPUT => "DIRECT", - BCASCREG => 0, - BREG => 0, - B_INPUT => "DIRECT", - CARRYINREG => 0, - CARRYINSELREG => 0, - CREG => 1, - DREG => 1, - INMODEREG => 0, - MASK => X"3FFFFFFFFFFF", - MREG => 0, - OPMODEREG => 0, - PATTERN => X"000000000000", - PREG => 0, - SEL_MASK => "MASK", - SEL_PATTERN => "PATTERN", - USE_DPORT => false, - USE_MULT => "MULTIPLY", - USE_PATTERN_DETECT => "NO_PATDET", - USE_SIMD => "ONE48" - ) - port map ( - A(29) => cy2(23), - A(28) => cy2(23), - A(27) => cy2(23), - A(26) => cy2(23), - A(25) => cy2(23), - A(24) => cy2(23), - A(23 downto 0) => cy2(23 downto 0), - ACIN(29 downto 0) => B"000000000000000000000000000000", - ACOUT(29 downto 0) => \NLW_y_mul__0_ACOUT_UNCONNECTED\(29 downto 0), - ALUMODE(3 downto 0) => B"0000", - B(17) => '0', - B(16 downto 0) => \y_mul__0_0\(16 downto 0), - BCIN(17 downto 0) => B"000000000000000000", - BCOUT(17 downto 0) => \NLW_y_mul__0_BCOUT_UNCONNECTED\(17 downto 0), - C(47 downto 0) => B"111111111111111111111111111111111111111111111111", - CARRYCASCIN => '0', - CARRYCASCOUT => \NLW_y_mul__0_CARRYCASCOUT_UNCONNECTED\, - CARRYIN => '0', - CARRYINSEL(2 downto 0) => B"000", - CARRYOUT(3 downto 0) => \NLW_y_mul__0_CARRYOUT_UNCONNECTED\(3 downto 0), - CEA1 => '0', - CEA2 => '0', - CEAD => '0', - CEALUMODE => '0', - CEB1 => '0', - CEB2 => '0', - CEC => '0', - CECARRYIN => '0', - CECTRL => '0', - CED => '0', - CEINMODE => '0', - CEM => '0', - CEP => '0', - CLK => '0', - D(24 downto 0) => B"0000000000000000000000000", - INMODE(4 downto 0) => B"00000", - MULTSIGNIN => '0', - MULTSIGNOUT => \NLW_y_mul__0_MULTSIGNOUT_UNCONNECTED\, - OPMODE(6 downto 0) => B"1010101", - OVERFLOW => \NLW_y_mul__0_OVERFLOW_UNCONNECTED\, - P(47 downto 44) => \NLW_y_mul__0_P_UNCONNECTED\(47 downto 44), - P(43) => \y_mul__0_n_62\, - P(42) => \y_mul__0_n_63\, - P(41) => \y_mul__0_n_64\, - P(40) => \y_mul__0_n_65\, - P(39) => \y_mul__0_n_66\, - P(38) => \y_mul__0_n_67\, - P(37) => \y_mul__0_n_68\, - P(36) => \y_mul__0_n_69\, - P(35) => \y_mul__0_n_70\, - P(34) => \y_mul__0_n_71\, - P(33) => \y_mul__0_n_72\, - P(32) => \y_mul__0_n_73\, - P(31) => \y_mul__0_n_74\, - P(30) => \y_mul__0_n_75\, - P(29) => \y_mul__0_n_76\, - P(28) => \y_mul__0_n_77\, - P(27) => \y_mul__0_n_78\, - P(26) => \y_mul__0_n_79\, - P(25) => \y_mul__0_n_80\, - P(24) => \y_mul__0_n_81\, - P(23) => \y_mul__0_n_82\, - P(22) => \y_mul__0_n_83\, - P(21) => \y_mul__0_n_84\, - P(20) => \y_mul__0_n_85\, - P(19) => \y_mul__0_n_86\, - P(18) => \y_mul__0_n_87\, - P(17) => \y_mul__0_n_88\, - P(16) => \y_mul__0_n_89\, - P(15) => \y_mul__0_n_90\, - P(14) => \y_mul__0_n_91\, - P(13) => \y_mul__0_n_92\, - P(12) => \y_mul__0_n_93\, - P(11) => \y_mul__0_n_94\, - P(10) => \y_mul__0_n_95\, - P(9) => \y_mul__0_n_96\, - P(8) => \y_mul__0_n_97\, - P(7) => \y_mul__0_n_98\, - P(6) => \y_mul__0_n_99\, - P(5) => \y_mul__0_n_100\, - P(4) => \y_mul__0_n_101\, - P(3) => \y_mul__0_n_102\, - P(2) => \y_mul__0_n_103\, - P(1) => \y_mul__0_n_104\, - P(0) => \y_mul__0_n_105\, - PATTERNBDETECT => \NLW_y_mul__0_PATTERNBDETECT_UNCONNECTED\, - PATTERNDETECT => \NLW_y_mul__0_PATTERNDETECT_UNCONNECTED\, - PCIN(47) => y_mul_n_106, - PCIN(46) => y_mul_n_107, - PCIN(45) => y_mul_n_108, - PCIN(44) => y_mul_n_109, - PCIN(43) => y_mul_n_110, - PCIN(42) => y_mul_n_111, - PCIN(41) => y_mul_n_112, - PCIN(40) => y_mul_n_113, - PCIN(39) => y_mul_n_114, - PCIN(38) => y_mul_n_115, - PCIN(37) => y_mul_n_116, - PCIN(36) => y_mul_n_117, - PCIN(35) => y_mul_n_118, - PCIN(34) => y_mul_n_119, - PCIN(33) => y_mul_n_120, - PCIN(32) => y_mul_n_121, - PCIN(31) => y_mul_n_122, - PCIN(30) => y_mul_n_123, - PCIN(29) => y_mul_n_124, - PCIN(28) => y_mul_n_125, - PCIN(27) => y_mul_n_126, - PCIN(26) => y_mul_n_127, - PCIN(25) => y_mul_n_128, - PCIN(24) => y_mul_n_129, - PCIN(23) => y_mul_n_130, - PCIN(22) => y_mul_n_131, - PCIN(21) => y_mul_n_132, - PCIN(20) => y_mul_n_133, - PCIN(19) => y_mul_n_134, - PCIN(18) => y_mul_n_135, - PCIN(17) => y_mul_n_136, - PCIN(16) => y_mul_n_137, - PCIN(15) => y_mul_n_138, - PCIN(14) => y_mul_n_139, - PCIN(13) => y_mul_n_140, - PCIN(12) => y_mul_n_141, - PCIN(11) => y_mul_n_142, - PCIN(10) => y_mul_n_143, - PCIN(9) => y_mul_n_144, - PCIN(8) => y_mul_n_145, - PCIN(7) => y_mul_n_146, - PCIN(6) => y_mul_n_147, - PCIN(5) => y_mul_n_148, - PCIN(4) => y_mul_n_149, - PCIN(3) => y_mul_n_150, - PCIN(2) => y_mul_n_151, - PCIN(1) => y_mul_n_152, - PCIN(0) => y_mul_n_153, - PCOUT(47 downto 0) => \NLW_y_mul__0_PCOUT_UNCONNECTED\(47 downto 0), - RSTA => '0', - RSTALLCARRYIN => '0', - RSTALUMODE => '0', - RSTB => '0', - RSTC => '0', - RSTCTRL => '0', - RSTD => '0', - RSTINMODE => '0', - RSTM => '0', - RSTP => '0', - UNDERFLOW => \NLW_y_mul__0_UNDERFLOW_UNCONNECTED\ - ); -\y_mul__1_carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y_mul__1_carry_n_0\, - CO(2) => \y_mul__1_carry_n_1\, - CO(1) => \y_mul__1_carry_n_2\, - CO(0) => \y_mul__1_carry_n_3\, - CYINIT => '0', - DI(3) => \^cy2[0]\(0), - DI(2) => \y_mul__1_carry_i_2__1_n_0\, - DI(1) => \y_mul__1_carry_i_3__1_n_0\, - DI(0) => '0', - O(3) => \y_mul__1_carry_n_4\, - O(2) => \y_mul__1_carry_n_5\, - O(1) => \y_mul__1_carry_n_6\, - O(0) => \y_mul__1_carry_n_7\, - S(3) => \i__carry_i_3__1_0\(0), - S(2) => \y_mul__1_carry_i_5__1_n_0\, - S(1) => \y_mul__1_carry_i_6__1_n_0\, - S(0) => \y_mul__1_carry_i_7__1_n_0\ - ); -\y_mul__1_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry_n_0\, - CO(3) => \y_mul__1_carry__0_n_0\, - CO(2) => \y_mul__1_carry__0_n_1\, - CO(1) => \y_mul__1_carry__0_n_2\, - CO(0) => \y_mul__1_carry__0_n_3\, - CYINIT => '0', - DI(3 downto 0) => \i__carry__0_i_3__1_0\(3 downto 0), - O(3) => \y_mul__1_carry__0_n_4\, - O(2) => \y_mul__1_carry__0_n_5\, - O(1) => \y_mul__1_carry__0_n_6\, - O(0) => \y_mul__1_carry__0_n_7\, - S(3 downto 0) => \i__carry__0_i_3__1_1\(3 downto 0) - ); -\y_mul__1_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__0_n_0\, - CO(3) => \y_mul__1_carry__1_n_0\, - CO(2) => \y_mul__1_carry__1_n_1\, - CO(1) => \y_mul__1_carry__1_n_2\, - CO(0) => \y_mul__1_carry__1_n_3\, - CYINIT => '0', - DI(3 downto 0) => \i__carry__1_i_3__1_0\(3 downto 0), - O(3) => \y_mul__1_carry__1_n_4\, - O(2) => \y_mul__1_carry__1_n_5\, - O(1) => \y_mul__1_carry__1_n_6\, - O(0) => \y_mul__1_carry__1_n_7\, - S(3 downto 0) => \i__carry__1_i_3__1_1\(3 downto 0) - ); -\y_mul__1_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__1_n_0\, - CO(3) => \y_mul__1_carry__2_n_0\, - CO(2) => \y_mul__1_carry__2_n_1\, - CO(1) => \y_mul__1_carry__2_n_2\, - CO(0) => \y_mul__1_carry__2_n_3\, - CYINIT => '0', - DI(3 downto 0) => \i__carry__2_i_3__1_0\(3 downto 0), - O(3) => \y_mul__1_carry__2_n_4\, - O(2) => \y_mul__1_carry__2_n_5\, - O(1) => \y_mul__1_carry__2_n_6\, - O(0) => \y_mul__1_carry__2_n_7\, - S(3 downto 0) => \i__carry__2_i_3__1_1\(3 downto 0) - ); -\y_mul__1_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__2_n_0\, - CO(3) => \y_mul__1_carry__3_n_0\, - CO(2) => \y_mul__1_carry__3_n_1\, - CO(1) => \y_mul__1_carry__3_n_2\, - CO(0) => \y_mul__1_carry__3_n_3\, - CYINIT => '0', - DI(3 downto 0) => \i__carry__3_i_3__1_0\(3 downto 0), - O(3) => \y_mul__1_carry__3_n_4\, - O(2) => \y_mul__1_carry__3_n_5\, - O(1) => \y_mul__1_carry__3_n_6\, - O(0) => \y_mul__1_carry__3_n_7\, - S(3 downto 0) => \i__carry__3_i_3__1_1\(3 downto 0) - ); -\y_mul__1_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__3_n_0\, - CO(3) => \y_mul__1_carry__4_n_0\, - CO(2) => \y_mul__1_carry__4_n_1\, - CO(1) => \y_mul__1_carry__4_n_2\, - CO(0) => \y_mul__1_carry__4_n_3\, - CYINIT => '0', - DI(3) => \^cy2[21]\(0), - DI(2 downto 0) => \i__carry__4_i_3__1_0\(2 downto 0), - O(3) => \y_mul__1_carry__4_n_4\, - O(2) => \y_mul__1_carry__4_n_5\, - O(1) => \y_mul__1_carry__4_n_6\, - O(0) => \y_mul__1_carry__4_n_7\, - S(3 downto 0) => \i__carry__4_i_3__1_1\(3 downto 0) - ); -\y_mul__1_carry__4_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"7888877787778777" - ) - port map ( - I0 => cy2(21), - I1 => \y_mul__1_carry__5_0\(0), - I2 => cy2(22), - I3 => \y_mul__1_carry__5_1\(1), - I4 => \y_mul__1_carry__5_1\(0), - I5 => cy2(23), - O => \^cy2[21]\(0) - ); -\y_mul__1_carry__4_i_9__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => cy2(21), - I1 => \y_mul__1_carry__5_1\(1), - O => \cy2[21]_0\ - ); -\y_mul__1_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul__1_carry__4_n_0\, - CO(3) => \NLW_y_mul__1_carry__5_CO_UNCONNECTED\(3), - CO(2) => \y_mul__1_carry__5_n_1\, - CO(1) => \NLW_y_mul__1_carry__5_CO_UNCONNECTED\(1), - CO(0) => \y_mul__1_carry__5_n_3\, - CYINIT => '0', - DI(3 downto 2) => B"00", - DI(1 downto 0) => \i__carry__5_i_3__1_0\(1 downto 0), - O(3 downto 2) => \NLW_y_mul__1_carry__5_O_UNCONNECTED\(3 downto 2), - O(1) => \y_mul__1_carry__5_n_6\, - O(0) => \y_mul__1_carry__5_n_7\, - S(3 downto 2) => B"01", - S(1) => \y_mul__1_carry__5_i_3__1_n_0\, - S(0) => \i__carry__5_i_3__1_1\(0) - ); -\y_mul__1_carry__5_i_3__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"E8FFDFFF" - ) - port map ( - I0 => cy2(21), - I1 => \y_mul__1_carry__5_1\(1), - I2 => cy2(22), - I3 => \y_mul__1_carry__5_0\(0), - I4 => cy2(23), - O => \y_mul__1_carry__5_i_3__1_n_0\ - ); -\y_mul__1_carry_i_1__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"F777" - ) - port map ( - I0 => \y_mul__1_carry__5_0\(0), - I1 => cy2(0), - I2 => \y_mul__1_carry__5_1\(1), - I3 => cy2(1), - O => \^cy2[0]\(0) - ); -\y_mul__1_carry_i_2__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"7888" - ) - port map ( - I0 => \y_mul__1_carry__5_1\(1), - I1 => cy2(1), - I2 => \y_mul__1_carry__5_0\(0), - I3 => cy2(0), - O => \y_mul__1_carry_i_2__1_n_0\ - ); -\y_mul__1_carry_i_3__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => \y_mul__1_carry__5_1\(0), - I1 => cy2(1), - O => \y_mul__1_carry_i_3__1_n_0\ - ); -\y_mul__1_carry_i_5__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8777788878887888" - ) - port map ( - I0 => cy2(0), - I1 => \y_mul__1_carry__5_0\(0), - I2 => cy2(1), - I3 => \y_mul__1_carry__5_1\(1), - I4 => \y_mul__1_carry__5_1\(0), - I5 => cy2(2), - O => \y_mul__1_carry_i_5__1_n_0\ - ); -\y_mul__1_carry_i_6__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"7888" - ) - port map ( - I0 => \y_mul__1_carry__5_1\(0), - I1 => cy2(1), - I2 => \y_mul__1_carry__5_1\(1), - I3 => cy2(0), - O => \y_mul__1_carry_i_6__1_n_0\ - ); -\y_mul__1_carry_i_7__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => cy2(0), - I1 => \y_mul__1_carry__5_1\(0), - O => \y_mul__1_carry_i_7__1_n_0\ - ); -\y_mul_inferred__1/i__carry\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \y_mul_inferred__1/i__carry_n_0\, - CO(2) => \y_mul_inferred__1/i__carry_n_1\, - CO(1) => \y_mul_inferred__1/i__carry_n_2\, - CO(0) => \y_mul_inferred__1/i__carry_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_86\, - DI(2) => \y_mul__0_n_87\, - DI(1) => \y_mul__0_n_88\, - DI(0) => '0', - O(3 downto 0) => \y_mul__1__0\(36 downto 33), - S(3) => \i__carry_i_1__1_n_0\, - S(2) => \i__carry_i_2__1_n_0\, - S(1) => \i__carry_i_3__1_n_0\, - S(0) => \y_mul__0_n_89\ - ); -\y_mul_inferred__1/i__carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__0_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__0_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__0_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__0_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_82\, - DI(2) => \y_mul__0_n_83\, - DI(1) => \y_mul__0_n_84\, - DI(0) => \y_mul__0_n_85\, - O(3 downto 0) => \y_mul__1__0\(40 downto 37), - S(3) => \i__carry__0_i_1__1_n_0\, - S(2) => \i__carry__0_i_2__1_n_0\, - S(1) => \i__carry__0_i_3__1_n_0\, - S(0) => \i__carry__0_i_4__1_n_0\ - ); -\y_mul_inferred__1/i__carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__0_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__1_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__1_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__1_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__1_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_78\, - DI(2) => \y_mul__0_n_79\, - DI(1) => \y_mul__0_n_80\, - DI(0) => \y_mul__0_n_81\, - O(3 downto 0) => \y_mul__1__0\(44 downto 41), - S(3) => \i__carry__1_i_1__1_n_0\, - S(2) => \i__carry__1_i_2__1_n_0\, - S(1) => \i__carry__1_i_3__1_n_0\, - S(0) => \i__carry__1_i_4__1_n_0\ - ); -\y_mul_inferred__1/i__carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__1_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__2_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__2_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__2_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__2_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_74\, - DI(2) => \y_mul__0_n_75\, - DI(1) => \y_mul__0_n_76\, - DI(0) => \y_mul__0_n_77\, - O(3 downto 0) => \y_mul__1__0\(48 downto 45), - S(3) => \i__carry__2_i_1__1_n_0\, - S(2) => \i__carry__2_i_2__1_n_0\, - S(1) => \i__carry__2_i_3__1_n_0\, - S(0) => \i__carry__2_i_4__1_n_0\ - ); -\y_mul_inferred__1/i__carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__2_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__3_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__3_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__3_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__3_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_70\, - DI(2) => \y_mul__0_n_71\, - DI(1) => \y_mul__0_n_72\, - DI(0) => \y_mul__0_n_73\, - O(3 downto 0) => \y_mul__1__0\(52 downto 49), - S(3) => \i__carry__3_i_1__1_n_0\, - S(2) => \i__carry__3_i_2__1_n_0\, - S(1) => \i__carry__3_i_3__1_n_0\, - S(0) => \i__carry__3_i_4__1_n_0\ - ); -\y_mul_inferred__1/i__carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__3_n_0\, - CO(3) => \y_mul_inferred__1/i__carry__4_n_0\, - CO(2) => \y_mul_inferred__1/i__carry__4_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__4_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__4_n_3\, - CYINIT => '0', - DI(3) => \y_mul__0_n_66\, - DI(2) => \y_mul__0_n_67\, - DI(1) => \y_mul__0_n_68\, - DI(0) => \y_mul__0_n_69\, - O(3 downto 0) => \y_mul__1__0\(56 downto 53), - S(3) => \i__carry__4_i_1__1_n_0\, - S(2) => \i__carry__4_i_2__1_n_0\, - S(1) => \i__carry__4_i_3__1_n_0\, - S(0) => \i__carry__4_i_4__1_n_0\ - ); -\y_mul_inferred__1/i__carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_mul_inferred__1/i__carry__4_n_0\, - CO(3) => \NLW_y_mul_inferred__1/i__carry__5_CO_UNCONNECTED\(3), - CO(2) => \y_mul_inferred__1/i__carry__5_n_1\, - CO(1) => \y_mul_inferred__1/i__carry__5_n_2\, - CO(0) => \y_mul_inferred__1/i__carry__5_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2) => \y_mul__0_n_63\, - DI(1) => \y_mul__0_n_64\, - DI(0) => \y_mul__0_n_65\, - O(3 downto 0) => \y_mul__1__0\(60 downto 57), - S(3) => \i__carry__5_i_1__1_n_0\, - S(2) => \i__carry__5_i_2__1_n_0\, - S(1) => \i__carry__5_i_3__1_n_0\, - S(0) => \i__carry__5_i_4__1_n_0\ - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_IIR_filter_0_0_IIR_filter is - port ( - Q : out STD_LOGIC_VECTOR ( 31 downto 0 ); - cy0 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - cy1 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - cy2 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - cx0 : in STD_LOGIC_VECTOR ( 3 downto 0 ); - cx1 : in STD_LOGIC_VECTOR ( 3 downto 0 ); - cx2 : in STD_LOGIC_VECTOR ( 3 downto 0 ); - ce : in STD_LOGIC; - clk : in STD_LOGIC; - reset : in STD_LOGIC; - input_r : in STD_LOGIC_VECTOR ( 15 downto 0 ); - cx : in STD_LOGIC_VECTOR ( 39 downto 0 ); - sample_ce : in STD_LOGIC; - input_l : in STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of audio_IIR_filter_0_0_IIR_filter : entity is "IIR_filter"; -end audio_IIR_filter_0_0_IIR_filter; - -architecture STRUCTURE of audio_IIR_filter_0_0_IIR_filter is - signal ch : STD_LOGIC; - signal ch_i_1_n_0 : STD_LOGIC; - signal ch_reg_rep_n_0 : STD_LOGIC; - signal ch_rep_i_1_n_0 : STD_LOGIC; - signal iir_tap_0_n_0 : STD_LOGIC; - signal iir_tap_0_n_1 : STD_LOGIC; - signal iir_tap_0_n_10 : STD_LOGIC; - signal iir_tap_0_n_100 : STD_LOGIC; - signal iir_tap_0_n_101 : STD_LOGIC; - signal iir_tap_0_n_102 : STD_LOGIC; - signal iir_tap_0_n_103 : STD_LOGIC; - signal iir_tap_0_n_104 : STD_LOGIC; - signal iir_tap_0_n_105 : STD_LOGIC; - signal iir_tap_0_n_106 : STD_LOGIC; - signal iir_tap_0_n_107 : STD_LOGIC; - signal iir_tap_0_n_108 : STD_LOGIC; - signal iir_tap_0_n_109 : STD_LOGIC; - signal iir_tap_0_n_11 : STD_LOGIC; - signal iir_tap_0_n_110 : STD_LOGIC; - signal iir_tap_0_n_111 : STD_LOGIC; - signal iir_tap_0_n_112 : STD_LOGIC; - signal iir_tap_0_n_113 : STD_LOGIC; - signal iir_tap_0_n_114 : STD_LOGIC; - signal iir_tap_0_n_115 : STD_LOGIC; - signal iir_tap_0_n_116 : STD_LOGIC; - signal iir_tap_0_n_117 : STD_LOGIC; - signal iir_tap_0_n_118 : STD_LOGIC; - signal iir_tap_0_n_119 : STD_LOGIC; - signal iir_tap_0_n_12 : STD_LOGIC; - signal iir_tap_0_n_120 : STD_LOGIC; - signal iir_tap_0_n_121 : STD_LOGIC; - signal iir_tap_0_n_122 : STD_LOGIC; - signal iir_tap_0_n_123 : STD_LOGIC; - signal iir_tap_0_n_124 : STD_LOGIC; - signal iir_tap_0_n_125 : STD_LOGIC; - signal iir_tap_0_n_126 : STD_LOGIC; - signal iir_tap_0_n_127 : STD_LOGIC; - signal iir_tap_0_n_128 : STD_LOGIC; - signal iir_tap_0_n_129 : STD_LOGIC; - signal iir_tap_0_n_13 : STD_LOGIC; - signal iir_tap_0_n_130 : STD_LOGIC; - signal iir_tap_0_n_131 : STD_LOGIC; - signal iir_tap_0_n_132 : STD_LOGIC; - signal iir_tap_0_n_133 : STD_LOGIC; - signal iir_tap_0_n_134 : STD_LOGIC; - signal iir_tap_0_n_135 : STD_LOGIC; - signal iir_tap_0_n_136 : STD_LOGIC; - signal iir_tap_0_n_137 : STD_LOGIC; - signal iir_tap_0_n_138 : STD_LOGIC; - signal iir_tap_0_n_139 : STD_LOGIC; - signal iir_tap_0_n_14 : STD_LOGIC; - signal iir_tap_0_n_140 : STD_LOGIC; - signal iir_tap_0_n_141 : STD_LOGIC; - signal iir_tap_0_n_142 : STD_LOGIC; - signal iir_tap_0_n_143 : STD_LOGIC; - signal iir_tap_0_n_144 : STD_LOGIC; - signal iir_tap_0_n_145 : STD_LOGIC; - signal iir_tap_0_n_146 : STD_LOGIC; - signal iir_tap_0_n_147 : STD_LOGIC; - signal iir_tap_0_n_148 : STD_LOGIC; - signal iir_tap_0_n_149 : STD_LOGIC; - signal iir_tap_0_n_15 : STD_LOGIC; - signal iir_tap_0_n_150 : STD_LOGIC; - signal iir_tap_0_n_16 : STD_LOGIC; - signal iir_tap_0_n_17 : STD_LOGIC; - signal iir_tap_0_n_18 : STD_LOGIC; - signal iir_tap_0_n_19 : STD_LOGIC; - signal iir_tap_0_n_20 : STD_LOGIC; - signal iir_tap_0_n_21 : STD_LOGIC; - signal iir_tap_0_n_22 : STD_LOGIC; - signal iir_tap_0_n_23 : STD_LOGIC; - signal iir_tap_0_n_24 : STD_LOGIC; - signal iir_tap_0_n_25 : STD_LOGIC; - signal iir_tap_0_n_26 : STD_LOGIC; - signal iir_tap_0_n_27 : STD_LOGIC; - signal iir_tap_0_n_28 : STD_LOGIC; - signal iir_tap_0_n_29 : STD_LOGIC; - signal iir_tap_0_n_3 : STD_LOGIC; - signal iir_tap_0_n_30 : STD_LOGIC; - signal iir_tap_0_n_31 : STD_LOGIC; - signal iir_tap_0_n_32 : STD_LOGIC; - signal iir_tap_0_n_33 : STD_LOGIC; - signal iir_tap_0_n_34 : STD_LOGIC; - signal iir_tap_0_n_35 : STD_LOGIC; - signal iir_tap_0_n_36 : STD_LOGIC; - signal iir_tap_0_n_37 : STD_LOGIC; - signal iir_tap_0_n_38 : STD_LOGIC; - signal iir_tap_0_n_39 : STD_LOGIC; - signal iir_tap_0_n_4 : STD_LOGIC; - signal iir_tap_0_n_40 : STD_LOGIC; - signal iir_tap_0_n_41 : STD_LOGIC; - signal iir_tap_0_n_42 : STD_LOGIC; - signal iir_tap_0_n_43 : STD_LOGIC; - signal iir_tap_0_n_44 : STD_LOGIC; - signal iir_tap_0_n_45 : STD_LOGIC; - signal iir_tap_0_n_46 : STD_LOGIC; - signal iir_tap_0_n_47 : STD_LOGIC; - signal iir_tap_0_n_48 : STD_LOGIC; - signal iir_tap_0_n_49 : STD_LOGIC; - signal iir_tap_0_n_5 : STD_LOGIC; - signal iir_tap_0_n_50 : STD_LOGIC; - signal iir_tap_0_n_51 : STD_LOGIC; - signal iir_tap_0_n_52 : STD_LOGIC; - signal iir_tap_0_n_53 : STD_LOGIC; - signal iir_tap_0_n_54 : STD_LOGIC; - signal iir_tap_0_n_55 : STD_LOGIC; - signal iir_tap_0_n_56 : STD_LOGIC; - signal iir_tap_0_n_57 : STD_LOGIC; - signal iir_tap_0_n_58 : STD_LOGIC; - signal iir_tap_0_n_59 : STD_LOGIC; - signal iir_tap_0_n_6 : STD_LOGIC; - signal iir_tap_0_n_60 : STD_LOGIC; - signal iir_tap_0_n_61 : STD_LOGIC; - signal iir_tap_0_n_62 : STD_LOGIC; - signal iir_tap_0_n_63 : STD_LOGIC; - signal iir_tap_0_n_64 : STD_LOGIC; - signal iir_tap_0_n_65 : STD_LOGIC; - signal iir_tap_0_n_66 : STD_LOGIC; - signal iir_tap_0_n_67 : STD_LOGIC; - signal iir_tap_0_n_68 : STD_LOGIC; - signal iir_tap_0_n_69 : STD_LOGIC; - signal iir_tap_0_n_7 : STD_LOGIC; - signal iir_tap_0_n_70 : STD_LOGIC; - signal iir_tap_0_n_71 : STD_LOGIC; - signal iir_tap_0_n_75 : STD_LOGIC; - signal iir_tap_0_n_76 : STD_LOGIC; - signal iir_tap_0_n_77 : STD_LOGIC; - signal iir_tap_0_n_78 : STD_LOGIC; - signal iir_tap_0_n_79 : STD_LOGIC; - signal iir_tap_0_n_8 : STD_LOGIC; - signal iir_tap_0_n_80 : STD_LOGIC; - signal iir_tap_0_n_81 : STD_LOGIC; - signal iir_tap_0_n_82 : STD_LOGIC; - signal iir_tap_0_n_83 : STD_LOGIC; - signal iir_tap_0_n_84 : STD_LOGIC; - signal iir_tap_0_n_85 : STD_LOGIC; - signal iir_tap_0_n_86 : STD_LOGIC; - signal iir_tap_0_n_87 : STD_LOGIC; - signal iir_tap_0_n_88 : STD_LOGIC; - signal iir_tap_0_n_89 : STD_LOGIC; - signal iir_tap_0_n_9 : STD_LOGIC; - signal iir_tap_0_n_90 : STD_LOGIC; - signal iir_tap_0_n_91 : STD_LOGIC; - signal iir_tap_0_n_92 : STD_LOGIC; - signal iir_tap_0_n_93 : STD_LOGIC; - signal iir_tap_0_n_94 : STD_LOGIC; - signal iir_tap_0_n_95 : STD_LOGIC; - signal iir_tap_0_n_96 : STD_LOGIC; - signal iir_tap_0_n_97 : STD_LOGIC; - signal iir_tap_0_n_98 : STD_LOGIC; - signal iir_tap_0_n_99 : STD_LOGIC; - signal iir_tap_1_n_0 : STD_LOGIC; - signal iir_tap_1_n_1 : STD_LOGIC; - signal iir_tap_1_n_123 : STD_LOGIC; - signal iir_tap_1_n_2 : STD_LOGIC; - signal iir_tap_1_n_3 : STD_LOGIC; - signal iir_tap_1_n_5 : STD_LOGIC; - signal iir_tap_1_n_6 : STD_LOGIC; - signal iir_tap_1_n_85 : STD_LOGIC; - signal iir_tap_2_n_0 : STD_LOGIC; - signal iir_tap_2_n_1 : STD_LOGIC; - signal iir_tap_2_n_122 : STD_LOGIC; - signal iir_tap_2_n_2 : STD_LOGIC; - signal iir_tap_2_n_3 : STD_LOGIC; - signal iir_tap_2_n_82 : STD_LOGIC; - signal iir_tap_2_n_83 : STD_LOGIC; - signal inp_m : STD_LOGIC_VECTOR ( 15 downto 0 ); - signal \inp_mul__0_n_103\ : STD_LOGIC; - signal \inp_mul__0_n_104\ : STD_LOGIC; - signal \inp_mul__0_n_105\ : STD_LOGIC; - signal \inp_mul__0_n_58\ : STD_LOGIC; - signal \inp_mul__0_n_59\ : STD_LOGIC; - signal \inp_mul__0_n_60\ : STD_LOGIC; - signal \inp_mul__0_n_61\ : STD_LOGIC; - signal \inp_mul__0_n_62\ : STD_LOGIC; - signal \inp_mul__0_n_63\ : STD_LOGIC; - signal \inp_mul__0_n_64\ : STD_LOGIC; - signal \inp_mul__0_n_65\ : STD_LOGIC; - signal \inp_mul__0_n_66\ : STD_LOGIC; - signal \inp_mul__1\ : STD_LOGIC_VECTOR ( 55 downto 20 ); - signal inp_mul_n_100 : STD_LOGIC; - signal inp_mul_n_101 : STD_LOGIC; - signal inp_mul_n_102 : STD_LOGIC; - signal inp_mul_n_103 : STD_LOGIC; - signal inp_mul_n_104 : STD_LOGIC; - signal inp_mul_n_105 : STD_LOGIC; - signal inp_mul_n_106 : STD_LOGIC; - signal inp_mul_n_107 : STD_LOGIC; - signal inp_mul_n_108 : STD_LOGIC; - signal inp_mul_n_109 : STD_LOGIC; - signal inp_mul_n_110 : STD_LOGIC; - signal inp_mul_n_111 : STD_LOGIC; - signal inp_mul_n_112 : STD_LOGIC; - signal inp_mul_n_113 : STD_LOGIC; - signal inp_mul_n_114 : STD_LOGIC; - signal inp_mul_n_115 : STD_LOGIC; - signal inp_mul_n_116 : STD_LOGIC; - signal inp_mul_n_117 : STD_LOGIC; - signal inp_mul_n_118 : STD_LOGIC; - signal inp_mul_n_119 : STD_LOGIC; - signal inp_mul_n_120 : STD_LOGIC; - signal inp_mul_n_121 : STD_LOGIC; - signal inp_mul_n_122 : STD_LOGIC; - signal inp_mul_n_123 : STD_LOGIC; - signal inp_mul_n_124 : STD_LOGIC; - signal inp_mul_n_125 : STD_LOGIC; - signal inp_mul_n_126 : STD_LOGIC; - signal inp_mul_n_127 : STD_LOGIC; - signal inp_mul_n_128 : STD_LOGIC; - signal inp_mul_n_129 : STD_LOGIC; - signal inp_mul_n_130 : STD_LOGIC; - signal inp_mul_n_131 : STD_LOGIC; - signal inp_mul_n_132 : STD_LOGIC; - signal inp_mul_n_133 : STD_LOGIC; - signal inp_mul_n_134 : STD_LOGIC; - signal inp_mul_n_135 : STD_LOGIC; - signal inp_mul_n_136 : STD_LOGIC; - signal inp_mul_n_137 : STD_LOGIC; - signal inp_mul_n_138 : STD_LOGIC; - signal inp_mul_n_139 : STD_LOGIC; - signal inp_mul_n_140 : STD_LOGIC; - signal inp_mul_n_141 : STD_LOGIC; - signal inp_mul_n_142 : STD_LOGIC; - signal inp_mul_n_143 : STD_LOGIC; - signal inp_mul_n_144 : STD_LOGIC; - signal inp_mul_n_145 : STD_LOGIC; - signal inp_mul_n_146 : STD_LOGIC; - signal inp_mul_n_147 : STD_LOGIC; - signal inp_mul_n_148 : STD_LOGIC; - signal inp_mul_n_149 : STD_LOGIC; - signal inp_mul_n_150 : STD_LOGIC; - signal inp_mul_n_151 : STD_LOGIC; - signal inp_mul_n_152 : STD_LOGIC; - signal inp_mul_n_153 : STD_LOGIC; - signal inp_mul_n_58 : STD_LOGIC; - signal inp_mul_n_59 : STD_LOGIC; - signal inp_mul_n_60 : STD_LOGIC; - signal inp_mul_n_61 : STD_LOGIC; - signal inp_mul_n_62 : STD_LOGIC; - signal inp_mul_n_63 : STD_LOGIC; - signal inp_mul_n_64 : STD_LOGIC; - signal inp_mul_n_65 : STD_LOGIC; - signal inp_mul_n_66 : STD_LOGIC; - signal inp_mul_n_67 : STD_LOGIC; - signal inp_mul_n_68 : STD_LOGIC; - signal inp_mul_n_69 : STD_LOGIC; - signal inp_mul_n_70 : STD_LOGIC; - signal inp_mul_n_71 : STD_LOGIC; - signal inp_mul_n_72 : STD_LOGIC; - signal inp_mul_n_73 : STD_LOGIC; - signal inp_mul_n_74 : STD_LOGIC; - signal inp_mul_n_75 : STD_LOGIC; - signal inp_mul_n_76 : STD_LOGIC; - signal inp_mul_n_77 : STD_LOGIC; - signal inp_mul_n_78 : STD_LOGIC; - signal inp_mul_n_79 : STD_LOGIC; - signal inp_mul_n_80 : STD_LOGIC; - signal inp_mul_n_81 : STD_LOGIC; - signal inp_mul_n_82 : STD_LOGIC; - signal inp_mul_n_83 : STD_LOGIC; - signal inp_mul_n_84 : STD_LOGIC; - signal inp_mul_n_85 : STD_LOGIC; - signal inp_mul_n_86 : STD_LOGIC; - signal inp_mul_n_87 : STD_LOGIC; - signal inp_mul_n_88 : STD_LOGIC; - signal inp_mul_n_89 : STD_LOGIC; - signal inp_mul_n_90 : STD_LOGIC; - signal inp_mul_n_91 : STD_LOGIC; - signal inp_mul_n_92 : STD_LOGIC; - signal inp_mul_n_93 : STD_LOGIC; - signal inp_mul_n_94 : STD_LOGIC; - signal inp_mul_n_95 : STD_LOGIC; - signal inp_mul_n_96 : STD_LOGIC; - signal inp_mul_n_97 : STD_LOGIC; - signal inp_mul_n_98 : STD_LOGIC; - signal inp_mul_n_99 : STD_LOGIC; - signal \intreg_reg[0]_2\ : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \intreg_reg[0]_4\ : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \intreg_reg[1]_3\ : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \intreg_reg[1]_5\ : STD_LOGIC_VECTOR ( 39 downto 0 ); - signal \out_l_reg_n_0_[0]\ : STD_LOGIC; - signal \out_l_reg_n_0_[10]\ : STD_LOGIC; - signal \out_l_reg_n_0_[11]\ : STD_LOGIC; - signal \out_l_reg_n_0_[12]\ : STD_LOGIC; - signal \out_l_reg_n_0_[13]\ : STD_LOGIC; - signal \out_l_reg_n_0_[14]\ : STD_LOGIC; - signal \out_l_reg_n_0_[15]\ : STD_LOGIC; - signal \out_l_reg_n_0_[1]\ : STD_LOGIC; - signal \out_l_reg_n_0_[2]\ : STD_LOGIC; - signal \out_l_reg_n_0_[3]\ : STD_LOGIC; - signal \out_l_reg_n_0_[4]\ : STD_LOGIC; - signal \out_l_reg_n_0_[5]\ : STD_LOGIC; - signal \out_l_reg_n_0_[6]\ : STD_LOGIC; - signal \out_l_reg_n_0_[7]\ : STD_LOGIC; - signal \out_l_reg_n_0_[8]\ : STD_LOGIC; - signal \out_l_reg_n_0_[9]\ : STD_LOGIC; - signal out_m : STD_LOGIC; - signal \out_m_reg_n_0_[0]\ : STD_LOGIC; - signal \out_m_reg_n_0_[10]\ : STD_LOGIC; - signal \out_m_reg_n_0_[11]\ : STD_LOGIC; - signal \out_m_reg_n_0_[12]\ : STD_LOGIC; - signal \out_m_reg_n_0_[13]\ : STD_LOGIC; - signal \out_m_reg_n_0_[14]\ : STD_LOGIC; - signal \out_m_reg_n_0_[15]\ : STD_LOGIC; - signal \out_m_reg_n_0_[1]\ : STD_LOGIC; - signal \out_m_reg_n_0_[2]\ : STD_LOGIC; - signal \out_m_reg_n_0_[3]\ : STD_LOGIC; - signal \out_m_reg_n_0_[4]\ : STD_LOGIC; - signal \out_m_reg_n_0_[5]\ : STD_LOGIC; - signal \out_m_reg_n_0_[6]\ : STD_LOGIC; - signal \out_m_reg_n_0_[7]\ : STD_LOGIC; - signal \out_m_reg_n_0_[8]\ : STD_LOGIC; - signal \out_m_reg_n_0_[9]\ : STD_LOGIC; - signal out_r : STD_LOGIC_VECTOR ( 15 downto 0 ); - signal p_0_in : STD_LOGIC_VECTOR ( 15 downto 0 ); - signal \p_0_in__0\ : STD_LOGIC; - signal p_1_in : STD_LOGIC_VECTOR ( 15 downto 14 ); - signal \p_1_in__0\ : STD_LOGIC_VECTOR ( 13 downto 0 ); - signal tap0 : STD_LOGIC_VECTOR ( 37 downto 35 ); - signal tap1 : STD_LOGIC_VECTOR ( 38 downto 2 ); - signal tap2 : STD_LOGIC_VECTOR ( 38 downto 2 ); - signal x_mul2_out : STD_LOGIC_VECTOR ( 1 to 1 ); - signal x_mul2_out_0 : STD_LOGIC_VECTOR ( 1 to 1 ); - signal \y_carry__0_n_0\ : STD_LOGIC; - signal \y_carry__0_n_1\ : STD_LOGIC; - signal \y_carry__0_n_2\ : STD_LOGIC; - signal \y_carry__0_n_3\ : STD_LOGIC; - signal \y_carry__0_n_4\ : STD_LOGIC; - signal \y_carry__0_n_5\ : STD_LOGIC; - signal \y_carry__0_n_6\ : STD_LOGIC; - signal \y_carry__0_n_7\ : STD_LOGIC; - signal \y_carry__1_n_0\ : STD_LOGIC; - signal \y_carry__1_n_1\ : STD_LOGIC; - signal \y_carry__1_n_2\ : STD_LOGIC; - signal \y_carry__1_n_3\ : STD_LOGIC; - signal \y_carry__1_n_4\ : STD_LOGIC; - signal \y_carry__1_n_5\ : STD_LOGIC; - signal \y_carry__1_n_6\ : STD_LOGIC; - signal \y_carry__1_n_7\ : STD_LOGIC; - signal \y_carry__2_n_0\ : STD_LOGIC; - signal \y_carry__2_n_1\ : STD_LOGIC; - signal \y_carry__2_n_2\ : STD_LOGIC; - signal \y_carry__2_n_3\ : STD_LOGIC; - signal \y_carry__2_n_4\ : STD_LOGIC; - signal \y_carry__2_n_5\ : STD_LOGIC; - signal \y_carry__2_n_6\ : STD_LOGIC; - signal \y_carry__2_n_7\ : STD_LOGIC; - signal \y_carry__3_n_0\ : STD_LOGIC; - signal \y_carry__3_n_1\ : STD_LOGIC; - signal \y_carry__3_n_2\ : STD_LOGIC; - signal \y_carry__3_n_3\ : STD_LOGIC; - signal \y_carry__3_n_4\ : STD_LOGIC; - signal \y_carry__3_n_5\ : STD_LOGIC; - signal \y_carry__3_n_6\ : STD_LOGIC; - signal \y_carry__3_n_7\ : STD_LOGIC; - signal \y_carry__4_n_0\ : STD_LOGIC; - signal \y_carry__4_n_1\ : STD_LOGIC; - signal \y_carry__4_n_2\ : STD_LOGIC; - signal \y_carry__4_n_3\ : STD_LOGIC; - signal \y_carry__5_n_0\ : STD_LOGIC; - signal \y_carry__5_n_1\ : STD_LOGIC; - signal \y_carry__5_n_2\ : STD_LOGIC; - signal \y_carry__5_n_3\ : STD_LOGIC; - signal \y_carry__6_n_0\ : STD_LOGIC; - signal \y_carry__6_n_1\ : STD_LOGIC; - signal \y_carry__6_n_2\ : STD_LOGIC; - signal \y_carry__6_n_3\ : STD_LOGIC; - signal \y_carry__7_n_0\ : STD_LOGIC; - signal \y_carry__7_n_1\ : STD_LOGIC; - signal \y_carry__7_n_2\ : STD_LOGIC; - signal \y_carry__7_n_3\ : STD_LOGIC; - signal \y_carry__8_n_1\ : STD_LOGIC; - signal \y_carry__8_n_2\ : STD_LOGIC; - signal \y_carry__8_n_3\ : STD_LOGIC; - signal \y_carry__8_n_5\ : STD_LOGIC; - signal \y_carry__8_n_6\ : STD_LOGIC; - signal \y_carry__8_n_7\ : STD_LOGIC; - signal y_carry_n_0 : STD_LOGIC; - signal y_carry_n_1 : STD_LOGIC; - signal y_carry_n_2 : STD_LOGIC; - signal y_carry_n_3 : STD_LOGIC; - signal y_carry_n_4 : STD_LOGIC; - signal y_carry_n_5 : STD_LOGIC; - signal y_carry_n_6 : STD_LOGIC; - signal y_carry_n_7 : STD_LOGIC; - signal y_clamp : STD_LOGIC_VECTOR ( 15 to 15 ); - signal NLW_inp_mul_CARRYCASCOUT_UNCONNECTED : STD_LOGIC; - signal NLW_inp_mul_MULTSIGNOUT_UNCONNECTED : STD_LOGIC; - signal NLW_inp_mul_OVERFLOW_UNCONNECTED : STD_LOGIC; - signal NLW_inp_mul_PATTERNBDETECT_UNCONNECTED : STD_LOGIC; - signal NLW_inp_mul_PATTERNDETECT_UNCONNECTED : STD_LOGIC; - signal NLW_inp_mul_UNDERFLOW_UNCONNECTED : STD_LOGIC; - signal NLW_inp_mul_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 ); - signal NLW_inp_mul_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 ); - signal NLW_inp_mul_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_inp_mul__0_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_inp_mul__0_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_inp_mul__0_OVERFLOW_UNCONNECTED\ : STD_LOGIC; - signal \NLW_inp_mul__0_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_inp_mul__0_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; - signal \NLW_inp_mul__0_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; - signal \NLW_inp_mul__0_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); - signal \NLW_inp_mul__0_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); - signal \NLW_inp_mul__0_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_inp_mul__0_PCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 0 ); - signal \NLW_y_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - attribute ORIG_CELL_NAME : string; - attribute ORIG_CELL_NAME of ch_reg : label is "ch_reg"; - attribute ORIG_CELL_NAME of ch_reg_rep : label is "ch_reg"; - attribute METHODOLOGY_DRC_VIOS : string; - attribute METHODOLOGY_DRC_VIOS of inp_mul : label is "{SYNTH-11 {cell *THIS*}}"; - attribute METHODOLOGY_DRC_VIOS of \inp_mul__0\ : label is "{SYNTH-11 {cell *THIS*}}"; - attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of y_carry : label is 35; - attribute ADDER_THRESHOLD of \y_carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \y_carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \y_carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \y_carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \y_carry__4\ : label is 35; - attribute ADDER_THRESHOLD of \y_carry__5\ : label is 35; - attribute ADDER_THRESHOLD of \y_carry__6\ : label is 35; - attribute ADDER_THRESHOLD of \y_carry__7\ : label is 35; - attribute ADDER_THRESHOLD of \y_carry__8\ : label is 35; -begin -ch_i_1: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => ce, - I1 => ch, - O => ch_i_1_n_0 - ); -ch_reg: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => ch_i_1_n_0, - Q => ch, - R => '0' - ); -ch_reg_rep: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => ch_rep_i_1_n_0, - Q => ch_reg_rep_n_0, - R => '0' - ); -ch_rep_i_1: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => ce, - I1 => ch, - O => ch_rep_i_1_n_0 - ); -iir_tap_0: entity work.audio_IIR_filter_0_0_iir_filter_tap - port map ( - B(16) => \y_carry__3_n_7\, - B(15) => \y_carry__2_n_4\, - B(14) => \y_carry__2_n_5\, - B(13) => \y_carry__2_n_6\, - B(12) => \y_carry__2_n_7\, - B(11) => \y_carry__1_n_4\, - B(10) => \y_carry__1_n_5\, - B(9) => \y_carry__1_n_6\, - B(8) => \y_carry__1_n_7\, - B(7) => \y_carry__0_n_4\, - B(6) => \y_carry__0_n_5\, - B(5) => \y_carry__0_n_6\, - B(4) => \y_carry__0_n_7\, - B(3) => y_carry_n_4, - B(2) => y_carry_n_5, - B(1) => y_carry_n_6, - B(0) => y_carry_n_7, - D(0) => \out_m_reg_n_0_[15]\, - DI(1) => iir_tap_1_n_5, - DI(0) => iir_tap_1_n_6, - E(0) => iir_tap_2_n_82, - O(0) => x_mul2_out(1), - P(1) => iir_tap_0_n_0, - P(0) => iir_tap_0_n_1, - Q(38) => \intreg_reg[1]_3\(39), - Q(37 downto 0) => \intreg_reg[1]_3\(37 downto 0), - S(0) => iir_tap_1_n_123, - ch => ch, - ch_reg_rep(3) => iir_tap_0_n_3, - ch_reg_rep(2) => iir_tap_0_n_4, - ch_reg_rep(1) => iir_tap_0_n_5, - ch_reg_rep(0) => iir_tap_0_n_6, - ch_reg_rep_0(0) => iir_tap_0_n_71, - clk => clk, - cx0(3 downto 0) => cx0(3 downto 0), - cy0(23 downto 0) => cy0(23 downto 0), - cy1(22 downto 0) => cy1(23 downto 1), - \cy1[10]\(3) => iir_tap_0_n_84, - \cy1[10]\(2) => iir_tap_0_n_85, - \cy1[10]\(1) => iir_tap_0_n_86, - \cy1[10]\(0) => iir_tap_0_n_87, - \cy1[13]\(3) => iir_tap_0_n_22, - \cy1[13]\(2) => iir_tap_0_n_23, - \cy1[13]\(1) => iir_tap_0_n_24, - \cy1[13]\(0) => iir_tap_0_n_25, - \cy1[14]\(3) => iir_tap_0_n_88, - \cy1[14]\(2) => iir_tap_0_n_89, - \cy1[14]\(1) => iir_tap_0_n_90, - \cy1[14]\(0) => iir_tap_0_n_91, - \cy1[17]\(3) => iir_tap_0_n_26, - \cy1[17]\(2) => iir_tap_0_n_27, - \cy1[17]\(1) => iir_tap_0_n_28, - \cy1[17]\(0) => iir_tap_0_n_29, - \cy1[18]\(3) => iir_tap_0_n_92, - \cy1[18]\(2) => iir_tap_0_n_93, - \cy1[18]\(1) => iir_tap_0_n_94, - \cy1[18]\(0) => iir_tap_0_n_95, - \cy1[20]\(2) => iir_tap_0_n_30, - \cy1[20]\(1) => iir_tap_0_n_31, - \cy1[20]\(0) => iir_tap_0_n_32, - \cy1[22]\(1) => iir_tap_0_n_33, - \cy1[22]\(0) => iir_tap_0_n_34, - \cy1[22]_0\(3) => iir_tap_0_n_75, - \cy1[22]_0\(2) => iir_tap_0_n_76, - \cy1[22]_0\(1) => iir_tap_0_n_77, - \cy1[22]_0\(0) => iir_tap_0_n_78, - \cy1[23]\(0) => iir_tap_0_n_96, - \cy1[2]\(0) => iir_tap_0_n_79, - \cy1[5]\(3) => iir_tap_0_n_14, - \cy1[5]\(2) => iir_tap_0_n_15, - \cy1[5]\(1) => iir_tap_0_n_16, - \cy1[5]\(0) => iir_tap_0_n_17, - \cy1[6]\(3) => iir_tap_0_n_80, - \cy1[6]\(2) => iir_tap_0_n_81, - \cy1[6]\(1) => iir_tap_0_n_82, - \cy1[6]\(0) => iir_tap_0_n_83, - \cy1[9]\(3) => iir_tap_0_n_18, - \cy1[9]\(2) => iir_tap_0_n_19, - \cy1[9]\(1) => iir_tap_0_n_20, - \cy1[9]\(0) => iir_tap_0_n_21, - cy2(22 downto 0) => cy2(23 downto 1), - \cy2[10]\(3) => iir_tap_0_n_106, - \cy2[10]\(2) => iir_tap_0_n_107, - \cy2[10]\(1) => iir_tap_0_n_108, - \cy2[10]\(0) => iir_tap_0_n_109, - \cy2[13]\(3) => iir_tap_0_n_43, - \cy2[13]\(2) => iir_tap_0_n_44, - \cy2[13]\(1) => iir_tap_0_n_45, - \cy2[13]\(0) => iir_tap_0_n_46, - \cy2[14]\(3) => iir_tap_0_n_110, - \cy2[14]\(2) => iir_tap_0_n_111, - \cy2[14]\(1) => iir_tap_0_n_112, - \cy2[14]\(0) => iir_tap_0_n_113, - \cy2[17]\(3) => iir_tap_0_n_47, - \cy2[17]\(2) => iir_tap_0_n_48, - \cy2[17]\(1) => iir_tap_0_n_49, - \cy2[17]\(0) => iir_tap_0_n_50, - \cy2[18]\(3) => iir_tap_0_n_114, - \cy2[18]\(2) => iir_tap_0_n_115, - \cy2[18]\(1) => iir_tap_0_n_116, - \cy2[18]\(0) => iir_tap_0_n_117, - \cy2[20]\(2) => iir_tap_0_n_51, - \cy2[20]\(1) => iir_tap_0_n_52, - \cy2[20]\(0) => iir_tap_0_n_53, - \cy2[22]\(1) => iir_tap_0_n_54, - \cy2[22]\(0) => iir_tap_0_n_55, - \cy2[22]_0\(3) => iir_tap_0_n_97, - \cy2[22]_0\(2) => iir_tap_0_n_98, - \cy2[22]_0\(1) => iir_tap_0_n_99, - \cy2[22]_0\(0) => iir_tap_0_n_100, - \cy2[23]\(0) => iir_tap_0_n_118, - \cy2[2]\(0) => iir_tap_0_n_101, - \cy2[5]\(3) => iir_tap_0_n_35, - \cy2[5]\(2) => iir_tap_0_n_36, - \cy2[5]\(1) => iir_tap_0_n_37, - \cy2[5]\(0) => iir_tap_0_n_38, - \cy2[6]\(3) => iir_tap_0_n_102, - \cy2[6]\(2) => iir_tap_0_n_103, - \cy2[6]\(1) => iir_tap_0_n_104, - \cy2[6]\(0) => iir_tap_0_n_105, - \cy2[9]\(3) => iir_tap_0_n_39, - \cy2[9]\(2) => iir_tap_0_n_40, - \cy2[9]\(1) => iir_tap_0_n_41, - \cy2[9]\(0) => iir_tap_0_n_42, - \inp_mul__0\ => iir_tap_0_n_11, - \inp_mul__0_0\ => iir_tap_0_n_12, - \inp_mul__0_1\ => iir_tap_0_n_56, - \inp_mul__0_10\ => iir_tap_0_n_65, - \inp_mul__0_11\ => iir_tap_0_n_66, - \inp_mul__0_12\ => iir_tap_0_n_67, - \inp_mul__0_13\ => iir_tap_0_n_68, - \inp_mul__0_14\ => iir_tap_0_n_69, - \inp_mul__0_15\ => iir_tap_0_n_70, - \inp_mul__0_16\(3) => iir_tap_0_n_119, - \inp_mul__0_16\(2) => iir_tap_0_n_120, - \inp_mul__0_16\(1) => iir_tap_0_n_121, - \inp_mul__0_16\(0) => iir_tap_0_n_122, - \inp_mul__0_17\(3) => iir_tap_0_n_123, - \inp_mul__0_17\(2) => iir_tap_0_n_124, - \inp_mul__0_17\(1) => iir_tap_0_n_125, - \inp_mul__0_17\(0) => iir_tap_0_n_126, - \inp_mul__0_18\(3) => iir_tap_0_n_127, - \inp_mul__0_18\(2) => iir_tap_0_n_128, - \inp_mul__0_18\(1) => iir_tap_0_n_129, - \inp_mul__0_18\(0) => iir_tap_0_n_130, - \inp_mul__0_19\(3) => iir_tap_0_n_131, - \inp_mul__0_19\(2) => iir_tap_0_n_132, - \inp_mul__0_19\(1) => iir_tap_0_n_133, - \inp_mul__0_19\(0) => iir_tap_0_n_134, - \inp_mul__0_2\ => iir_tap_0_n_57, - \inp_mul__0_20\(3) => iir_tap_0_n_135, - \inp_mul__0_20\(2) => iir_tap_0_n_136, - \inp_mul__0_20\(1) => iir_tap_0_n_137, - \inp_mul__0_20\(0) => iir_tap_0_n_138, - \inp_mul__0_21\(3) => iir_tap_0_n_139, - \inp_mul__0_21\(2) => iir_tap_0_n_140, - \inp_mul__0_21\(1) => iir_tap_0_n_141, - \inp_mul__0_21\(0) => iir_tap_0_n_142, - \inp_mul__0_22\(3) => iir_tap_0_n_143, - \inp_mul__0_22\(2) => iir_tap_0_n_144, - \inp_mul__0_22\(1) => iir_tap_0_n_145, - \inp_mul__0_22\(0) => iir_tap_0_n_146, - \inp_mul__0_23\(3) => iir_tap_0_n_147, - \inp_mul__0_23\(2) => iir_tap_0_n_148, - \inp_mul__0_23\(1) => iir_tap_0_n_149, - \inp_mul__0_23\(0) => iir_tap_0_n_150, - \inp_mul__0_3\ => iir_tap_0_n_58, - \inp_mul__0_4\ => iir_tap_0_n_59, - \inp_mul__0_5\ => iir_tap_0_n_60, - \inp_mul__0_6\ => iir_tap_0_n_61, - \inp_mul__0_7\ => iir_tap_0_n_62, - \inp_mul__0_8\ => iir_tap_0_n_63, - \inp_mul__0_9\ => iir_tap_0_n_64, - \intreg_reg[0][38]_0\(3) => iir_tap_0_n_7, - \intreg_reg[0][38]_0\(2) => iir_tap_0_n_8, - \intreg_reg[0][38]_0\(1) => iir_tap_0_n_9, - \intreg_reg[0][38]_0\(0) => iir_tap_0_n_10, - \intreg_reg[1][15]_0\ => ch_reg_rep_n_0, - \intreg_reg[1][37]_0\(2 downto 0) => tap0(37 downto 35), - out_m => out_m, - \out_m_reg[15]\ => iir_tap_0_n_13, - \out_r_reg[0]\(3) => \p_0_in__0\, - \out_r_reg[0]\(2) => \y_carry__8_n_5\, - \out_r_reg[0]\(1) => \y_carry__8_n_6\, - \out_r_reg[0]\(0) => \y_carry__8_n_7\, - \out_r_reg[0]_0\(1 downto 0) => p_1_in(15 downto 14), - \p_4_out_carry__8_i_4_0\(38) => \intreg_reg[0]_2\(39), - \p_4_out_carry__8_i_4_0\(37 downto 0) => \intreg_reg[0]_2\(37 downto 0), - reset => reset, - tap1(36 downto 0) => tap1(38 downto 2), - \y_mul__0_0\(16 downto 3) => \p_1_in__0\(13 downto 0), - \y_mul__0_0\(2) => \y_carry__3_n_4\, - \y_mul__0_0\(1) => \y_carry__3_n_5\, - \y_mul__0_0\(0) => \y_carry__3_n_6\, - \y_mul__0_1\(35 downto 0) => \inp_mul__1\(55 downto 20), - \y_mul__1_carry_0\(0) => iir_tap_1_n_2, - \y_mul__1_carry_1\(0) => iir_tap_2_n_0, - \y_mul__1_carry__4_0\(0) => iir_tap_1_n_3, - \y_mul__1_carry__4_1\ => iir_tap_1_n_85, - \y_mul__1_carry__4_2\(0) => iir_tap_2_n_1, - \y_mul__1_carry__4_3\ => iir_tap_2_n_83 - ); -iir_tap_1: entity work.audio_IIR_filter_0_0_iir_filter_tap_0 - port map ( - B(16) => \y_carry__3_n_7\, - B(15) => \y_carry__2_n_4\, - B(14) => \y_carry__2_n_5\, - B(13) => \y_carry__2_n_6\, - B(12) => \y_carry__2_n_7\, - B(11) => \y_carry__1_n_4\, - B(10) => \y_carry__1_n_5\, - B(9) => \y_carry__1_n_6\, - B(8) => \y_carry__1_n_7\, - B(7) => \y_carry__0_n_4\, - B(6) => \y_carry__0_n_5\, - B(5) => \y_carry__0_n_6\, - B(4) => \y_carry__0_n_7\, - B(3) => y_carry_n_4, - B(2) => y_carry_n_5, - B(1) => y_carry_n_6, - B(0) => y_carry_n_7, - DI(1) => iir_tap_2_n_2, - DI(0) => iir_tap_2_n_3, - E(0) => iir_tap_2_n_82, - O(0) => x_mul2_out_0(1), - P(1) => iir_tap_1_n_0, - P(0) => iir_tap_1_n_1, - Q(38) => \intreg_reg[1]_5\(39), - Q(37 downto 0) => \intreg_reg[1]_5\(37 downto 0), - S(0) => iir_tap_2_n_122, - ch => ch, - ch_reg(1) => iir_tap_1_n_5, - ch_reg(0) => iir_tap_1_n_6, - clk => clk, - cx0(0) => cx0(3), - cx1(3 downto 0) => cx1(3 downto 0), - cy1(23 downto 0) => cy1(23 downto 0), - \cy1[0]\(0) => iir_tap_1_n_2, - \cy1[21]\(0) => iir_tap_1_n_3, - \cy1[21]_0\ => iir_tap_1_n_85, - \i__carry__0_i_3__0_0\(3) => iir_tap_0_n_14, - \i__carry__0_i_3__0_0\(2) => iir_tap_0_n_15, - \i__carry__0_i_3__0_0\(1) => iir_tap_0_n_16, - \i__carry__0_i_3__0_0\(0) => iir_tap_0_n_17, - \i__carry__0_i_3__0_1\(3) => iir_tap_0_n_80, - \i__carry__0_i_3__0_1\(2) => iir_tap_0_n_81, - \i__carry__0_i_3__0_1\(1) => iir_tap_0_n_82, - \i__carry__0_i_3__0_1\(0) => iir_tap_0_n_83, - \i__carry__1_i_3__0_0\(3) => iir_tap_0_n_18, - \i__carry__1_i_3__0_0\(2) => iir_tap_0_n_19, - \i__carry__1_i_3__0_0\(1) => iir_tap_0_n_20, - \i__carry__1_i_3__0_0\(0) => iir_tap_0_n_21, - \i__carry__1_i_3__0_1\(3) => iir_tap_0_n_84, - \i__carry__1_i_3__0_1\(2) => iir_tap_0_n_85, - \i__carry__1_i_3__0_1\(1) => iir_tap_0_n_86, - \i__carry__1_i_3__0_1\(0) => iir_tap_0_n_87, - \i__carry__2_i_3__0_0\(3) => iir_tap_0_n_22, - \i__carry__2_i_3__0_0\(2) => iir_tap_0_n_23, - \i__carry__2_i_3__0_0\(1) => iir_tap_0_n_24, - \i__carry__2_i_3__0_0\(0) => iir_tap_0_n_25, - \i__carry__2_i_3__0_1\(3) => iir_tap_0_n_88, - \i__carry__2_i_3__0_1\(2) => iir_tap_0_n_89, - \i__carry__2_i_3__0_1\(1) => iir_tap_0_n_90, - \i__carry__2_i_3__0_1\(0) => iir_tap_0_n_91, - \i__carry__3_i_3__0_0\(3) => iir_tap_0_n_26, - \i__carry__3_i_3__0_0\(2) => iir_tap_0_n_27, - \i__carry__3_i_3__0_0\(1) => iir_tap_0_n_28, - \i__carry__3_i_3__0_0\(0) => iir_tap_0_n_29, - \i__carry__3_i_3__0_1\(3) => iir_tap_0_n_92, - \i__carry__3_i_3__0_1\(2) => iir_tap_0_n_93, - \i__carry__3_i_3__0_1\(1) => iir_tap_0_n_94, - \i__carry__3_i_3__0_1\(0) => iir_tap_0_n_95, - \i__carry__4_i_3__0_0\(2) => iir_tap_0_n_30, - \i__carry__4_i_3__0_0\(1) => iir_tap_0_n_31, - \i__carry__4_i_3__0_0\(0) => iir_tap_0_n_32, - \i__carry__4_i_3__0_1\(3) => iir_tap_0_n_75, - \i__carry__4_i_3__0_1\(2) => iir_tap_0_n_76, - \i__carry__4_i_3__0_1\(1) => iir_tap_0_n_77, - \i__carry__4_i_3__0_1\(0) => iir_tap_0_n_78, - \i__carry__5_i_3__0_0\(1) => iir_tap_0_n_33, - \i__carry__5_i_3__0_0\(0) => iir_tap_0_n_34, - \i__carry__5_i_3__0_1\(0) => iir_tap_0_n_96, - \i__carry_i_3__0_0\(0) => iir_tap_0_n_79, - \intreg_reg[0][39]_0\(38) => \intreg_reg[0]_2\(39), - \intreg_reg[0][39]_0\(37 downto 0) => \intreg_reg[0]_2\(37 downto 0), - \intreg_reg[1][38]_0\(36 downto 0) => tap1(38 downto 2), - \intreg_reg[1][39]_0\(38) => \intreg_reg[1]_3\(39), - \intreg_reg[1][39]_0\(37 downto 0) => \intreg_reg[1]_3\(37 downto 0), - \intreg_reg[1][3]_0\(1) => iir_tap_0_n_0, - \intreg_reg[1][3]_0\(0) => iir_tap_0_n_1, - \intreg_reg[1][3]_1\(0) => x_mul2_out(1), - out_m => out_m, - \p_4_out_carry__8_i_4\ => ch_reg_rep_n_0, - \p_4_out_carry__8_i_4__0_0\(38) => \intreg_reg[0]_4\(39), - \p_4_out_carry__8_i_4__0_0\(37 downto 0) => \intreg_reg[0]_4\(37 downto 0), - reset => reset, - tap2(36 downto 0) => tap2(38 downto 2), - \x_mul1__0_carry__7_0\(33 downto 0) => \inp_mul__1\(55 downto 22), - \y_mul__0_0\(0) => iir_tap_1_n_123, - \y_mul__0_1\(16 downto 3) => \p_1_in__0\(13 downto 0), - \y_mul__0_1\(2) => \y_carry__3_n_4\, - \y_mul__0_1\(1) => \y_carry__3_n_5\, - \y_mul__0_1\(0) => \y_carry__3_n_6\, - \y_mul__1_carry__5_0\(0) => \y_carry__8_n_7\, - \y_mul__1_carry__5_1\(1 downto 0) => p_1_in(15 downto 14) - ); -iir_tap_2: entity work.audio_IIR_filter_0_0_iir_filter_tap_1 - port map ( - B(16) => \y_carry__3_n_7\, - B(15) => \y_carry__2_n_4\, - B(14) => \y_carry__2_n_5\, - B(13) => \y_carry__2_n_6\, - B(12) => \y_carry__2_n_7\, - B(11) => \y_carry__1_n_4\, - B(10) => \y_carry__1_n_5\, - B(9) => \y_carry__1_n_6\, - B(8) => \y_carry__1_n_7\, - B(7) => \y_carry__0_n_4\, - B(6) => \y_carry__0_n_5\, - B(5) => \y_carry__0_n_6\, - B(4) => \y_carry__0_n_7\, - B(3) => y_carry_n_4, - B(2) => y_carry_n_5, - B(1) => y_carry_n_6, - B(0) => y_carry_n_7, - DI(1) => iir_tap_2_n_2, - DI(0) => iir_tap_2_n_3, - E(0) => iir_tap_2_n_82, - O(0) => x_mul2_out_0(1), - P(1) => iir_tap_1_n_0, - P(0) => iir_tap_1_n_1, - Q(38) => \intreg_reg[0]_4\(39), - Q(37 downto 0) => \intreg_reg[0]_4\(37 downto 0), - S(0) => iir_tap_2_n_122, - ce => ce, - ch => ch, - clk => clk, - cx1(0) => cx1(3), - cx2(3 downto 0) => cx2(3 downto 0), - cy2(23 downto 0) => cy2(23 downto 0), - \cy2[0]\(0) => iir_tap_2_n_0, - \cy2[21]\(0) => iir_tap_2_n_1, - \cy2[21]_0\ => iir_tap_2_n_83, - \i__carry__0_i_3__1_0\(3) => iir_tap_0_n_35, - \i__carry__0_i_3__1_0\(2) => iir_tap_0_n_36, - \i__carry__0_i_3__1_0\(1) => iir_tap_0_n_37, - \i__carry__0_i_3__1_0\(0) => iir_tap_0_n_38, - \i__carry__0_i_3__1_1\(3) => iir_tap_0_n_102, - \i__carry__0_i_3__1_1\(2) => iir_tap_0_n_103, - \i__carry__0_i_3__1_1\(1) => iir_tap_0_n_104, - \i__carry__0_i_3__1_1\(0) => iir_tap_0_n_105, - \i__carry__1_i_3__1_0\(3) => iir_tap_0_n_39, - \i__carry__1_i_3__1_0\(2) => iir_tap_0_n_40, - \i__carry__1_i_3__1_0\(1) => iir_tap_0_n_41, - \i__carry__1_i_3__1_0\(0) => iir_tap_0_n_42, - \i__carry__1_i_3__1_1\(3) => iir_tap_0_n_106, - \i__carry__1_i_3__1_1\(2) => iir_tap_0_n_107, - \i__carry__1_i_3__1_1\(1) => iir_tap_0_n_108, - \i__carry__1_i_3__1_1\(0) => iir_tap_0_n_109, - \i__carry__2_i_3__1_0\(3) => iir_tap_0_n_43, - \i__carry__2_i_3__1_0\(2) => iir_tap_0_n_44, - \i__carry__2_i_3__1_0\(1) => iir_tap_0_n_45, - \i__carry__2_i_3__1_0\(0) => iir_tap_0_n_46, - \i__carry__2_i_3__1_1\(3) => iir_tap_0_n_110, - \i__carry__2_i_3__1_1\(2) => iir_tap_0_n_111, - \i__carry__2_i_3__1_1\(1) => iir_tap_0_n_112, - \i__carry__2_i_3__1_1\(0) => iir_tap_0_n_113, - \i__carry__3_i_3__1_0\(3) => iir_tap_0_n_47, - \i__carry__3_i_3__1_0\(2) => iir_tap_0_n_48, - \i__carry__3_i_3__1_0\(1) => iir_tap_0_n_49, - \i__carry__3_i_3__1_0\(0) => iir_tap_0_n_50, - \i__carry__3_i_3__1_1\(3) => iir_tap_0_n_114, - \i__carry__3_i_3__1_1\(2) => iir_tap_0_n_115, - \i__carry__3_i_3__1_1\(1) => iir_tap_0_n_116, - \i__carry__3_i_3__1_1\(0) => iir_tap_0_n_117, - \i__carry__4_i_3__1_0\(2) => iir_tap_0_n_51, - \i__carry__4_i_3__1_0\(1) => iir_tap_0_n_52, - \i__carry__4_i_3__1_0\(0) => iir_tap_0_n_53, - \i__carry__4_i_3__1_1\(3) => iir_tap_0_n_97, - \i__carry__4_i_3__1_1\(2) => iir_tap_0_n_98, - \i__carry__4_i_3__1_1\(1) => iir_tap_0_n_99, - \i__carry__4_i_3__1_1\(0) => iir_tap_0_n_100, - \i__carry__5_i_3__1_0\(1) => iir_tap_0_n_54, - \i__carry__5_i_3__1_0\(0) => iir_tap_0_n_55, - \i__carry__5_i_3__1_1\(0) => iir_tap_0_n_118, - \i__carry_i_3__1_0\(0) => iir_tap_0_n_101, - \intreg_reg[1][38]_0\(36 downto 0) => tap2(38 downto 2), - \intreg_reg[1][39]_0\(38) => \intreg_reg[1]_5\(39), - \intreg_reg[1][39]_0\(37 downto 0) => \intreg_reg[1]_5\(37 downto 0), - out_m => out_m, - reset => reset, - \x_mul1__0_carry__7_0\(33 downto 0) => \inp_mul__1\(55 downto 22), - \y_mul__0_0\(16 downto 3) => \p_1_in__0\(13 downto 0), - \y_mul__0_0\(2) => \y_carry__3_n_4\, - \y_mul__0_0\(1) => \y_carry__3_n_5\, - \y_mul__0_0\(0) => \y_carry__3_n_6\, - \y_mul__1_carry__5_0\(0) => \y_carry__8_n_7\, - \y_mul__1_carry__5_1\(1 downto 0) => p_1_in(15 downto 14) - ); -\inp_m_reg[0]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(0), - Q => inp_m(0), - R => '0' - ); -\inp_m_reg[10]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(10), - Q => inp_m(10), - R => '0' - ); -\inp_m_reg[11]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(11), - Q => inp_m(11), - R => '0' - ); -\inp_m_reg[12]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(12), - Q => inp_m(12), - R => '0' - ); -\inp_m_reg[13]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(13), - Q => inp_m(13), - R => '0' - ); -\inp_m_reg[14]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(14), - Q => inp_m(14), - R => '0' - ); -\inp_m_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(15), - Q => inp_m(15), - R => '0' - ); -\inp_m_reg[1]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(1), - Q => inp_m(1), - R => '0' - ); -\inp_m_reg[2]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(2), - Q => inp_m(2), - R => '0' - ); -\inp_m_reg[3]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(3), - Q => inp_m(3), - R => '0' - ); -\inp_m_reg[4]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(4), - Q => inp_m(4), - R => '0' - ); -\inp_m_reg[5]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(5), - Q => inp_m(5), - R => '0' - ); -\inp_m_reg[6]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(6), - Q => inp_m(6), - R => '0' - ); -\inp_m_reg[7]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(7), - Q => inp_m(7), - R => '0' - ); -\inp_m_reg[8]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(8), - Q => inp_m(8), - R => '0' - ); -\inp_m_reg[9]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => input_r(9), - Q => inp_m(9), - R => '0' - ); -inp_mul: unisim.vcomponents.DSP48E1 - generic map( - ACASCREG => 0, - ADREG => 1, - ALUMODEREG => 0, - AREG => 0, - AUTORESET_PATDET => "NO_RESET", - A_INPUT => "DIRECT", - BCASCREG => 1, - BREG => 1, - B_INPUT => "DIRECT", - CARRYINREG => 0, - CARRYINSELREG => 0, - CREG => 1, - DREG => 1, - INMODEREG => 0, - MASK => X"3FFFFFFFFFFF", - MREG => 0, - OPMODEREG => 0, - PATTERN => X"000000000000", - PREG => 0, - SEL_MASK => "MASK", - SEL_PATTERN => "PATTERN", - USE_DPORT => false, - USE_MULT => "MULTIPLY", - USE_PATTERN_DETECT => "NO_PATDET", - USE_SIMD => "ONE48" - ) - port map ( - A(29 downto 17) => B"0000000000000", - A(16 downto 0) => cx(16 downto 0), - ACIN(29 downto 0) => B"000000000000000000000000000000", - ACOUT(29 downto 0) => NLW_inp_mul_ACOUT_UNCONNECTED(29 downto 0), - ALUMODE(3 downto 0) => B"0000", - B(17) => p_0_in(15), - B(16) => p_0_in(15), - B(15 downto 0) => p_0_in(15 downto 0), - BCIN(17 downto 0) => B"000000000000000000", - BCOUT(17 downto 0) => NLW_inp_mul_BCOUT_UNCONNECTED(17 downto 0), - C(47 downto 0) => B"111111111111111111111111111111111111111111111111", - CARRYCASCIN => '0', - CARRYCASCOUT => NLW_inp_mul_CARRYCASCOUT_UNCONNECTED, - CARRYIN => '0', - CARRYINSEL(2 downto 0) => B"000", - CARRYOUT(3 downto 0) => NLW_inp_mul_CARRYOUT_UNCONNECTED(3 downto 0), - CEA1 => '0', - CEA2 => '0', - CEAD => '0', - CEALUMODE => '0', - CEB1 => '0', - CEB2 => ce, - CEC => '0', - CECARRYIN => '0', - CECTRL => '0', - CED => '0', - CEINMODE => '0', - CEM => '0', - CEP => '0', - CLK => clk, - D(24 downto 0) => B"0000000000000000000000000", - INMODE(4 downto 0) => B"00000", - MULTSIGNIN => '0', - MULTSIGNOUT => NLW_inp_mul_MULTSIGNOUT_UNCONNECTED, - OPMODE(6 downto 0) => B"0000101", - OVERFLOW => NLW_inp_mul_OVERFLOW_UNCONNECTED, - P(47) => inp_mul_n_58, - P(46) => inp_mul_n_59, - P(45) => inp_mul_n_60, - P(44) => inp_mul_n_61, - P(43) => inp_mul_n_62, - P(42) => inp_mul_n_63, - P(41) => inp_mul_n_64, - P(40) => inp_mul_n_65, - P(39) => inp_mul_n_66, - P(38) => inp_mul_n_67, - P(37) => inp_mul_n_68, - P(36) => inp_mul_n_69, - P(35) => inp_mul_n_70, - P(34) => inp_mul_n_71, - P(33) => inp_mul_n_72, - P(32) => inp_mul_n_73, - P(31) => inp_mul_n_74, - P(30) => inp_mul_n_75, - P(29) => inp_mul_n_76, - P(28) => inp_mul_n_77, - P(27) => inp_mul_n_78, - P(26) => inp_mul_n_79, - P(25) => inp_mul_n_80, - P(24) => inp_mul_n_81, - P(23) => inp_mul_n_82, - P(22) => inp_mul_n_83, - P(21) => inp_mul_n_84, - P(20) => inp_mul_n_85, - P(19) => inp_mul_n_86, - P(18) => inp_mul_n_87, - P(17) => inp_mul_n_88, - P(16) => inp_mul_n_89, - P(15) => inp_mul_n_90, - P(14) => inp_mul_n_91, - P(13) => inp_mul_n_92, - P(12) => inp_mul_n_93, - P(11) => inp_mul_n_94, - P(10) => inp_mul_n_95, - P(9) => inp_mul_n_96, - P(8) => inp_mul_n_97, - P(7) => inp_mul_n_98, - P(6) => inp_mul_n_99, - P(5) => inp_mul_n_100, - P(4) => inp_mul_n_101, - P(3) => inp_mul_n_102, - P(2) => inp_mul_n_103, - P(1) => inp_mul_n_104, - P(0) => inp_mul_n_105, - PATTERNBDETECT => NLW_inp_mul_PATTERNBDETECT_UNCONNECTED, - PATTERNDETECT => NLW_inp_mul_PATTERNDETECT_UNCONNECTED, - PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000", - PCOUT(47) => inp_mul_n_106, - PCOUT(46) => inp_mul_n_107, - PCOUT(45) => inp_mul_n_108, - PCOUT(44) => inp_mul_n_109, - PCOUT(43) => inp_mul_n_110, - PCOUT(42) => inp_mul_n_111, - PCOUT(41) => inp_mul_n_112, - PCOUT(40) => inp_mul_n_113, - PCOUT(39) => inp_mul_n_114, - PCOUT(38) => inp_mul_n_115, - PCOUT(37) => inp_mul_n_116, - PCOUT(36) => inp_mul_n_117, - PCOUT(35) => inp_mul_n_118, - PCOUT(34) => inp_mul_n_119, - PCOUT(33) => inp_mul_n_120, - PCOUT(32) => inp_mul_n_121, - PCOUT(31) => inp_mul_n_122, - PCOUT(30) => inp_mul_n_123, - PCOUT(29) => inp_mul_n_124, - PCOUT(28) => inp_mul_n_125, - PCOUT(27) => inp_mul_n_126, - PCOUT(26) => inp_mul_n_127, - PCOUT(25) => inp_mul_n_128, - PCOUT(24) => inp_mul_n_129, - PCOUT(23) => inp_mul_n_130, - PCOUT(22) => inp_mul_n_131, - PCOUT(21) => inp_mul_n_132, - PCOUT(20) => inp_mul_n_133, - PCOUT(19) => inp_mul_n_134, - PCOUT(18) => inp_mul_n_135, - PCOUT(17) => inp_mul_n_136, - PCOUT(16) => inp_mul_n_137, - PCOUT(15) => inp_mul_n_138, - PCOUT(14) => inp_mul_n_139, - PCOUT(13) => inp_mul_n_140, - PCOUT(12) => inp_mul_n_141, - PCOUT(11) => inp_mul_n_142, - PCOUT(10) => inp_mul_n_143, - PCOUT(9) => inp_mul_n_144, - PCOUT(8) => inp_mul_n_145, - PCOUT(7) => inp_mul_n_146, - PCOUT(6) => inp_mul_n_147, - PCOUT(5) => inp_mul_n_148, - PCOUT(4) => inp_mul_n_149, - PCOUT(3) => inp_mul_n_150, - PCOUT(2) => inp_mul_n_151, - PCOUT(1) => inp_mul_n_152, - PCOUT(0) => inp_mul_n_153, - RSTA => '0', - RSTALLCARRYIN => '0', - RSTALUMODE => '0', - RSTB => '0', - RSTC => '0', - RSTCTRL => '0', - RSTD => '0', - RSTINMODE => '0', - RSTM => '0', - RSTP => '0', - UNDERFLOW => NLW_inp_mul_UNDERFLOW_UNCONNECTED - ); -\inp_mul__0\: unisim.vcomponents.DSP48E1 - generic map( - ACASCREG => 0, - ADREG => 1, - ALUMODEREG => 0, - AREG => 0, - AUTORESET_PATDET => "NO_RESET", - A_INPUT => "DIRECT", - BCASCREG => 1, - BREG => 1, - B_INPUT => "DIRECT", - CARRYINREG => 0, - CARRYINSELREG => 0, - CREG => 1, - DREG => 1, - INMODEREG => 0, - MASK => X"3FFFFFFFFFFF", - MREG => 0, - OPMODEREG => 0, - PATTERN => X"000000000000", - PREG => 0, - SEL_MASK => "MASK", - SEL_PATTERN => "PATTERN", - USE_DPORT => false, - USE_MULT => "MULTIPLY", - USE_PATTERN_DETECT => "NO_PATDET", - USE_SIMD => "ONE48" - ) - port map ( - A(29) => cx(39), - A(28) => cx(39), - A(27) => cx(39), - A(26) => cx(39), - A(25) => cx(39), - A(24) => cx(39), - A(23) => cx(39), - A(22 downto 0) => cx(39 downto 17), - ACIN(29 downto 0) => B"000000000000000000000000000000", - ACOUT(29 downto 0) => \NLW_inp_mul__0_ACOUT_UNCONNECTED\(29 downto 0), - ALUMODE(3 downto 0) => B"0000", - B(17) => p_0_in(15), - B(16) => p_0_in(15), - B(15 downto 0) => p_0_in(15 downto 0), - BCIN(17 downto 0) => B"000000000000000000", - BCOUT(17 downto 0) => \NLW_inp_mul__0_BCOUT_UNCONNECTED\(17 downto 0), - C(47 downto 0) => B"111111111111111111111111111111111111111111111111", - CARRYCASCIN => '0', - CARRYCASCOUT => \NLW_inp_mul__0_CARRYCASCOUT_UNCONNECTED\, - CARRYIN => '0', - CARRYINSEL(2 downto 0) => B"000", - CARRYOUT(3 downto 0) => \NLW_inp_mul__0_CARRYOUT_UNCONNECTED\(3 downto 0), - CEA1 => '0', - CEA2 => '0', - CEAD => '0', - CEALUMODE => '0', - CEB1 => '0', - CEB2 => ce, - CEC => '0', - CECARRYIN => '0', - CECTRL => '0', - CED => '0', - CEINMODE => '0', - CEM => '0', - CEP => '0', - CLK => clk, - D(24 downto 0) => B"0000000000000000000000000", - INMODE(4 downto 0) => B"00000", - MULTSIGNIN => '0', - MULTSIGNOUT => \NLW_inp_mul__0_MULTSIGNOUT_UNCONNECTED\, - OPMODE(6 downto 0) => B"1010101", - OVERFLOW => \NLW_inp_mul__0_OVERFLOW_UNCONNECTED\, - P(47) => \inp_mul__0_n_58\, - P(46) => \inp_mul__0_n_59\, - P(45) => \inp_mul__0_n_60\, - P(44) => \inp_mul__0_n_61\, - P(43) => \inp_mul__0_n_62\, - P(42) => \inp_mul__0_n_63\, - P(41) => \inp_mul__0_n_64\, - P(40) => \inp_mul__0_n_65\, - P(39) => \inp_mul__0_n_66\, - P(38 downto 3) => \inp_mul__1\(55 downto 20), - P(2) => \inp_mul__0_n_103\, - P(1) => \inp_mul__0_n_104\, - P(0) => \inp_mul__0_n_105\, - PATTERNBDETECT => \NLW_inp_mul__0_PATTERNBDETECT_UNCONNECTED\, - PATTERNDETECT => \NLW_inp_mul__0_PATTERNDETECT_UNCONNECTED\, - PCIN(47) => inp_mul_n_106, - PCIN(46) => inp_mul_n_107, - PCIN(45) => inp_mul_n_108, - PCIN(44) => inp_mul_n_109, - PCIN(43) => inp_mul_n_110, - PCIN(42) => inp_mul_n_111, - PCIN(41) => inp_mul_n_112, - PCIN(40) => inp_mul_n_113, - PCIN(39) => inp_mul_n_114, - PCIN(38) => inp_mul_n_115, - PCIN(37) => inp_mul_n_116, - PCIN(36) => inp_mul_n_117, - PCIN(35) => inp_mul_n_118, - PCIN(34) => inp_mul_n_119, - PCIN(33) => inp_mul_n_120, - PCIN(32) => inp_mul_n_121, - PCIN(31) => inp_mul_n_122, - PCIN(30) => inp_mul_n_123, - PCIN(29) => inp_mul_n_124, - PCIN(28) => inp_mul_n_125, - PCIN(27) => inp_mul_n_126, - PCIN(26) => inp_mul_n_127, - PCIN(25) => inp_mul_n_128, - PCIN(24) => inp_mul_n_129, - PCIN(23) => inp_mul_n_130, - PCIN(22) => inp_mul_n_131, - PCIN(21) => inp_mul_n_132, - PCIN(20) => inp_mul_n_133, - PCIN(19) => inp_mul_n_134, - PCIN(18) => inp_mul_n_135, - PCIN(17) => inp_mul_n_136, - PCIN(16) => inp_mul_n_137, - PCIN(15) => inp_mul_n_138, - PCIN(14) => inp_mul_n_139, - PCIN(13) => inp_mul_n_140, - PCIN(12) => inp_mul_n_141, - PCIN(11) => inp_mul_n_142, - PCIN(10) => inp_mul_n_143, - PCIN(9) => inp_mul_n_144, - PCIN(8) => inp_mul_n_145, - PCIN(7) => inp_mul_n_146, - PCIN(6) => inp_mul_n_147, - PCIN(5) => inp_mul_n_148, - PCIN(4) => inp_mul_n_149, - PCIN(3) => inp_mul_n_150, - PCIN(2) => inp_mul_n_151, - PCIN(1) => inp_mul_n_152, - PCIN(0) => inp_mul_n_153, - PCOUT(47 downto 0) => \NLW_inp_mul__0_PCOUT_UNCONNECTED\(47 downto 0), - RSTA => '0', - RSTALLCARRYIN => '0', - RSTALUMODE => '0', - RSTB => '0', - RSTC => '0', - RSTCTRL => '0', - RSTD => '0', - RSTINMODE => '0', - RSTM => '0', - RSTP => '0', - UNDERFLOW => \NLW_inp_mul__0_UNDERFLOW_UNCONNECTED\ - ); -inp_mul_i_1: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(15), - I1 => input_l(15), - I2 => ch_reg_rep_n_0, - O => p_0_in(15) - ); -inp_mul_i_10: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(6), - I1 => input_l(6), - I2 => ch_reg_rep_n_0, - O => p_0_in(6) - ); -inp_mul_i_11: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(5), - I1 => input_l(5), - I2 => ch_reg_rep_n_0, - O => p_0_in(5) - ); -inp_mul_i_12: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(4), - I1 => input_l(4), - I2 => ch_reg_rep_n_0, - O => p_0_in(4) - ); -inp_mul_i_13: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(3), - I1 => input_l(3), - I2 => ch_reg_rep_n_0, - O => p_0_in(3) - ); -inp_mul_i_14: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(2), - I1 => input_l(2), - I2 => ch_reg_rep_n_0, - O => p_0_in(2) - ); -inp_mul_i_15: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(1), - I1 => input_l(1), - I2 => ch_reg_rep_n_0, - O => p_0_in(1) - ); -inp_mul_i_16: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(0), - I1 => input_l(0), - I2 => ch_reg_rep_n_0, - O => p_0_in(0) - ); -inp_mul_i_2: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(14), - I1 => input_l(14), - I2 => ch_reg_rep_n_0, - O => p_0_in(14) - ); -inp_mul_i_3: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(13), - I1 => input_l(13), - I2 => ch_reg_rep_n_0, - O => p_0_in(13) - ); -inp_mul_i_4: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(12), - I1 => input_l(12), - I2 => ch_reg_rep_n_0, - O => p_0_in(12) - ); -inp_mul_i_5: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(11), - I1 => input_l(11), - I2 => ch_reg_rep_n_0, - O => p_0_in(11) - ); -inp_mul_i_6: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(10), - I1 => input_l(10), - I2 => ch_reg_rep_n_0, - O => p_0_in(10) - ); -inp_mul_i_7: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(9), - I1 => input_l(9), - I2 => ch_reg_rep_n_0, - O => p_0_in(9) - ); -inp_mul_i_8: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(8), - I1 => input_l(8), - I2 => ch_reg_rep_n_0, - O => p_0_in(8) - ); -inp_mul_i_9: unisim.vcomponents.LUT3 - generic map( - INIT => X"AC" - ) - port map ( - I0 => inp_m(7), - I1 => input_l(7), - I2 => ch_reg_rep_n_0, - O => p_0_in(7) - ); -\out_l_reg[0]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[0]\, - Q => \out_l_reg_n_0_[0]\, - R => '0' - ); -\out_l_reg[10]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[10]\, - Q => \out_l_reg_n_0_[10]\, - R => '0' - ); -\out_l_reg[11]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[11]\, - Q => \out_l_reg_n_0_[11]\, - R => '0' - ); -\out_l_reg[12]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[12]\, - Q => \out_l_reg_n_0_[12]\, - R => '0' - ); -\out_l_reg[13]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[13]\, - Q => \out_l_reg_n_0_[13]\, - R => '0' - ); -\out_l_reg[14]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[14]\, - Q => \out_l_reg_n_0_[14]\, - R => '0' - ); -\out_l_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[15]\, - Q => \out_l_reg_n_0_[15]\, - R => '0' - ); -\out_l_reg[1]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[1]\, - Q => \out_l_reg_n_0_[1]\, - R => '0' - ); -\out_l_reg[2]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[2]\, - Q => \out_l_reg_n_0_[2]\, - R => '0' - ); -\out_l_reg[3]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[3]\, - Q => \out_l_reg_n_0_[3]\, - R => '0' - ); -\out_l_reg[4]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[4]\, - Q => \out_l_reg_n_0_[4]\, - R => '0' - ); -\out_l_reg[5]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[5]\, - Q => \out_l_reg_n_0_[5]\, - R => '0' - ); -\out_l_reg[6]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[6]\, - Q => \out_l_reg_n_0_[6]\, - R => '0' - ); -\out_l_reg[7]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[7]\, - Q => \out_l_reg_n_0_[7]\, - R => '0' - ); -\out_l_reg[8]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[8]\, - Q => \out_l_reg_n_0_[8]\, - R => '0' - ); -\out_l_reg[9]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => \out_m_reg_n_0_[9]\, - Q => \out_l_reg_n_0_[9]\, - R => '0' - ); -\out_m_reg[0]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_70, - Q => \out_m_reg_n_0_[0]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[10]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_60, - Q => \out_m_reg_n_0_[10]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[11]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_59, - Q => \out_m_reg_n_0_[11]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[12]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_58, - Q => \out_m_reg_n_0_[12]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[13]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_57, - Q => \out_m_reg_n_0_[13]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[14]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_56, - Q => \out_m_reg_n_0_[14]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => '1', - D => iir_tap_0_n_13, - Q => \out_m_reg_n_0_[15]\, - R => '0' - ); -\out_m_reg[1]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_69, - Q => \out_m_reg_n_0_[1]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[2]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_68, - Q => \out_m_reg_n_0_[2]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[3]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_67, - Q => \out_m_reg_n_0_[3]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[4]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_66, - Q => \out_m_reg_n_0_[4]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[5]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_65, - Q => \out_m_reg_n_0_[5]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[6]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_64, - Q => \out_m_reg_n_0_[6]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[7]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_63, - Q => \out_m_reg_n_0_[7]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[8]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_62, - Q => \out_m_reg_n_0_[8]\, - S => iir_tap_0_n_12 - ); -\out_m_reg[9]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => out_m, - D => iir_tap_0_n_61, - Q => \out_m_reg_n_0_[9]\, - S => iir_tap_0_n_12 - ); -\out_r[15]_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"2" - ) - port map ( - I0 => \p_0_in__0\, - O => y_clamp(15) - ); -\out_r_reg[0]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_70, - Q => out_r(0), - S => iir_tap_0_n_11 - ); -\out_r_reg[10]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_60, - Q => out_r(10), - S => iir_tap_0_n_11 - ); -\out_r_reg[11]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_59, - Q => out_r(11), - S => iir_tap_0_n_11 - ); -\out_r_reg[12]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_58, - Q => out_r(12), - S => iir_tap_0_n_11 - ); -\out_r_reg[13]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_57, - Q => out_r(13), - S => iir_tap_0_n_11 - ); -\out_r_reg[14]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_56, - Q => out_r(14), - S => iir_tap_0_n_11 - ); -\out_r_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => y_clamp(15), - Q => out_r(15), - R => '0' - ); -\out_r_reg[1]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_69, - Q => out_r(1), - S => iir_tap_0_n_11 - ); -\out_r_reg[2]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_68, - Q => out_r(2), - S => iir_tap_0_n_11 - ); -\out_r_reg[3]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_67, - Q => out_r(3), - S => iir_tap_0_n_11 - ); -\out_r_reg[4]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_66, - Q => out_r(4), - S => iir_tap_0_n_11 - ); -\out_r_reg[5]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_65, - Q => out_r(5), - S => iir_tap_0_n_11 - ); -\out_r_reg[6]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_64, - Q => out_r(6), - S => iir_tap_0_n_11 - ); -\out_r_reg[7]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_63, - Q => out_r(7), - S => iir_tap_0_n_11 - ); -\out_r_reg[8]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_62, - Q => out_r(8), - S => iir_tap_0_n_11 - ); -\out_r_reg[9]\: unisim.vcomponents.FDSE - port map ( - C => clk, - CE => iir_tap_2_n_82, - D => iir_tap_0_n_61, - Q => out_r(9), - S => iir_tap_0_n_11 - ); -\out_reg[0]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(0), - Q => Q(0), - R => '0' - ); -\out_reg[10]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(10), - Q => Q(10), - R => '0' - ); -\out_reg[11]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(11), - Q => Q(11), - R => '0' - ); -\out_reg[12]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(12), - Q => Q(12), - R => '0' - ); -\out_reg[13]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(13), - Q => Q(13), - R => '0' - ); -\out_reg[14]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(14), - Q => Q(14), - R => '0' - ); -\out_reg[15]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(15), - Q => Q(15), - R => '0' - ); -\out_reg[16]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[0]\, - Q => Q(16), - R => '0' - ); -\out_reg[17]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[1]\, - Q => Q(17), - R => '0' - ); -\out_reg[18]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[2]\, - Q => Q(18), - R => '0' - ); -\out_reg[19]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[3]\, - Q => Q(19), - R => '0' - ); -\out_reg[1]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(1), - Q => Q(1), - R => '0' - ); -\out_reg[20]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[4]\, - Q => Q(20), - R => '0' - ); -\out_reg[21]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[5]\, - Q => Q(21), - R => '0' - ); -\out_reg[22]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[6]\, - Q => Q(22), - R => '0' - ); -\out_reg[23]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[7]\, - Q => Q(23), - R => '0' - ); -\out_reg[24]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[8]\, - Q => Q(24), - R => '0' - ); -\out_reg[25]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[9]\, - Q => Q(25), - R => '0' - ); -\out_reg[26]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[10]\, - Q => Q(26), - R => '0' - ); -\out_reg[27]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[11]\, - Q => Q(27), - R => '0' - ); -\out_reg[28]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[12]\, - Q => Q(28), - R => '0' - ); -\out_reg[29]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[13]\, - Q => Q(29), - R => '0' - ); -\out_reg[2]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(2), - Q => Q(2), - R => '0' - ); -\out_reg[30]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[14]\, - Q => Q(30), - R => '0' - ); -\out_reg[31]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => \out_l_reg_n_0_[15]\, - Q => Q(31), - R => '0' - ); -\out_reg[3]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(3), - Q => Q(3), - R => '0' - ); -\out_reg[4]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(4), - Q => Q(4), - R => '0' - ); -\out_reg[5]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(5), - Q => Q(5), - R => '0' - ); -\out_reg[6]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(6), - Q => Q(6), - R => '0' - ); -\out_reg[7]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(7), - Q => Q(7), - R => '0' - ); -\out_reg[8]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(8), - Q => Q(8), - R => '0' - ); -\out_reg[9]\: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => sample_ce, - D => out_r(9), - Q => Q(9), - R => '0' - ); -y_carry: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => y_carry_n_0, - CO(2) => y_carry_n_1, - CO(1) => y_carry_n_2, - CO(0) => y_carry_n_3, - CYINIT => '0', - DI(3 downto 0) => \inp_mul__1\(23 downto 20), - O(3) => y_carry_n_4, - O(2) => y_carry_n_5, - O(1) => y_carry_n_6, - O(0) => y_carry_n_7, - S(3) => iir_tap_0_n_119, - S(2) => iir_tap_0_n_120, - S(1) => iir_tap_0_n_121, - S(0) => iir_tap_0_n_122 - ); -\y_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => y_carry_n_0, - CO(3) => \y_carry__0_n_0\, - CO(2) => \y_carry__0_n_1\, - CO(1) => \y_carry__0_n_2\, - CO(0) => \y_carry__0_n_3\, - CYINIT => '0', - DI(3 downto 0) => \inp_mul__1\(27 downto 24), - O(3) => \y_carry__0_n_4\, - O(2) => \y_carry__0_n_5\, - O(1) => \y_carry__0_n_6\, - O(0) => \y_carry__0_n_7\, - S(3) => iir_tap_0_n_123, - S(2) => iir_tap_0_n_124, - S(1) => iir_tap_0_n_125, - S(0) => iir_tap_0_n_126 - ); -\y_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_carry__0_n_0\, - CO(3) => \y_carry__1_n_0\, - CO(2) => \y_carry__1_n_1\, - CO(1) => \y_carry__1_n_2\, - CO(0) => \y_carry__1_n_3\, - CYINIT => '0', - DI(3 downto 0) => \inp_mul__1\(31 downto 28), - O(3) => \y_carry__1_n_4\, - O(2) => \y_carry__1_n_5\, - O(1) => \y_carry__1_n_6\, - O(0) => \y_carry__1_n_7\, - S(3) => iir_tap_0_n_127, - S(2) => iir_tap_0_n_128, - S(1) => iir_tap_0_n_129, - S(0) => iir_tap_0_n_130 - ); -\y_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_carry__1_n_0\, - CO(3) => \y_carry__2_n_0\, - CO(2) => \y_carry__2_n_1\, - CO(1) => \y_carry__2_n_2\, - CO(0) => \y_carry__2_n_3\, - CYINIT => '0', - DI(3 downto 0) => \inp_mul__1\(35 downto 32), - O(3) => \y_carry__2_n_4\, - O(2) => \y_carry__2_n_5\, - O(1) => \y_carry__2_n_6\, - O(0) => \y_carry__2_n_7\, - S(3) => iir_tap_0_n_131, - S(2) => iir_tap_0_n_132, - S(1) => iir_tap_0_n_133, - S(0) => iir_tap_0_n_134 - ); -\y_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_carry__2_n_0\, - CO(3) => \y_carry__3_n_0\, - CO(2) => \y_carry__3_n_1\, - CO(1) => \y_carry__3_n_2\, - CO(0) => \y_carry__3_n_3\, - CYINIT => '0', - DI(3 downto 0) => \inp_mul__1\(39 downto 36), - O(3) => \y_carry__3_n_4\, - O(2) => \y_carry__3_n_5\, - O(1) => \y_carry__3_n_6\, - O(0) => \y_carry__3_n_7\, - S(3) => iir_tap_0_n_135, - S(2) => iir_tap_0_n_136, - S(1) => iir_tap_0_n_137, - S(0) => iir_tap_0_n_138 - ); -\y_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_carry__3_n_0\, - CO(3) => \y_carry__4_n_0\, - CO(2) => \y_carry__4_n_1\, - CO(1) => \y_carry__4_n_2\, - CO(0) => \y_carry__4_n_3\, - CYINIT => '0', - DI(3 downto 0) => \inp_mul__1\(43 downto 40), - O(3 downto 0) => \p_1_in__0\(3 downto 0), - S(3) => iir_tap_0_n_139, - S(2) => iir_tap_0_n_140, - S(1) => iir_tap_0_n_141, - S(0) => iir_tap_0_n_142 - ); -\y_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_carry__4_n_0\, - CO(3) => \y_carry__5_n_0\, - CO(2) => \y_carry__5_n_1\, - CO(1) => \y_carry__5_n_2\, - CO(0) => \y_carry__5_n_3\, - CYINIT => '0', - DI(3 downto 0) => \inp_mul__1\(47 downto 44), - O(3 downto 0) => \p_1_in__0\(7 downto 4), - S(3) => iir_tap_0_n_143, - S(2) => iir_tap_0_n_144, - S(1) => iir_tap_0_n_145, - S(0) => iir_tap_0_n_146 - ); -\y_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_carry__5_n_0\, - CO(3) => \y_carry__6_n_0\, - CO(2) => \y_carry__6_n_1\, - CO(1) => \y_carry__6_n_2\, - CO(0) => \y_carry__6_n_3\, - CYINIT => '0', - DI(3 downto 0) => \inp_mul__1\(51 downto 48), - O(3 downto 0) => \p_1_in__0\(11 downto 8), - S(3) => iir_tap_0_n_147, - S(2) => iir_tap_0_n_148, - S(1) => iir_tap_0_n_149, - S(0) => iir_tap_0_n_150 - ); -\y_carry__7\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_carry__6_n_0\, - CO(3) => \y_carry__7_n_0\, - CO(2) => \y_carry__7_n_1\, - CO(1) => \y_carry__7_n_2\, - CO(0) => \y_carry__7_n_3\, - CYINIT => '0', - DI(3) => iir_tap_0_n_71, - DI(2 downto 0) => \inp_mul__1\(54 downto 52), - O(3 downto 2) => p_1_in(15 downto 14), - O(1 downto 0) => \p_1_in__0\(13 downto 12), - S(3) => iir_tap_0_n_3, - S(2) => iir_tap_0_n_4, - S(1) => iir_tap_0_n_5, - S(0) => iir_tap_0_n_6 - ); -\y_carry__8\: unisim.vcomponents.CARRY4 - port map ( - CI => \y_carry__7_n_0\, - CO(3) => \NLW_y_carry__8_CO_UNCONNECTED\(3), - CO(2) => \y_carry__8_n_1\, - CO(1) => \y_carry__8_n_2\, - CO(0) => \y_carry__8_n_3\, - CYINIT => '0', - DI(3) => '0', - DI(2 downto 0) => tap0(37 downto 35), - O(3) => \p_0_in__0\, - O(2) => \y_carry__8_n_5\, - O(1) => \y_carry__8_n_6\, - O(0) => \y_carry__8_n_7\, - S(3) => iir_tap_0_n_7, - S(2) => iir_tap_0_n_8, - S(1) => iir_tap_0_n_9, - S(0) => iir_tap_0_n_10 - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_IIR_filter_0_0 is - port ( - clk : in STD_LOGIC; - reset : in STD_LOGIC; - ce : in STD_LOGIC; - sample_ce : in STD_LOGIC; - cx : in STD_LOGIC_VECTOR ( 39 downto 0 ); - cx0 : in STD_LOGIC_VECTOR ( 7 downto 0 ); - cx1 : in STD_LOGIC_VECTOR ( 7 downto 0 ); - cx2 : in STD_LOGIC_VECTOR ( 7 downto 0 ); - cy0 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - cy1 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - cy2 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - input_l : in STD_LOGIC_VECTOR ( 15 downto 0 ); - input_r : in STD_LOGIC_VECTOR ( 15 downto 0 ); - output_l : out STD_LOGIC_VECTOR ( 15 downto 0 ); - output_r : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of audio_IIR_filter_0_0 : entity is true; - attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of audio_IIR_filter_0_0 : entity is "audio_IIR_filter_0_0,IIR_filter,{}"; - attribute DowngradeIPIdentifiedWarnings : string; - attribute DowngradeIPIdentifiedWarnings of audio_IIR_filter_0_0 : entity is "yes"; - attribute IP_DEFINITION_SOURCE : string; - attribute IP_DEFINITION_SOURCE of audio_IIR_filter_0_0 : entity is "module_ref"; - attribute X_CORE_INFO : string; - attribute X_CORE_INFO of audio_IIR_filter_0_0 : entity is "IIR_filter,Vivado 2021.2"; -end audio_IIR_filter_0_0; - -architecture STRUCTURE of audio_IIR_filter_0_0 is - attribute X_INTERFACE_INFO : string; - attribute X_INTERFACE_INFO of clk : signal is "xilinx.com:signal:clock:1.0 clk CLK"; - attribute X_INTERFACE_PARAMETER : string; - attribute X_INTERFACE_PARAMETER of clk : signal is "XIL_INTERFACENAME clk, ASSOCIATED_RESET reset, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0"; - attribute X_INTERFACE_INFO of reset : signal is "xilinx.com:signal:reset:1.0 reset RST"; - attribute X_INTERFACE_PARAMETER of reset : signal is "XIL_INTERFACENAME reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0"; -begin -inst: entity work.audio_IIR_filter_0_0_IIR_filter - port map ( - Q(31 downto 16) => output_l(15 downto 0), - Q(15 downto 0) => output_r(15 downto 0), - ce => ce, - clk => clk, - cx(39 downto 0) => cx(39 downto 0), - cx0(3) => cx0(7), - cx0(2 downto 0) => cx0(2 downto 0), - cx1(3) => cx1(7), - cx1(2 downto 0) => cx1(2 downto 0), - cx2(3) => cx2(7), - cx2(2 downto 0) => cx2(2 downto 0), - cy0(23 downto 0) => cy0(23 downto 0), - cy1(23 downto 0) => cy1(23 downto 0), - cy2(23 downto 0) => cy2(23 downto 0), - input_l(15 downto 0) => input_l(15 downto 0), - input_r(15 downto 0) => input_r(15 downto 0), - reset => reset, - sample_ce => sample_ce - ); -end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.v deleted file mode 100644 index 4ec33a8e..00000000 --- a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.v +++ /dev/null @@ -1,35 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:48 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode synth_stub -// v:/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.v -// Design : audio_IIR_filter_0_0 -// Purpose : Stub declaration of top-level module interface -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- - -// This empty module with port declaration file causes synthesis tools to infer a black box for IP. -// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. -// Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "IIR_filter,Vivado 2021.2" *) -module audio_IIR_filter_0_0(clk, reset, ce, sample_ce, cx, cx0, cx1, cx2, cy0, cy1, cy2, - input_l, input_r, output_l, output_r) -/* synthesis syn_black_box black_box_pad_pin="clk,reset,ce,sample_ce,cx[39:0],cx0[7:0],cx1[7:0],cx2[7:0],cy0[23:0],cy1[23:0],cy2[23:0],input_l[15:0],input_r[15:0],output_l[15:0],output_r[15:0]" */; - input clk; - input reset; - input ce; - input sample_ce; - input [39:0]cx; - input [7:0]cx0; - input [7:0]cx1; - input [7:0]cx2; - input [23:0]cy0; - input [23:0]cy1; - input [23:0]cy2; - input [15:0]input_l; - input [15:0]input_r; - output [15:0]output_l; - output [15:0]output_r; -endmodule diff --git a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.vhdl deleted file mode 100644 index b1e9a275..00000000 --- a/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.vhdl +++ /dev/null @@ -1,44 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:48 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode synth_stub --- v:/srcs/sources/bd/audio/ip/audio_IIR_filter_0_0/audio_IIR_filter_0_0_stub.vhdl --- Design : audio_IIR_filter_0_0 --- Purpose : Stub declaration of top-level module interface --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity audio_IIR_filter_0_0 is - Port ( - clk : in STD_LOGIC; - reset : in STD_LOGIC; - ce : in STD_LOGIC; - sample_ce : in STD_LOGIC; - cx : in STD_LOGIC_VECTOR ( 39 downto 0 ); - cx0 : in STD_LOGIC_VECTOR ( 7 downto 0 ); - cx1 : in STD_LOGIC_VECTOR ( 7 downto 0 ); - cx2 : in STD_LOGIC_VECTOR ( 7 downto 0 ); - cy0 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - cy1 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - cy2 : in STD_LOGIC_VECTOR ( 23 downto 0 ); - input_l : in STD_LOGIC_VECTOR ( 15 downto 0 ); - input_r : in STD_LOGIC_VECTOR ( 15 downto 0 ); - output_l : out STD_LOGIC_VECTOR ( 15 downto 0 ); - output_r : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - -end audio_IIR_filter_0_0; - -architecture stub of audio_IIR_filter_0_0 is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "clk,reset,ce,sample_ce,cx[39:0],cx0[7:0],cx1[7:0],cx2[7:0],cy0[23:0],cy1[23:0],cy2[23:0],input_l[15:0],input_r[15:0],output_l[15:0],output_r[15:0]"; -attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "IIR_filter,Vivado 2021.2"; -begin -end; diff --git a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0.dcp deleted file mode 100644 index e055b06e09530a26b6e4f00803c2296faf946486..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 36536 zcma&NbC6}vwk=#XyR0sD*|uHPW!tuGb=kIU+h&(-+phZd@0|PYcTc>C_ue15)*Ly; zm@_kD#o9Y!&n+hf3Wf><1Ox??YmlUfRU^f}1q=jK2@V7V379o9w4-&iwn|ErkIAP; z4bEBCox5p#Cs3R_FEp#m*TPbd`p9#R>1LgQyegBWQ2hUzv0#^W0Pz4b-rFpT?#VP z&7bK=A7A!*5AXfzsv4K>7#kwxC|y78x=f&7paFq}`2^CxPNIMtNdw=ZaRZ|=fM7Mc zTqjv!HnS4QhnYI`hX@mjFCCPwEz;}%8kjVHGy7zi8A)vu-_8%`Q;>nBrg-h>bZOy8P9v8&>!{aa z`38wUZ;LX=a`$-&+cPr7(NHWxfL7bKNTP^j-tY?ax53n-pU#eO z`sk4xs>kf8wizRAz+ahFft9*`nLE{8><5THjVV}-*ca>NGgF)H)Sv{qbnntoQMAE)^zq4DFs zi0uL(Q4!rSK4Db)C6qYnXY1_8Pu{H9J1)Fw-Lk_uWb?13AKTCK8OGh1+B2pTLmws! zIFH>@@zyLCQr)c?)20&15Aj66wDdzn>I%j!T9<1`ND1th%y&uw;)k$DXi9 zl7GB1ta}uXXwTT%-mfyQzMUTj2q=7fzE{k+JNR@-{~ahy;o0VJ+v1Y;;pzUH^5!!^ z`gq0r`nBG%w=ewZ{m!Zz-SdlVU|xWZVu!A{0dZ_8<(O}iruy2aJ;PT=>)4gAE90Y( z<$3-=@fBG4K-TrS;ZV$EHbxhfaR0Bl_v!M^--eI#v$f{c@w9l;ZS&%idDn>BJ>FOL zv}j(M;+FZt2PvIO(Z4!kO|-9%BdZ@KU%R}k+1}mWDRj~I&-3bpO5`e3owM3LjtQOI zT(o>N*L;U#6Md^Y25w3+3Y81Y-|3Tghf@zW>R(bZoH$xp2y`_n1>yGd>cH=xFPySV zx@hOTy3`3NV^2oyqcxAlGrk=jkL$|r_K(|VfnUB5`O9Krh+!v5kooi5)h<7g{&Iku zvzV+fLBh{e8Y=XqO4Aq1MhYl7Ie0O<@uPie=jIcGJbH}s(;qzq;5$jf2{0>R%6|j1 zJeWm7mGr#N@ayggoilI^VhTr*jbPy2GK~kT2$72kKR7<@?d`>c!Y!@u4;g5YEQ;{r zY#)uyxz{^8eHDLqKD(T&*WXL$^3e-1_-A~~#mY^1OyUnT?4t1@=yCic)f^Gx!kz<5 z8Cxn6$5rnpk}O1Qb?cJ{3GI4L)vp=hPTpz$*&r3!r<}#(tW3NRVp>DYSOHXpjO-_T zL1^*ltE{Tf818%46Z$~pWN7>eT0q|s#_u^xOS)XJH__~30bd>$@mk_l*D346$Mf#( z#L0~lsRXJf^G>Ks5(@dbRh{?rrs$q@>Qwh<0@cWdj}HHfbD7PzIg0k`7y7Gqw^wb% zLP(w!Sq{yFPsfJ^XNP9A>5#)Iy2k1Pk*{t?X}#2A@Ynh8Q_{0-e4Nks22*&t%AO<1?R?eY;mc$d zM;qh!0*`?4=0badyS*28E2j3R14HY_;m5;@pV?7Ih`5qMfqYFx=gUdP8@*+^?rv7A zCNEGyrDA01qx*O>8TnrJiln^DbE2xGTmes0(wSDGT;yApVdFf7=)xQK;mho`mX};) zI~qPJ@%UHvPhv_|Ze!cEOY)j7n>&xQJliggx_lN%#iqc7Uz!zkTZLt7!(*e?;bt99 zpBPu%uFr2B-m=-=qYXV+*}g-r#PZpM=WU?wS5_g%#mkNucN?2VUaYmxoW*u0mWntza;YViCjb592h zn^8Wtkp{%)(6@{Y{54!z%n(+rU{(xkeWWuJxBx=41Z1-TOLMSXn_+xsKU!t7lSsgx zV_q<`whb)ODGJkE5|6}lu)viTQ%E);TP+h=;S_|qdn1`zyVc2-TM*5kxFj4Q|8AU; zOlgZdwiGba2uRqfyK<9X_C0Q%AG}=&rN3ttx&xX2bc#PBEOcLyPfyM*d_Lv+nYeT3 zV(-kfvS^fbVZqp%s?Mg0g8r;d7V!@E@7vuKY*^wHud4O|TK0GE42v3eP#Ye}jW<$j-IYj(A@wT^}v_=WZ_U!o6H)PY3vZj(0zu z_379&>zs`}Oq|$wy`PfSY$2%l{_>Jo(P>S7a_Rmq)j=xOK^^Xj~dv%LqA^TGvh?=JcL^`jy+0CiLEQ;X-#war}1G& z;7PvBTw5B);>W_@m|wg#K9*xd*t&|4*1z$>m>h+KuufA$!;J4L@e>`RSe3 z)zZSF!lb)+wE6CI}rN;%tdO|@-%hJ-r%I_vJFPRX3t2fKV2!2tEbje)tFuRcq zWpJqQ!=J=>Z#wvfK6bp3HNu=pUA$3UnjfwX^&Q_kjhH2Wq@{SI*jENEE@vC}a6Q-f z-0glUy`#+hQIT~=_?e0D*wH+fYz)f%Wd4(DmwTar59c-!G+i>&Q3(-$1i>6TPQ?gR zrhb$UNlE6*KGeD4GjQ`Fwum8%78QPM|HIx2_L~L%>7wktPAl(+N=ePHM=g?x3wha- zhH$$Uh&=g>@D*wBCLiNIq2tE%)&0knKr1>+E3^V{is%gqx{#UmCve zjP{(T?fX;e9L$u>FJ@h8w5S68D!9k%MJWjtzQ--U@v%x95-Q!eF=bbv3C9%1JkgG@ zun&2Q&49S0q46kD9$$HBDiC>THjugQo|EVvGso{u38xu#rS;h}GH34K30HVGn3S5* zXB3?-<5k7f2UbzHM?pS5{bkq*t*jqfczBPo)HBNNP{|{d2Lai6WrRnl`hJCUN+mBK zBzXO>u})#M{6C;+*IQmMJBW0DA|`w>Rh-7p=~742#tp!YDsW8tmCopg$g$+-rRVs^ zto-FD?WM^I4BzhO7!{|^41^o)=it^K&95{9p9G&trA`8WG6LDY)vW!P;7=<%7%cqn+#qDnuoFas`wL_3U zC67xPW_1G5w-P!Gu7e*3opEY0KVIpT?$va%XaP0<6p4@m0c8WN0ksK{`z5}Coz_?8 zL3GRxmm`F&Gs+RP8=MXZN&zct6(v{F!Ook74nl@W!Vo1V-ws36{~O=#pVsRQ+zaLv zWK3!oL#qeE+xl=}LLF7x*ct@PCPWS{;U#Qh7cO;x+waFeMHnSzhp?O*FfJ7c!|6|7 zntRuu3v!s16`$6-S!gWtT2JwCcd^2ImCs?romQEw%G?>(>}{lgm^LX?d`;M}PuOry z*lZP*pbp-~BtVC=z~MH|VkML-jiXyE4CfigS! zpzOgF2)W#4p!*HCb1n`NT|Q>s4itwnw5P-hEJ3&AIj1e8Yjx`(mgD`q(rpMM8I}P{ z=Y>e<<`RU|v+;sznZJT->7`)w<&#nS1E?v2hSU_GVCxEzlD#Pi8{x=;y{JKG1|3OL7IO*;qZtvI(JZyhXf8!&tiU}RVIZlkAVk$Q6qYM;ry`M&SM|O=r(1^bs9P$+ z76~%r&*H!q@u0}i(;fI9fTt;-Md=^lrsf}t?6%?;3hk!m7YYouthsHBc!>kxFmFy;2JUrMKhuBu#{@E&FsdoR7J8zFIrrqP8oP1x(YOVv@7^Q zxR}NH`Km)IOz>NZYJRE%+`JjBsk8@vP` zvbhKkkHA*^8I{V=E3tmAa=OID&U(Izo!Aq$jeWh^!rk%~Ax2r^ZnN8pJVRGA0DTK-Gy8nc8L zM5PcECRpeK0Yo05@=PDYP9^!jyyDW-Xkdi|7j+;CNMUNg6i~vIeh2UYQ~7!c%%#7=>Wkn|spiJE$ndkG6PePP9@U_{9j;QnF8l3N5%L>Bqx z%FzS{=KUDLc1`5uXy)J^Ag26|c|aWWqzK?!rTbvO*ApWQ9{*fiPY;(Nw^jaDq%Uozj7t zF4!o{61kcKCNI%Z$$vc~9I)N}6iSwlG%#ZBvpR zn#Faa1)@LD{HE${8JFPDD6}2Q1^1Skhq4o9)%0&fukcsK_f<_{0lj=nxdi9WhQW434#1YZU z1&#cHO5{(3g8Q+{Kol8Y9WCW*b)(>`cF7K%{UPG=cVd;s+d6`%JH>`5QiyR#yqH-6 zloTSh#3@G9f5tONq#4o1 z#3e{cRZ@*8E92s&r7P*jl+=iSrKPIr$CuTV&@dA>;kyDi02jST(< zN)+cG=NQc{(?KUlOM3uJ49#7h}X4y=b@@>Hx<} z|E41tyUEDHaU=owJ-#GJ_u07c*Ph3j^&}YILKOAl>o)zY?GNH3f62Qi1W6PVVg2tX zR!D+^LIv1>%0C;BRrp^U`0pwS(jxy|1#l1^z!D+b7hZbXnnnJsU3Zakm^=?%m5d(E zsE6W+Qh5xFrI*oa5rhc>0~kmXIdSu*!np+QqSIN({sEI%9QLAMFn>*gD*tqgC?~TJ zJAiU9368@}q#~F3dtni3hZs+UE$}n`iKQH1EW&9TdpzMRv1|4*67J$yx|=|20($Af zc#m;Z8t9{ogxbQbAe=ZY%Ms3l3MdGV$Cp=+LN-v-jJbU>zh@IWtMT^r5PrdS5J&Bg zOOFheRaR$t6-QM1>s31rb8J|qvhkAG!?3!SAhH{{Y1{v*=5zNqDyA*e_9pIXXWpxJ zlQ=VGJ0y;wFFI>R29ph1XO5MNjjT zJ?DoE5Pm}o@eoWB=X%vf)HunYK~ z)S*2Kh8q;ChknUH>bC?7?GKG9$@8;i8tg}@+hEtj{?A4TVO`w~Sl0p>`BrZ#)GIPx ztJcl(AIheRVLLIxDm^EDWk1mParCr63ZkU+L=b8Hlh9g&D*Tv8O~utH{9*Lku+e(s z2`T-Iag=~yk4u4?PiLQ?Es4#&Sa}6?b^cWUBvR5%WElN~7Bpn!x^iCM^r5fHw|X^K zrijw$EY9zyK81?M*l1yitSMSYC!5H&c2X#dO8i!_cJfMt&-q3G+`VylzoS-9URBP!H^RR?nSYte>=6H(@6@ zIQ<-V{c908=5*D#rW?MqSs^9tXQm+sKers@hA3uD{`1#-xP@f4NzhU=2p3Gz!U~io zQ@l-JUJ~e{tRy9*)ZwnkcqJ~S0y1T&+RNm4UOz^jLs;+(94%TmRNXIh-Uf%#8+R21 zHC^ITT!T3Vs?hLg(?h>U=YVlSbUdajRVVHN-lb)jd>?8~lgXMBw-B$&8VunNe$J?@ zbr!tSZW`hmJRNdR#$k!F#T!N#Ke8b@nz%nLBo&>-d_+qD} zn=-oO>mQG-_dD`|i_3OQU1O_c>@Z8(v1_GGZ_3~SKiG`S)<*yJnbTY-A_s{H{(UwP z0&955b~|L8u)1Q@ZPjX$x+2n|OnBvFW#u(it2GOS-YQU7wt_zFs{LXtpiK&q)Ez9j zodDAkDEuM?N6Cdw$(60t${S#p+{-JdxY{JQ`dHZS>=slrm|Jg}SAQ%KeBK4E`$xcd zThRC)8^D|k4Y0Hcb9(!D1tk_GL`wN)i!SyCmHJ3uzt zhpwc@sa-m-xo~>6R7ZI*i&%UV-}pBM(aUHd}#cSQvk#u>dc^M6rD+s7P=I+w5Z+@ej~d zIoj<1=>|K-r-ZzljQDbg{qar9niygFue5SdLE`8K&;pk&_lwNzF_swttc5Npusfcl z`rg*fiTk@upFptNOrR&04bEVQITWJn-fVu?SUwL;t0cn%rj&##@7X+l?JfjQ1UucO z4R$fgigqh$smE0h)EkD2sJLFFmTr)l{}qeAZvm5GdLr5BF60S>MA4I2*jnoIGYw(w z=V{p=!E#8dA9>!AgQr~&6(kziV5mw2!5`U$Hviac(F|L}dPvIbtJ^iGO5WF(qB)|H zwX)4^oC02My2U22z|iD`d~B?BiXOP(C>;rlqR5STmGc+q7b`lzWw3)%mYfnOLwzK$ z;%@D$>y{~_BgvQ*6t9SaF|TTeF=uJ-pBIc)rToOAfG{Hzb1OosUkrvZH?#{b3D(** zC!}+WrX=${Mn$>H6Al2aVK-Z2hlob)cM63zAF=C-NAG{&hiU7d-#UPih2_vGbAlUj zCi)DB7wFY53NiG}M-Q!FCg48Mpk^MKLKw;lUJ|Q5gqpHPk)`2-wZ>>mCT=XwlE}TR zo2@O3e4&7_p21qRskL}OJlgvvJQzjSR z`4P}SbBILfm+|T*sQt6s-w;p1c`5>zA>XK$DL)a;1v4SOPliW)`W&}(LQwY5(Z$w6Yf#( zB23_+VTZ~=GsEQlP=o9LRZHB_3g*Iyp3;kuYeB~HZ2)*XTZHO#iO7Tsx)38S)$Wf4 z!KvAG-mY#8A^_JPE)V^GvshG#p3hc}chHQ7REoc116=i)v)sqK|KZX@yC zPLwgLxt<>zDqdN|h^zG3MgiAsn^37C1$K>pfr4txd+I`)nD+|~I~1*wJ58O+)xPkU zwzlA-T2-K?cH3;UtB|#YY7A+b#qm!mqI%@$G1A{7F}WfGjOmN&D~cHOS3jaY9S~qo9!??CqYuYMbYpcgQv!;>W+AU(<(Yattfq@liof=*wu)u{qij$uv;|T`O;%|up}!yRkQI^VY8Ef&FHYh&Zuw_7 zoL~5KA2ac3!;|bUr*;$KdP(AW4pfVIS)7Tm zf_v=7PRPSTdblnJ2Y$Mq&H4%1-YRk}Xos7UDY%B&Dzpz=t&Do2+;R|C-08DrIb1U9 z-!n5Rz0)vgC4`ZCHzz9ec(Nf?7-_E96jU7aasTx@8KGAvvWxy3z06XtVZf5Do*QP0P$W6eC`O3Stany zzJl1pF=l~;t*->%FH?HG4jngxr-1Er9pncRyAqK>&;7Lt#;m!gU!BtjojPzRQ9@Ntow2u#ac%Q1?mV6{ zMrHG;h@SnV06tK;hVGFm(~=o#l+B)r$LS{fqHL%yDWHE$CT60* zn&cTE&SDdm{BSls&+=vY(tCd%)IHRFvLOh`>HJ03;w$^1{B>wsO86wSC71B8a^H6? z4dVYQNAb@x>3o^~4F0>^$Hew{-_3}**T1vJ3GN{_|5pOT*q6Y|ZA-3}&XnBSdp6{M zCHT`b-t&RZ8<4ZnpR(S=_4Ss86mb^}3pwLyo3XtV3C48+KNhF6GOYS>eHkD`71Fwd z>@o{QzJ%1!X(&b{+J%^cG|xvHN=@Q5x`ov6p`b_892g!)cL!wfV#z^?Y}ZiQl&*iI zyyan@4th?Xs~4XRY9n&c4#MC+9>($u9ub3>28s=QJmAC`+_hn2S$X+-%GsIbpvYoi zNW5wHh`$WJy=@Tp20R}wMu*^847v}jUBH|+*0O|%1Z1dRNwk{6Z7SdHxB2hD^~kIA zr79)Gc`Mmq%XA`;s0433)a(YW!AbBT;hUs9^h6!3=VK5+0tu^XxOJL-VZ6MoMZPtL-o%dmd?;zJqrXY3kWEmu< z4&2O{)9y548C4~D%*rD- zUwf5Bk^S5Fa~ab+-l^;saKw~NGs>r%UoIAAl6x|=%_?4cpR4JAG4X*R3{XB3Z>j*7 z!v~ZLZ!J+O8LakDh_Jg_Q!`;wVomkM9#=p;FNs|)X&tZSzMbBGwp93bU@l4uLsl=E z@)`gS7i~aw_jY2(T(4HuRJTNOubu`k@nN$YU1Ro*(R`XF+w`2FoqIki2 z*1$?{8ZP;YeV={;o4*piC|hdpnr2u`I;wvZ-iGd|!kY!>Sn~*Ml8?-+_%aE!@2Ey8 z0%p=`cjiBoVPSoSzdmm>PM2PDV{!QmzrS9lGAHm+`yXOZHx1sOM{G%TzH*U5a=J#w zS9}wCKz+O=&7yMn$b*Jt(f=*~_(y(`Gc>c(!{@{FeFqs_`+{ZhPoj@=FFEn(oI2lQ zViPm~#fgtveuMAug7r_{BV9mVbl=RGQsIGr0~0>#e=p`W1(1K&^fL6noq(kD@A|h) zPuDTqlRX~-gq1!f|IoG{Z;7+mJ@*2H9RI_5n$v_o{FN1x`rFi^rs5gUOu|{gaNnUd zpSH!GHT`0(*qWhw{St2qY{ziMO56x16uBlqGC11N_(Fqn$I3~ryP_%fLZd1PXBAOi z0o4+k<82B@PO3G@cNlT#?cl@U#nFzL89A-kB^yxuTz8uI5Yk@7%0gdONh_ z5lCAU&G9?7v$d0(54*b+8yDko@o=a7BX0~pJ|UuSTde29G~Q%Yz_w>u)7#P6hqKkq zUPUYaMf3KsLRUu%A$}`nXry4iCn|cz*PDS`6ODK1!}#`I$p78J&Ys1C$1CYU?wJ7^ zZboL>@?yJ~ZGN={zvj4Q=DHZ)WIyA0neG0ZFQsWBv6YQN#F+~={O6|wLmDP1=! zr@IwX=QFO8y&b!owvp58bpo_hO80j~g^{zpd+^M8W$oEOGbNy}?5;Z7-E$L~gl;B! zB3|_oOhn3+sY^2%yzx!&`_bghhCyee!q+!bS}X^Be*M(p?N^WI)1$WV8b?MlERq}T}tw)Oz{C!5tw}#Ib zTf3!?x}`xAHN4wm>*eCKALUZo6e3H|>b9y-s%ESO)L+jGq1faF+<;EW?w|3blLBxo zLBF!@3Kg@R#uH@R|5C_uN~JP^SwKl~?n{_*pGJ~p-4-%sJ0UN;5Ka!RZw$tdV_O!1rG_giATTgxkURLYWdI=FA%sqQAtoM)@@ z)VTZats;Xo!RFqny>ln>h8CHft9vRLvUim-;AR@YnMw~KO{a$wX4Y-ouRdqbbPpl1 zj&I&$X_$xL_d`grMDcrC<#x!v-snW?()R8cjny>jqvDtZQKuuF$A$Wb@R*5*HR@xSF)p2Dus~lA618P48B--H9kU|J?*x$ ziKlJtC^xW?uTgPT!+6SDIX-mXGF;(Y`-xPI=#-vhtPrN@L77etA^nsZW|U+!TAU)x z?DE~eteAxb9Eag)gU=#L(0(?-!HsAU?GPfeR#?>eO>$`re!r#+{#d774fQ93&@~(GCnNjY`iYYpGkXVaWc8ODi{Jbj zsp|VV;M>y8!1ouv4+XeZ&Vsu=3pZbbL+zA=*2TKn+v%bK@2gkWM_b=BU`~an=NWyD1)7%Rr*ZNRgofZqBQ^5Y~@@nXiTRfsJJlU%ihd198**AmEWH|KIS=!Y- zmlMoIxA;9o(wFaG%oi zXlH)EpSYPpb^3hGZ+=?6-j;m779%%-^F=NCho3d?K7O%ZJ|-`_;=ip<_Y@w!m~QjD zUws4rXB)5l2upz?pnW$QFo^%}ZM^0-h7Pb}lT(vYF|HES5|OSGbm7mFQnZp&zo)1q zChaH2=;)+q7#En>7!}B6XvU={$0{YM$0lbQ>LsZqr$?!!lE-A_4G8)nqU7B$ z|C+_Z0{!QjywZ+4gaMu9d&oflFRjH6M*2;vmo_*es6+Bal=FpQgmM-F#?U^!h@P%p z&HKWrb{FjMw_+A#*2sbtw^xHd+jXaIFi!#9SJg z%{h@CS+!O~_auzfG5oFMG1{pRygpHLPNQ-((-mwOI z#OPbhW2m?XrlC%UQ&fKhgm2Ahul3tbGs5c#IRkQSD|fCbB(y7Vx_i-@8S?YTMIWS} z@y@Vyt&GM9MJ_QA<^CrQ2+Mm(SHh?N;whU3MXeAJll^_N9@&(XToR7ZC0|qe=as!- z>{B_coU)glQ0bF?hi#J#PL@f@Hn(J7)0~F-2%i?B7>8rvZAVy?iltk-tA9`qP!;x8 z$4^s+s$JQxMpZv0*7{g<21$o=Yu+7FyS3q%8nO0%=xmvJ|3pgD;spoJ>%#WGCR-Ys zl*>uYZ&OWKE;0&hoctvL>&t=E`3 z@D|A9i!jl3(!{V-hs}D~v+PMhF=a}Pk#DdC)zUHUi}khWx9w{P^rARjqn_)D%an`k z`csoZQ%9UiMxOjGIDBnCt&mrT;34@$IY5_F`k*r!gN+8yxEBV%= z0-=9{A-9yxdU;fr3DLZEIyDNYo%9@fsC;Wv5^v_-w2-R~uTR7#Q?T=L%7F|M6|D!e_|!TIBJ%3AXG zm4u|pw6&@nt?W7qS&x4#5nrNk_zPp(b-aASL~Y z!H93v?R_eN*Rbx*fxE>I#y2-}KKV6>3R$@f7ha3xBCm&o&$mVMFn`d(2B&rXhSN@I z#>_aBoFm_fnd6Z`yEGiP_7bBz4L4?rq*defqEfn4r+XXxPJf+db3>`(id59Brx?ON z@YNO4G>4^*ku<{w@eTgzF6}0~hH}P?Yl<25MhGqi`jyGy079%g7dFD*dgnS;`TK() z{>&F~4m~oYgtx?ylpoDG8kAOKk}9UzipY#E_HxwOQ-I1|oac#9Bh;>%>%b=96RRJ@ zhtJf35zxgXLvPZo@e0C>CI2DMUGV4i8-@7FTG2)tO2^-f`)J>xi<6SI1x_E$1&7 zBN@DA%ydRFn84!JR<&EF!IjS(RC51)G+UAxLa@_M0AhM-*$4JT7J9Xo4f;pwcDrpT z17=7SxJCHDJ*bBk6_0duw5Zl{+{z*g(r%1xGV|JL4iv zSf|V(=PlitIZ3LhuciwsKK@R4A9L4V>L75HDpXQxhy_U>!qkH3&HSvxtPuC8!+!3A z$k+UTcVhXm#s8o<2x`EI!0!W_0*Yj~umXke57#$J%Kn?GRAdcFF1Pu*l8T?PHy zwcX`tdNiHY_Ds9?<~Q@aYk4JtGfXz@y0I|J=3*#hus%9n7ZM%EL#!Hg@l$gRsl(>D zTYF!#<0d!47*@_HrtFe2QWcU%2Tcf0<_Bk{xZYWmabS~9gM zk;fw?X?rH4{fBDJ&l)XqpKEX2mSSQ~%-=3>PBjtFzt;CFK>LqX;DkLB3f4u3UB!1> zm4)=gTw%Pxd5 zw$$gCvlQ}>k8h@<&h!Kdwz7oC7^0c;+;fqCNXC>rK4{6Gs@yM_mr4p`Ysh||U8z+; zwF1k+ipwdLSYy3cm)ch}CwK4jLrG+*@-`5Q2h!w*~Qhx1S(+f!l|&d z%oEb!7TX>{EOS@8jvWmd0w&xs3Q-l&COq`rFmNtAmwl#6qT%K@KlNPpY`7%~)p1x+ zwRBAb@y2$y{UvwZB)o~pkA24>hvg}Ss|zK&F16jI)w9@1lqeheu7<+UstlFp;qoxWyr z;VM9txqdKnN6D0WrHq@J8c4;7u*Rd9!$j7pZPJRRopFcc22^nobcn4a!}twv40OdVo1vSOqHR&@ z5RGX)3xSP@HWExr`7W@%X1b#6;pI;Ml*c@9G5e{XHNq)8_+VCadPR;H#Gubn zF4d5BwB}e!H5)dL+}Md$bh6dpg_;>aE}Y64j#(i{n)( z%x&&|G8}oXikA%9?@Nmb2^4A{`%!zTb!E|O*zg%H8)xRaIyzP)-6>_I;8X>n<>B`I z#XUloWX?ZJgKr+Uwfobjx?HIjSBgvswE zY>LYvyEGn?6`2;WBrO6zB%SCXZXURgY@%LNx$ia}T~Y~6ZV57;?k5vhqIE#=udtpp zSMh=Z!*e{{?(9Q=cC3LH#@Q?S&FGW7)KaKhu=LI{A@z&wkeM*YcTHn znEW`JQf$1X$rWv#sNl^Ef6~dC$8+NN^_r<|>G*Z#xon#D&Pjon_GwxXWp)k>&Vp!t zb^;_>(l)=OR?${ zI(CPOjbFZ}qbje_#K-5BPyPkQ_HBw+34Ej66&hV7kqwLp;&|!2kSME9{$|f5(|v>X z-JlX#LITgn&MvdMmbQx}^z8HOOC?zv{4*hU2IH<#22TWnpSF01SrnIChi$nZbp=_^ z#A4ltqg{8*t5h>7pY^{6oUOA*q~%Os=pQ4Ex$cc@{h;sRW^x{~MxTMO0?8b8$ezW$ zL!^>FW8H(~Ss@x|iJWBYh^4p#)9J^Db4jHMumaQlqW{@#K|(mEp&W|nD&_*1(>Qlv znQ5+cOndLPiP(aK@50JL!{;hg&b`>Qr4ZTYtKo2YMKNVC<1OM$PdS~eaa-!ww5$&2 zmI`UMmxOkSr2T@bMY; z-Qv?#j2Me{+=q(X;9}F_T*bUo!)v`t-FdNWa2;q52)Cr-65p6Q;FE6254e?=*8~ zo(-$PQzN|VkscI#G5mQUK2Re!5#N+n4%)DpyE<3T$Yyn6K7E6-jC*~dJlcw5 zK7O5`&Aw92M%CUQW8wr%3#`CddYtLZBA;wPwnM+{=Vs_1JFl1ne=%NEEouu;d$WF1K7Z^6{9m{Z%*v=x?4sM1Dz$D$pFA{Om?9nlV=?RgyutcYdK z+;DPCe?waGXX1C`uP|er9A#_TBx>G#78iq%B9&r#Zw$b4TEn`vTg z^7@O&`0~a#JF+QW+EVPvR6XMj%5>b)6EvA`D4lM8?Lbj|iPSd@S-yuOttrVwBje2= zx#{$Io-3>U*r+nexazWE)#u%OZ7uGb2g_0q|Pd_IRC()D;{TaWr`8dVJSYPv2o?>d{{sBKR9t4!{TLPF$pLj8;5F2hL+k1Oc? zi(<>AKL6oeeaXZ(3t9DhH!CYY#sJ;T^RA_L_i}aHKcuCkBoEYf#tWJ)o#~G4FWuro z=xk_== z+mK2C%PSta5i;~XXrinejo$=fJ8$T3s1 zj#ouQIiMI8Y)r3^v$3*{(?mx(Gyvce0P+J^IfU?Ha!3(Rqk;|cRq_^T*72!?C5aKrWm^ z))~>ZT>$(9Kz@LCRdc6%$iUVipCswyr$3q?``={Cv_>V5WNm?QS3u^HSEB4uo< zFsgFK^e`tF`Vo8KMbTlcs69!Lqv|*#EHTE#bo!74RhrGhQE%Rz2E6!#Mh{E1zi~EFXsbYkdcRY)gnnd^G|Pfn zo>&3YQLAItymD+cOvW_2;s0ag8-j)5p(U?v+qP}nwryMAwQbwBZQHhO%>S12HZ!R@ z$u3n{oa$5Eokqwpv?2PM&zN>h5jV%g+*Rh<8o$3?;uH&g%SFp-AyA(05DT>tI?KQ< zcq$-;#X$}?)0(_Gj*LyEtfevFBG;;Ie+=-9vJBb4x8q>VbUY8CFkxAsS4=-U!WKtd zJ$?(yj7D{lUY@o-_>Iw8hw6iFPGtQcQ>brN@E!7fe@X6IcF>1(Gc8`XJm%62Xjq#J%lZ6PblaulWQGx zWva0YsO#V>r8{lMF0gB|dPZ=m$iVFZt}%@50=_hiz0$ihjisi)G>)bAzGTlVr?srn zgx=-voVFkmUJA&-Wd$ak=2#YFu~-d4&k2o&sbj8TrXGrtxQdZoc4!WK8>_>zWw{bT zE0mpf)ECOa=5SL~UObt0{E;2938^yc3^LWxR9>_w49lmELG5m5F;WYSmQ&Bzc(`3G z-$1N%+Z;S<@5*O1Ms6Y1wLKLsEv+&0FHf?>u8XcR!(vyPH=JjsIhstXHI1$E%w%9v zVQb#0j_$yvIoge_W#%@rBcE#jEW3(9U4Cnxn(p7WJ8d5<F88*~%YaXz-LW|}zC~lk@72%O{_iQtF4)fByr4UFW&)^E?iRJ1 z%NZ;e3{(0p^Esg>_8}I1X3|x%4-)Q}lxuq~{q;K8i#wqwcLe8nLyzdFF7)e#Vr4Sj zjtVC#Kdh_n{BYHeVbgojV`5@$n*L;XcGF+xhpwW0dG-mv@b0FEHRSrg904*vlMnwf z0P}m1GcQvQxLt46>r4&w&73URIP#$;kU5HHb$~pLrchjseoF! zRkdn*va--W&Y1XnS=+pgzGuVfTCl77!V@^!35JxGl5pE>G}e?Ne(3k_@3qJ0>Azhy z113c-7uh&JDML&W)J|#395iPN9OAkIuFW33{&4#XxZa8C^4A+UR!dhlsm?1ycX@e~ zRx+`%*PAW$88Fl#WSnuEXdh=M%JW+!S{cTeit{vA7l+%dROr)Xr(XUH*5gvVL`3N2 z$EpUjF;avy=t|bJHtXUdbcGtR5aJ6o@`@R?0h&D{^*pMiTR>2V6fE;XE;P z4Wb9(Xsi=U6~uiMM05Ebeu^5ok~y9`OEhzZs;~JFYKW=kOIX?{Y;wF|oljANPK>&l z`pYD9CAHs$W}bL8v&gR+z7li=Gi9Gwget}=1YwH#$8b zC(V@1^&ctWNtb|JFq%LM=Gr>O(>dJHJ7?ERqqm)GapaZ3<~UY1$f3 z%sL0V&S~b|b)mH^iszFJc~7LUs7chNp{CR}9e_MVDn;x=QX(8Zd!@QS3-$k#zPbZi zy|Einu}(s$WYIBj2hUY2*(mb!>0KrCilriepgvzkk4Kt|;@gNHkv6p~90@U1RfPeU zysQy7YNb+upuBG5qZeF5wy{?2?(!dy$Ay{XwxDS1G~E_=ebNL^{;fQ>HxYdOmh8UM zc@eoP+GH7S$aXmJEHER$k`h)1S~GXE4&VRizT#aiCgqpF_W*ap+|p zySn)Q8df@NNy?w3RbYL1eI|V~ouukMpL{c=ytpqdd72u&%->`&?ClmO8E#)6%wLmyor~3X3i6Tq$S4^Z0g_ZO|BXeW(7QAjw~?bJj1d9d6iUG# zJBH?@h(;;{VgekpY-4tWndbA~|IPEx?$mGl{m1Vv{8#@!Jg=vniv{$(nHi#?fx*7P zxpXF$4Z%&l8rFCch!HKp?0-@qRPFSVJdNzcbX-`xWdIW1DMx^ zC*>wNuMjVf>)b~+JYNBFspF$h`zYrgGdVt=sS(7znTe4>zbzyL1JFaO7($c>HE_4) z27wnQCQ*P3(>lrj(h6JrNhW51006`({=c+BcN4Q6&70UQ0)+3qGDezftliUDHl@Gk zVztXBce5O_70NQURBt#nq=|h~x3D-vLjJTn{@z~Ss)MLXQ{DRsE>(XTGA)14i|39yHtqRrCr+HH`Tox#OtEIt)IJ+{w?Bl@qcY!d*UJgvxTw0`_~P7 zI-Iic|h!C7p7@jRglGqW?M z*P9jpoBglo2x>qXM8p$E$0s$>6f<$3%o2w}zAIvU@#iN&`yy;w*BjU1q~S z5KuN_umGU3z*7%u8A2{IaDk=+7=d;Z2Wv4wgS_%RZGq5BPS?ufa0HG>HD6h@G@CAi zG|sxpuh`b&IBXs^M$Nhd&oeW!=*qMNX*_awe(aVVL@fLt9al~toUeUFE2=_r3D64> z=gR+^16eeky9(^_xTy5s__}H}v$AyUV7EmJjIiI<&f9p&=WqKOc;~2;)%nhrEROFR zPcc3>dAquf*14&>cB?+eD-v{jk7c((zh(DuFexg}y7uk`a_?`uT9q`LY23c>Gs{|8LR8!w%4cEo?%=#zT#fLsW?1 zzh%tE$nZZWGaDNNLJ#a84{y*dg8A2KbUhP7XwE=>BTAtZN4r`qtIf@6+TAOor1w6g zk`n;4LQsmzHOZBILgQIxY)G`+)m zBibA&>_kRh7bJjqNF+_`E~7UzX^X0nD*SYG`M90yx(lc4i~8K=9LHh8tjs}&t&zzu z-@xl?lC|^fQHf_4Yg*+&*(B@KE9-r*NL&M|>^qsR@Mu9>{`1A;{nR2P4>91*r>a-M z-ovTMyd_9~cw7Z%S5z-d0`%RO3VJg1E0zCWBzVpn4tVeoFIX`M?i%A$yM zz0u<$%vGFu+OqRUmi>w5zfoBPPnkpAgedlqcAT@PMoJ|qg{%B|=Ot8(7K3k)GI9Y! zI@6-xB~0kU)FvpGx)&u9^j_A>Oy1exk9d{{@>eS(QG(Wov8(o1h+E<$0r39JOi(CHgYL-53G%xzyi}+z_WJYzn`+|RRr7%b=pzk zwu-1JEVFtT4p|S#J}P;@+n@{FhA$dP)@}+Gqmze(UuryXhG>UMNni!3*@ooaC0~!hZzks#3(3NS@=&Uum1n8FR#au)V_I(nR3p_MO$!`B zt868jh9fMTGE_}az5g6q9;QP)FuxXAU<>P!uomeG<>?TzMJa+#5cGgFxyuHwRL7HL z7J+d5tz90)8a9nj`KXvP>ThwoqrU(Cd6+W81JddlEmB$U@Q98*oTQrhNwtiX16x2vZmuBEK$rI3{=tdmXGyq zXN}X=c3F?bf#SxTv-9Sx@L^m)RHOtPK`W+X_jfjdYvE@))5ex$O;bA6D$+F3%0rFi-|~yFVS`D?cV;QD5Hi*A{RNSGsMKP zHWDw8*#}0(ial)7zw-tx>UFa5M5D232&S=4(-4*wfMx4?-Ugu(>y$tPz@C3$8JW-! zjvR>IUlTp4qjVw~`p$Z-6c&Ii70cq)-)zHuuFx#M*e-5A@AbPe#J)$p1lssAtrgNA zu^OLmwi4H?1f9`F@v{QZvHnwRAAX7Q6F~c;RqkjNeF6{xW>`?omNL`CaxO-ATZhbR z`n{}cR)g8dLaECH8Z3~#Na#78GK*>!qsnF308zE|6z^`r>R|10T~gRv(pvPX4H>h( zVJM>DuB}u~TRoLS>hL$OFs)zuz8@whMNz)Ln*GC)iwJc2I&?BjLom%~BzK znrX+>xkr&I>{2;4SqqL_HBgo{gf{MOTZNF9Rl-rUa9oWAfc*a8xM`stTTwjZ*8r0+ z+8;Z+-jyH_gNg(wK!Q)m9RcX0MS@&Z2I4B1KNbfi7GT#3F=&vJ0%cj;S|7_=4W0N+ z2~i!~%X*B4^DrLS;@z#xb;2$ky>%I;WVzEi(j;%EsMyI^o=u8^@7-F(zv@YHkg_Ny zHzl_|+|dA9RTZTQ?W5d)l2GK+D zGCS}kZST5PUb*sysO$cyi-Hp<9+@&=JwPKV06qe@2eeFUk# zPt196kq3-&zpeNKW{Xa;D6#)Sj3ptKgZ5lY6;wClgrwLu*c{T#J9NX0{;;Z49H09# z3Gg*BqJK1elof9inQX}<&yE_-ciU(W%W8i$3gm-Pb$9@Ifk1-}?Dd##r#Yz6b`xZv z!8WBssPHx^?vap3%vUjN`@y@#jzLVf!+eUDHg()R)QI+p1(*oxK++-b3p0h{t{Yc{ zW)7=|eGBVpJe_%GR@DB7nP?8x_qAIAN5#f`oV1_NxVZ$7JTcrD7bAL`#fk?Pp?xay z_u{8XM)xNq_uChc{jy?`u+F?wbyExKyzs{5nbXEu0)4 z9_3aA&+;I5962}6p=zNfn7XtH9r+s`L?Ys=WW=S&EjzeW_6#UUfHRsqwWrK#1h-R3mLuTuSTdH+QbTJ zc7q5X%=#vP7*fbe@5xqXP_HMl_TZ@(#=N)fur%Rqs&|#L;k%{xw8ncU1ccboH|oy$ zk@12%G%C4}lScIp$q}7J2-dBQY-n5W{y<6N&-m_6wf&c>eSi3zLE9Uqav4aSQWqIt?xq3CX(~AG&^`uuO5zrj`bah7 zWi>&_{*Nx($S_TpAKvewP=$EG3dNw~-xqi--Z^Sjn>7zm_0^f1BNdaRe_}#O;@wx1 z&XQ1^teBc?6b~zDpqb!jB+S$3%y~Q+TXQtU089%AY_db>8@3Gdr(yT-0F62|`1G)E z96Aq(%+_m*J`H&le_ux^+a8>062eQ&tL?z^^gN>zf#``GqgAP;lkc7gbY`oj$pBc% za=9?~Yi`C;@dRaD#`0xK+0ixQ5c;kS=C>HRjAp^)b(AGeQCzDrX*;e4Iz1YTX&)uSfp*!Xs=!M*=Q%_T7C_A z;(n4+rvh!kBTQv6c(3%^HV^2WaK?XVNCiq0>%O}mZY|);gU`lm0jF)=+ILjSShll) zG>A`p?tgJs-Wm2*cno?j{9KwvO;vE1JfI+uBOTa9mBM#Gy7OGVTgLuWS4q(SM+FmN z7h>7%m|`luyR7_;01ETxSW-wVcvcQoV8TCV^1~xbZTGz6kRe<6aM?(F9Z-qRSTX|a zbYlyAkHY(;XLu>lM33eIzr?-tSNN4t?jHIxL$vqnw+It2Gk=4?ijp&sQIxUDnW0j( zqO(dtgp?!%fZ`$)ugV_s8AcVZfnxrcSir>mUU4`82m7DRbUwn(ve(<|OR20iJlV+q z>_tgTqaxn;+=G;5-HTOs&CFcQlUerQ?GWqY=h(M6=9kN|L2rz~)2x#8Otf1Au$-4q zaIL6J`Rnzri4>lI#PY^6vYCyZYTB&DY^l~W>snlQ)~DyCmOO#txr>!fiwya^^%2t=`%60ftXlXBUF>VO%5iYai}|M z_Z_<1q&?J#nqeTl4#ypSYTgBlRL5`8zDagbLf$tck(}A-$M!qAG*;ZCNP(e60d#u> zZjusn)15x~AJ1gM2*WuJ?QAWk+VFl*yl?1JcMnj{pIxm)NOv|D~to%{UO= zdU1GDE<>n%U}50l`Xk!JVOnS*Tl>_gOcujNBaM<$@0|xpA-!ma$vP2pVITR!B>hjW zs}pnC9f=&j`4o6v;5sx8x}xT+82N@M1P%*{NjGoKIyLY3k}TT#K4Sb;`kb)QN)n|C zIf|mMJc;ji{h>7sR~-ravZ2#lRq8Ns8D|^h_am9m0IuWu7$E>0p%@lZ2~{)UPoYK36H)<{A&?>bMdC8HK)$)BuR?( z6_bMFXcSh$CM0;McaZ(BFrF8t>xr(3LnzU8+xKa9mefw+Vk8lTZuTp?ATso&Hd}zbYdwfU zUE9DxgOlUt%4&86;IS7k3M1k)v4FAY57!SRNW#)*2VKAg^_^p*Y3!=_F%7($zXr)5 z@4!L%O}pyI{?(MPYIyfAOo=&(;mT+*h>op1+@4Dz>TQwLGE#jRgJG))s;xDQ=uF?u zD@n3L>ohz`yw4oWf$3YNwfo(S=(yPNaqoAo<1Dkxv3EU$>{jjx=p+V0_s_#}~nG_Nv+`$442)yO_z?@Z|VDzD8Vq_Pp ziRHlm#D$oLznjrG@ZBg0B^tT z0War9$WcWsyyp^Fv_}e76tPP85O~U-rhXa(n-jNPcsVf-dshjqi06`QQisXld7X|C zSXx?l)S6@FL90v|P=TcAsyr6@el{rp{34vsD}{&g238Xl2Gh}JJ$ zy1#OcQHYHMxCJ8ZnBNuy4?s&i9Y8rG*fqpy8 zh``g062|gFd9eJf^%Ma!guoy9fpc9MXL8>?>9-fbch+*7422H6^1H3wtaYZ@BgdbM zcj+H$$_2-E-8vV~#hYQcug3|`C-k>!&8VJ0er5`<2zM<5U)Pkv`$l!~ferxHnHW(RV%#2nw@;Q}cK}r9fq;pmE-U^=*I>35m&xDyjbX zS@A{7En5%XqnH8CwaCwy*yVfp`cXV$$7f$3UMeMFgN9WPNJ&FXpp8#U5bf9x`P8)C|4r|cWPu1AB1+Qf+r zO;ra!UcO6<3;!V6h&XegJk1`E{BuNaiRgnbDjehn&VLF+kCtng5$=0R?|#32m9TXKGZ+X6cTdUB~aa#g665M|v!mZm;Rf&?W3Cj{8w4>4EATG=-T&crmA z%)n*gi59UBI-h-GpAZ_Bgwbd~BX^kQoXY`m3Df>sr8ASQm>YI`f^YOzT)(VO$q4Ag zCGPaeiImU(CFY_EW&2F6`OSaSgdl7uQNw&{s0h*ka|E=-`|gIxq6Egn9S&}&O#1nG zv^-Gmnw|AqW?(BB`>s)2l#v`bj2wM6zqz%2n$%i3c(0N;{jMyT3nZhoo`mjuI+)-$ z3iVzNus&R&3#aLc-TX6~ic0%(gn@*Q5{%9G@iiMI9qu4HwI#lK@Z=86tUef$WToRT zN-xxxGW#Sm&)Y)jAhcwb9PvY{*ocChQ)Q{z<}LdX5=wwX1Ngh}U%6jzF6pVQ*ra8U z-BlX%S>D(BQfrSNUj4Ghj=#kqO8lY>25_C?Hqs48qBklmuE)IazYsJ;|i=V z)qg-%|Her4PtnP!X9T)Y7|~Qcty|mSVHZ`IM*6~4avwKrPzCCo=LQB2O3U^HMd(F7 zHLfZNhhMA}lZtM%4KMU1lLV;9GqQsd?}*6chgdX7&ZSX0qht`iM<0R5=c6)$()as*_wR#tS7AWD3I)evZH~au7>}^^Y@JjYQQF{?r+Zb4Rd(hYL%-KJnT5o!8Kps;Wsah*lUFXg7+F8D*qwSxL*h zt{u&N@{sE(SE9S}S}*5g{>s&poRexiwiaHWa5;SruQKaem-B+6dY`F{K-5sy2h>;y(1uOB~W<}t-}sn|LU$`Y0JnBHet7?4@veI z&M7zugbNTVX3kTsU^E%!AFdGHgsK`heF=SwL!VGdMzgz6m!g8ELK9#flj%oRJBJTF z*?^hW!2C%XJ92Kv?h?!zKm=Ne1ejc1{!4p@G85bz^{!qK)fs#}Lxw{#kLFE-5!<|h z4@WLA+e6SEW3&~wUwPKnApJjLTWoqT)$|`-|Mk-#?wVAOReCFb%pItB6OVr^$<{jb z1A+r)nxU0)#DM_Q!UbwV#0rs^YoBfaDHYsO*(4$b6YfQ>?1!1nh3J*^;)J4pVsT#DV3L={F|sO5h8 zvoKu8XEY17I&88EG=O_9^)IzQ^!j|d>S!9LTG!7EYwPS!#C%osc$q2YzWsI>4M%id z83lyi1^pJP3t$_(rc`k4YST~p+Wp)T%xtGb-fI+W)N_PNpVQpnm_Bq>gmi+5FOn?o=?@(c$U))j_u^}o6fi+xw;fhz8~bf;Bre{k&O zjl!>>K@Cd^X2`XaR2~$zUQ1?)x_HieNwnC&B`PUWHyXhOL@0$a_dKKe!a4_~&ZH6K z(Cz-kXARNQn#aPd5uIuIkKYv~uOKGeO_%iC(qPQ{kx9bZ0cTdFAV-zsV0j(!-MA1q z+hb&XTRURJrD81TpRe6+nPwFCZ=#?KOZomJ#z9c0SJBnH60iU0fT>xpW@m}TASZow za|sZkGTJ9=?59bNs$3cA&1T{y8S@3OPs$1cR1Ms43GrNN7Cy-#{)cm9mdytD8o=bR zBX0~vC*1-Y-R!{pEIu}8$%R|=@fy+u^a#Tf+6m{`ED0)d(ydOqaa3XF@T)hWQk=|0 z&PY+Z`>#(7W`10NJzx^H#sR|6>{WUX$cp_F3e3c)Dd4j0V=)K%vljK5w}-}U#1I&( z=EzC#%nmB-6R^zUJiOlH-%juK9pZW(km#c~{+D%2m+MyAHD;4op3mvXJv=QuAav`* z8PBvmG!&VTt>_Z2RK;Zg9UTJRnw2Is8Fl_;r~gEh0P!qFnWE&O*m^q}rtM!o5z7bD zMme{sKUB&HVz>7y(jh=>1|0qY43@0WvW{BDpwGrWlCdJ$XgMBjEQr-Kb}UGt^O7s| zBoj9R^@n=d*AOc`_Pm7KH0sm6cUlin4`-&>&QyYMI$wYfoFPts&6?%}o(I0Vdiog< zewdkQ>ts1KQgZ&bVfO*>N00&*$e+s1@tix&!dy!x&7mVRzNAf zfvMwwhURvuQ^bj4gHN8(SsFZ@Qky@Z;q^u5h!5XwSpX%6AtLlycA2grkrH}_R2xPV zGjJo@IimEJzZ5d}Mhe8*8@oq&x;EKbRa9GDJn73XZ?}l1RkV+ImM?9F!Hod(&GKzc z^GH*|g~gn>^-|$DD`R{KB;O#_mIRqeiw~g9K5zLSh{xDU3*5EZsy+n=5u%Y8(Usfu zm{wA|=~1CDgd3h!38ouT2#gC0OvN`nM;2Rx+9$D_VU|r43hu>E^{tN0e*~kW>*lo!~lPB9f>y^Hp;AK=Gi- z4Yz(E&W6{)#MNFrqbOke;E~YOx6)tV^@}}x8Gc46$NlJX0?2q7{}IDz zlphllK8--0Y2Ln&!?*Kq{1<6JMH66j@`L^{5pHmF6-tcSnbeaFhi86Nnupc!;kw<# zj~04s#z9762asEIF1O%SH?RQ1+XeG(?cj8EJ>vnAiXbPCqf_ho`bFkdeuX#6W~nytpf@*8;5qF4dBUt(<&Bbw~vM z8sG@O+*!I<_L<5?s;yV-T~y=-1c%;bF#L=@^o0~;wk2-qOu*E-AF4WC(9Jt#!`@EH zP^0run)Aw%ScHN5C2lbnvp5J3labi%mND%Bd$!L8-FX9T#1ok%d9+-u4fMip64xirUne!@Q@bB&i#04|^n+_NlKu6;TAj_uMs}z+1*#w6XLXxfbF#rRkA=sQ2 zTBgX4MVx{IecQV)kcIMrpp8VZC{4*Oekr_USr~a9No#we#iSz2JhR3Q4pM1#z)`EO ztHKTi=~%1WJoGP2iDJgKF}RkQe$5((7((^{Q&{<;Q1qoYOlX$|Z-y5+9wiwj`$(ok z^!mN6qV41YbdhwdVp_;azd`g$l@sz{T>w#RK?&h<28*12Nj!hb-h3~TQ@{mVyN%gW z{NZ`}LB5-LB%nd=b|^ahTFSd=NdQ#jy$n)mn9|*X z*Lucp2he0zS4_O^26v&dy|46e+wl&XdfS&#POFwV(9E|#3O($TW2C)RzCiXt=)Jz~ z;)+%-U;$w9vrj~D_tHbRY^O3#5umTk!RAWp#Idb!Bu!Ce%}Du1Fmqpd1eNBrV#KV_ zmVRq^R=ER(M~S`7e?!+6fSRqB(jk6dnq?Ky{I=H`eP32to1A=BS)Y${x`TE)x5Mn zUsM|_3uZRKDHhUe80w^mw%5?47Ya|jp04G4*q~c@PLCjL>nLmipS@Dh`tcWC6xiUm zV6)qBC2I96;HL%5vNurQYxN>UR(itgfIYh5lf1J5XSV5MG_X(>)V)yIh`v3Q(F_gM zgx;v%EULQ~x$oFZvR_63V&NAQ+&@+95*&|z0u9JFTE7*@AvR0^f5`piuru}+&EN)_ zxO`K8t`2NIq@URzfemgex^?QW!~fcfZO<)V#FCImce9HG4Dx3Y#$DkUIk)pAD~XOG z;M2YUae+F@B}95CD}eNY&xr^VuClaBeso`~yRry7!$r?A*N+J+d3EI#w<3YiJ~!81 zgd>GF3aDT}p>8IxT3J^Mp$z~M!K}73{CI!>HeY5A3~Xic*vT|JQ=TK*@fgryz+-WP zy(am|M|MY0p3~F)*$Lh+1X6JtqQ4V2M9#A?#vk+d$Maj(NI!~dF9mBx3!ql)qZU3ctBH z>2YZ*xDL$lke3&x9B1*^reGiMZLw6f91u)P_ADuI)Uz<{#>c+$L^HGT5}CD69V3vG z4#iu>4Mk$W(Q6F~y0}@)J|!dUOkl0FN45YnM_3jz%2w+$WXX!R+Ve}vxPDbyT8rp$ zr^D5Dp!tXJ8*+3KNiOL{l(xD<*I9k2|Iu{ao#oIgW)DoxoM|4;lFG-9lO}X=w(L>$ z+Xg|`v=rV9W9nekz#{ogFXdkUBaPkE2(+3?0#ukT-qqmKj|CeawlGr#FT|oC;16bJy)$VXqY{2~p&$idnk$MfSs92(3(Nxq6 zd4|*c;s5qrcfByDc#`4Ocs#C$y+IBteFq-IDe-)wEH@dLeM4@vg*rgt)%PT_`EkC& zmjEAZlX`l!*3^}yKx;Dm#M`d-PBgY?SRmO{^OCzzq;-Ppq>CCovIsw-v}r zZ7g1vRBZ0?y_CTL-vyRE{74q*`Y;d&-y4DfnOVxb24#ILD(zrd$to_zoY^XiUf!c} zA98GOoVp7GYD=$@G;{W&TOfLaS0+rjnG*-K)#Ty5Q`XO+0&XFYPuf#c(fVLf75L4ZL?+I7_h%agcDidO-i zYA)JD&w~3N$!8+X()hi$87RVJpNjr@(f?(Z(YhNSbP$TdJo{SR0Og$q0Iqecgv(Yo zZ|8IFwsDnjR)WJ~fQ#~n-r9$`d`z0><)+t5>aDTf{@rPoyn=AHEv)j!WKURwitHw1 zLMfIQ|0=%L5*s67(cui8b!1x{SCOqK-18{`stPf-SO`7mq4JFYga{>oe6e)Lz{K1b zIaD~CWD7AqG`s&r7%w@hbcHCPl492CMTFqVkEQ!pFxpmHBgK%?k3Dl1ZUEwEM2>vOk9FL^9e3*$>?@XrFan5(n=fzFDS&y4vO@dRL{ z&m5?(03VZ!SewqV86c7wHbckNWl#U-D}kp595%SK^-bLC>p>8DN{Mf8P zP5I{C%ti(Wh>YL?au{gQSEt<4nek?Z72o42-mEguy0H4PILvf}yWjs-+=r+)~Icb82?d zA_GC6!@V5Tz{44=2{;NlSb)^L;=1spt1IpfSB&aTr>MSSVDIm#*>~Dq`q$Ch`af2VR$Lloq`^qS3W52{p~V%V>7Z} zEEXsEvB^t;{-OEm_B}3yrTa@qvuw)u6?cUw;=v0qzgz3QLIP{hF(UgSgI{w@;*4fM zXyS*|yXRp3Y*agSszu2*5NzByfA)%P!2WGZ(Q%b^IQA5}H0?Or(H@w(nu_H&n8R{H zRgbJri2~0Jhl_?knQCxnvA$U{zw0X9`9JhQJ?spC8lkp9IzXA(F`^OhYB zAefo6P4rh(yLB%5fnx0@pXokUp_NQ>ABAcZqowLJ2eE&?Q~2U@*E3cX8cw+ntY)Gt zY*YOAa@AIvFxJ)=~=!O#b&F@Eg=M) zmr-8s#bbe{O5F*C0Ln4^>gQ5sI4YN_tws8&08qHH|4j##nv&l-Zlifo`rSB4 z1{O$=JTl(qZ#=P*gMII2FJZ8`LP@9A`N)UZ+bCi{)x1pW7=$al`mf zA89uY-L@*dsVhin*dqW73j}-y73&hd({Cnpf^$K{uNB_St*l>HKt}2DyVh%mAs8$Y zrJt4!!zP<0q)B7fJ-ah|!Z{n`RNQ5zxe9=W52ZMm9GAiCyREImgWu|^BLhn%c;}Q$ z@$|p8{J18nK$3V5SY#j04`aOd+{!7=2rU7mMPtzl$7dPT};Kctlg-wey=FZBWwS|RQF7VAzuoA(Gu4sUA(g8 z_tJF>S%lDYGRZ3pbL0CDR($OVt`}zw*nid-9G?Eq z3w#;KWlMRW8ANsIx2tLiV~76S9^JBe8Gw#A(CqCn0RMe9BLjJlMh`f?+3*Gwsi`8P zy?^3CB?=Kyu-_aI(p>n}SAuyAAs+4WN!-6l)FbL#Yr2?goKzF)*qTvZn2X&UphY)ucwnxX5D&D=MxZXUI@Iv8=V8Jbgw$q=<_PJ)Ne zLjVnATrm)>Fh~NEDs$+cN#--L{W$^iWb`!o8goF9{9zV6QpEY)}4()SOh&1>0tk7+Axp%+ybU#2^$*C zzv1gvD$l$CfEWAnuKd{9S+?j0k(g{a5rl81XvFJtMb8I}hbhzD=yXY5VGc>;7w9P2 z$|%Kx(n{J|PpX;R>&!rIiWM@TH3QRdT-R}Hm&RsN#m>JTz_zu&0b$n2;NO-V3)u!m0k&<5A(zX#>I>FHlTxY(sL%Ag@nrRQbP7Jw--IGc5Q%RVhc}Y+hH^i{o!M84BpC@ zi!u?yal9XX=ny-=o<_7xT?tdb2R`GYz2g{i`3b;Y-H1u|ADHWK%)hzxWmuVVbD4T=VE6s&X_ zPW$W~Z;A|ANEFlqX^#2X{MMDQPK41(y6oG|iQf;#xueSiWW12|1*> zI$k!?9}$g$haBjlAz1gDUJ(D~RKp^&LP_tJKw_~-3OWSRdPsn~Fe%W00g_kJD9>2O zV-wDcxeL`T#*J6=@@TseG+?c5CRwNCk4QS++V^%{U?FqQ@yfOqFsBArtduNvTi%hI zD5f}YkCzX#KzjH7(-|d)Ia!`{CPHX>0vkEZXZ@C6*_R>u)lrTi|U!*N^JlUDd> znz>EfiSB7X?{gFt5rw(cA+Y9}eL1ox5)UhD%@QLF=t}QYllbleds3cPReWRxqgN%& ztrVA&Tx4_#V&2mrI6aB1Q=$(s1!fmdr($mgrQK@ew7|I7yTIXe2Zl(`dRHX-h(pGY zLJVFO$UT;^#Ty@22C7p93jsnL&-Y8@@2)-XajtiiaCWp!W$!Lsc_oMPZoFyJ_bw@< z|2^*mjwz(40>W$vhzLVW)%X{>2F#iYJfnd~6zs6&;z zPdoSYXIWDzCY#q3oNo8^IpRFyP#00^fKcTVf&;l{)z$e5RDFvT=7M56n97p}O-&*o z49AM@WivA*Jqzz-3p7eVZ2n=hpYfx}2Ou$%qoR ztupMR;Or5&!1(Y0XhMZMdsT#jN8}B{I}Y?1<8oD=>D;onm;*-#JZ5E0->8=Mjx?=> zn%GmK?O%B>XQT;tw$9=5iVATzvfydVajmT&p#^t#NqDLpiE~T1@*c8V5Cfts0RU%d zaCg+t$8?;3Z;-Vt4AvGGv_5xEQ4Qm@D+lGOlGX50(z$hlh_F=DAxJd+$j-7hezssD z;UoHW8POMbUvXAe_jH z?$J3e$Mo@OK4lBdmk;}wfAcrnB%$E9EC;;f|7q;pqoG>gI6g5kB9h?{PI3(ylSwWi ziNwr^T)H@+8ACE=+=s|zB#mnfxg6wvQf5Ml5K(R;w>jigLe9Y%5fVc2+p>PkI{S|^ zXTNLjwfA28{j6uL=iSfytaq*F`vSXM-&FAOTr@{JbKJGia#-z0<$S&{irHD|j7#FR zJW1{q^Y8P+%XUR0RYyY45h!kthU0x$_;+b5QV<&b*YfGXX<+?jP<=iGDFAx$!{)EYXl4iNrTpZQ^K4Rl2d+axvC8<%o zcw@Id%FejB{9t35&TqjyMlM5sAvwAEiME=$ zLyBbo6y_es8*MtndXNe=^x}DS`I_tM1GmKF4;u{8lydbWEkg-wunSRG)Vbp1e-@(3 zUu*BQ0czHM0Zt9BwQJ5EBxgLC~$r!~|{?Rqs1bzwAY=7bWL zg>Ee`QxYkGi}N-xfn5Rix2*WpFjie1st&zfXQI3XKgU@{4Ap=8no{txvcF)=E<>P0 z?@dL;FQB@*i1*=4DWJ%76$*i+w*Av^wzB-kL4O6cW$LGU#4`b2u?WSKPyHj|Ej;hXc6 zi4xHF-!GQXIxvUFa(nxBgpZtPw=dC-yVJwYiDZm0UO(tAJZ``tgFQf)#ty=i*D z*pIXz1Br<} z3c4A4WlAnPdOdZjn$;<4zZVo%Yfl2UeWkB9C5b$9PhBi88e?RiOL3yy{4hJA#IeShpIM!%*_NxJaj zY~8*vKC&s4UK=I8?jC+r11`2G!aPl4OdYU6%fY<(YYqIp(8#ar-7m9|lC&w^OE{VkGn?G~GBbyY z2Wk$@=Zf=Lp>kiWTBY(zAw+{oZIwz?#$0131%t6 z;oaUlAdn$ogeh?!U4H%nI7FaVvNQSx65cYhrYdao^l%-Mx^YuP3%*pKWeQDBQKBF+ zl$0z2zAq_z^}Sy4r7v_E3QW4`w=}0#rOi4fy%}$LW05bgF}~&V;RLdQ8ZOaVYf_*|ujc%ei+hl=dRlM{Ds8;q=qHkk$&tiU zDE<kPY9QLTVke1apa%DDy#z?Km=R{2Lb|6cn-N7;Oaqk-nzPZ z5d8_hKcbT_ndpoJ+Lp_%xDwOo&YsO%UPBmRd)co)lw40?N>g8bFbx&-kY2=LEVK02 zX43UD!+~Y`8@CtgbX3ymq@Q>)C3X!;@BTDeHL@tv6RqS6j}eYHBfhxhmyu@7hq`mP z%AMxr6A!oF8UF(Lg<*hi%lU2?u0ZFs>B=#Ijke6`H1Y+^mHwT*1}7}mUyJ*8!D+?4 zmcCqqw4MprZs2l&D{Vp)AL2ptmENaEyEn*n5cSYebl>hCz0A*?=eb>-+q2-^O zcc52<8t+YX&SN=HSz%HMc(TVS>JW&p9M2Ca^36}TI`IPVe|I~A;OYkEf#ue>c`RVk&ErX=6M`!cn5e)!u!D>0t_aC6(+WcEDP?$O}z;)5v=*JN!)Tu ztR+_>pa22pfy?H%ElkI9 - - xilinx.com - xci - unknown - 1.0 - - - audio_audio_filter_control_0_0 - - - - - audio_clk_audio - 12280700 - 0 - 0 - 0.0 - 0 - 12280700 - 7056000 - 12280700 - audio_audio_filter_control_0_0 - 7056000 - artix7 - digilentinc.com:nexys-a7-100t:part0:1.0 - - xc7a100t - csg324 - VERILOG - - MIXED - -1 - - - TRUE - TRUE - IP_Integrator - 1 - TRUE - . - - ../../ipshared - 2021.2 - OOC_HIERARCHICAL - - - - - - - - - - - - - - - - - - - diff --git a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.v deleted file mode 100644 index 3582d3a7..00000000 --- a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.v +++ /dev/null @@ -1,1767 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:21:01 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode funcsim -// v:/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.v -// Design : audio_audio_filter_control_0_0 -// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified -// or synthesized. This netlist cannot be used for SDF annotated simulation. -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- -`timescale 1 ps / 1 ps - -(* CHECK_LICENSE_TYPE = "audio_audio_filter_control_0_0,audio_filter_control,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) -(* X_CORE_INFO = "audio_filter_control,Vivado 2021.2" *) -(* NotValidForBitStream *) -module audio_audio_filter_control_0_0 - (cx, - cx0, - cx1, - cx2, - cy0, - cy1, - cy2, - iir_ce, - iir_sample_ce, - dc_ce, - dc_sample_rate, - dc_mute, - clk, - reset); - output [39:0]cx; - output [7:0]cx0; - output [7:0]cx1; - output [7:0]cx2; - output [23:0]cy0; - output [23:0]cy1; - output [23:0]cy2; - output iir_ce; - output iir_sample_ce; - output dc_ce; - output dc_sample_rate; - output dc_mute; - (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, ASSOCIATED_RESET reset, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0" *) input clk; - (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 reset RST" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) input reset; - - wire \ ; - wire \ ; - wire clk; - wire dc_ce; - wire dc_mute; - wire iir_ce; - wire reset; - - assign cx[39] = \ ; - assign cx[38] = \ ; - assign cx[37] = \ ; - assign cx[36] = \ ; - assign cx[35] = \ ; - assign cx[34] = \ ; - assign cx[33] = \ ; - assign cx[32] = \ ; - assign cx[31] = \ ; - assign cx[30] = \ ; - assign cx[29] = \ ; - assign cx[28] = \ ; - assign cx[27] = \ ; - assign cx[26] = \ ; - assign cx[25] = \ ; - assign cx[24] = \ ; - assign cx[23] = \ ; - assign cx[22] = \ ; - assign cx[21] = \ ; - assign cx[20] = \ ; - assign cx[19] = \ ; - assign cx[18] = \ ; - assign cx[17] = \ ; - assign cx[16] = \ ; - assign cx[15] = \ ; - assign cx[14] = \ ; - assign cx[13] = \ ; - assign cx[12] = \ ; - assign cx[11] = \ ; - assign cx[10] = \ ; - assign cx[9] = \ ; - assign cx[8] = \ ; - assign cx[7] = \ ; - assign cx[6] = \ ; - assign cx[5] = \ ; - assign cx[4] = \ ; - assign cx[3] = \ ; - assign cx[2] = \ ; - assign cx[1] = \ ; - assign cx[0] = \ ; - assign cx0[7] = \ ; - assign cx0[6] = \ ; - assign cx0[5] = \ ; - assign cx0[4] = \ ; - assign cx0[3] = \ ; - assign cx0[2] = \ ; - assign cx0[1] = \ ; - assign cx0[0] = \ ; - assign cx1[7] = \ ; - assign cx1[6] = \ ; - assign cx1[5] = \ ; - assign cx1[4] = \ ; - assign cx1[3] = \ ; - assign cx1[2] = \ ; - assign cx1[1] = \ ; - assign cx1[0] = \ ; - assign cx2[7] = \ ; - assign cx2[6] = \ ; - assign cx2[5] = \ ; - assign cx2[4] = \ ; - assign cx2[3] = \ ; - assign cx2[2] = \ ; - assign cx2[1] = \ ; - assign cx2[0] = \ ; - assign cy0[23] = \ ; - assign cy0[22] = \ ; - assign cy0[21] = \ ; - assign cy0[20] = \ ; - assign cy0[19] = \ ; - assign cy0[18] = \ ; - assign cy0[17] = \ ; - assign cy0[16] = \ ; - assign cy0[15] = \ ; - assign cy0[14] = \ ; - assign cy0[13] = \ ; - assign cy0[12] = \ ; - assign cy0[11] = \ ; - assign cy0[10] = \ ; - assign cy0[9] = \ ; - assign cy0[8] = \ ; - assign cy0[7] = \ ; - assign cy0[6] = \ ; - assign cy0[5] = \ ; - assign cy0[4] = \ ; - assign cy0[3] = \ ; - assign cy0[2] = \ ; - assign cy0[1] = \ ; - assign cy0[0] = \ ; - assign cy1[23] = \ ; - assign cy1[22] = \ ; - assign cy1[21] = \ ; - assign cy1[20] = \ ; - assign cy1[19] = \ ; - assign cy1[18] = \ ; - assign cy1[17] = \ ; - assign cy1[16] = \ ; - assign cy1[15] = \ ; - assign cy1[14] = \ ; - assign cy1[13] = \ ; - assign cy1[12] = \ ; - assign cy1[11] = \ ; - assign cy1[10] = \ ; - assign cy1[9] = \ ; - assign cy1[8] = \ ; - assign cy1[7] = \ ; - assign cy1[6] = \ ; - assign cy1[5] = \ ; - assign cy1[4] = \ ; - assign cy1[3] = \ ; - assign cy1[2] = \ ; - assign cy1[1] = \ ; - assign cy1[0] = \ ; - assign cy2[23] = \ ; - assign cy2[22] = \ ; - assign cy2[21] = \ ; - assign cy2[20] = \ ; - assign cy2[19] = \ ; - assign cy2[18] = \ ; - assign cy2[17] = \ ; - assign cy2[16] = \ ; - assign cy2[15] = \ ; - assign cy2[14] = \ ; - assign cy2[13] = \ ; - assign cy2[12] = \ ; - assign cy2[11] = \ ; - assign cy2[10] = \ ; - assign cy2[9] = \ ; - assign cy2[8] = \ ; - assign cy2[7] = \ ; - assign cy2[6] = \ ; - assign cy2[5] = \ ; - assign cy2[4] = \ ; - assign cy2[3] = \ ; - assign cy2[2] = \ ; - assign cy2[1] = \ ; - assign cy2[0] = \ ; - assign dc_sample_rate = \ ; - assign iir_sample_ce = dc_ce; - GND GND - (.G(\ )); - VCC VCC - (.P(\ )); - audio_audio_filter_control_0_0_audio_filter_control inst - (.clk(clk), - .dc_ce(dc_ce), - .dc_mute(dc_mute), - .iir_ce(iir_ce), - .reset(reset)); -endmodule - -(* ORIG_REF_NAME = "audio_filter_control" *) -module audio_audio_filter_control_0_0_audio_filter_control - (dc_ce, - iir_ce, - dc_mute, - clk, - reset); - output dc_ce; - output iir_ce; - output dc_mute; - input clk; - input reset; - - wire a_en1; - wire a_en1_i_1_n_0; - wire a_en2; - wire a_en2_i_1_n_0; - wire \add[0]_i_1_n_0 ; - wire clear; - wire clk; - wire cnt_flt0_carry__0_i_1_n_0; - wire cnt_flt0_carry__0_i_2_n_0; - wire cnt_flt0_carry__0_n_0; - wire cnt_flt0_carry__0_n_1; - wire cnt_flt0_carry__0_n_2; - wire cnt_flt0_carry__0_n_3; - wire cnt_flt0_carry__1_i_1_n_0; - wire cnt_flt0_carry__1_n_0; - wire cnt_flt0_carry__1_n_1; - wire cnt_flt0_carry__1_n_2; - wire cnt_flt0_carry__1_n_3; - wire cnt_flt0_carry__2_i_1_n_0; - wire cnt_flt0_carry__2_i_2_n_0; - wire cnt_flt0_carry__2_i_3_n_0; - wire cnt_flt0_carry__2_i_4_n_0; - wire cnt_flt0_carry__2_n_0; - wire cnt_flt0_carry__2_n_1; - wire cnt_flt0_carry__2_n_2; - wire cnt_flt0_carry__2_n_3; - wire cnt_flt0_carry__3_i_1_n_0; - wire cnt_flt0_carry__3_i_2_n_0; - wire cnt_flt0_carry__3_i_3_n_0; - wire cnt_flt0_carry__3_n_0; - wire cnt_flt0_carry__3_n_1; - wire cnt_flt0_carry__3_n_2; - wire cnt_flt0_carry__3_n_3; - wire cnt_flt0_carry__4_n_0; - wire cnt_flt0_carry__4_n_1; - wire cnt_flt0_carry__4_n_2; - wire cnt_flt0_carry__4_n_3; - wire cnt_flt0_carry__5_n_0; - wire cnt_flt0_carry__5_n_1; - wire cnt_flt0_carry__5_n_2; - wire cnt_flt0_carry__5_n_3; - wire cnt_flt0_carry__6_n_2; - wire cnt_flt0_carry__6_n_3; - wire cnt_flt0_carry_i_1_n_0; - wire cnt_flt0_carry_n_0; - wire cnt_flt0_carry_n_1; - wire cnt_flt0_carry_n_2; - wire cnt_flt0_carry_n_3; - wire \cnt_flt[11]_i_2_n_0 ; - wire \cnt_flt[11]_i_3_n_0 ; - wire \cnt_flt[11]_i_4_n_0 ; - wire \cnt_flt[11]_i_5_n_0 ; - wire \cnt_flt[15]_i_2_n_0 ; - wire \cnt_flt[15]_i_3_n_0 ; - wire \cnt_flt[15]_i_4_n_0 ; - wire \cnt_flt[15]_i_5_n_0 ; - wire \cnt_flt[19]_i_2_n_0 ; - wire \cnt_flt[19]_i_3_n_0 ; - wire \cnt_flt[19]_i_4_n_0 ; - wire \cnt_flt[19]_i_5_n_0 ; - wire \cnt_flt[23]_i_2_n_0 ; - wire \cnt_flt[23]_i_3_n_0 ; - wire \cnt_flt[23]_i_4_n_0 ; - wire \cnt_flt[23]_i_5_n_0 ; - wire \cnt_flt[27]_i_2_n_0 ; - wire \cnt_flt[27]_i_3_n_0 ; - wire \cnt_flt[27]_i_4_n_0 ; - wire \cnt_flt[27]_i_5_n_0 ; - wire \cnt_flt[31]_i_2_n_0 ; - wire \cnt_flt[7]_i_2_n_0 ; - wire \cnt_flt[7]_i_3_n_0 ; - wire \cnt_flt[7]_i_4_n_0 ; - wire \cnt_flt[7]_i_5_n_0 ; - wire [31:1]cnt_flt_reg; - wire \cnt_flt_reg[11]_i_1_n_0 ; - wire \cnt_flt_reg[11]_i_1_n_1 ; - wire \cnt_flt_reg[11]_i_1_n_2 ; - wire \cnt_flt_reg[11]_i_1_n_3 ; - wire \cnt_flt_reg[11]_i_1_n_4 ; - wire \cnt_flt_reg[11]_i_1_n_5 ; - wire \cnt_flt_reg[11]_i_1_n_6 ; - wire \cnt_flt_reg[11]_i_1_n_7 ; - wire \cnt_flt_reg[15]_i_1_n_0 ; - wire \cnt_flt_reg[15]_i_1_n_1 ; - wire \cnt_flt_reg[15]_i_1_n_2 ; - wire \cnt_flt_reg[15]_i_1_n_3 ; - wire \cnt_flt_reg[15]_i_1_n_4 ; - wire \cnt_flt_reg[15]_i_1_n_5 ; - wire \cnt_flt_reg[15]_i_1_n_6 ; - wire \cnt_flt_reg[15]_i_1_n_7 ; - wire \cnt_flt_reg[19]_i_1_n_0 ; - wire \cnt_flt_reg[19]_i_1_n_1 ; - wire \cnt_flt_reg[19]_i_1_n_2 ; - wire \cnt_flt_reg[19]_i_1_n_3 ; - wire \cnt_flt_reg[19]_i_1_n_4 ; - wire \cnt_flt_reg[19]_i_1_n_5 ; - wire \cnt_flt_reg[19]_i_1_n_6 ; - wire \cnt_flt_reg[19]_i_1_n_7 ; - wire \cnt_flt_reg[23]_i_1_n_0 ; - wire \cnt_flt_reg[23]_i_1_n_1 ; - wire \cnt_flt_reg[23]_i_1_n_2 ; - wire \cnt_flt_reg[23]_i_1_n_3 ; - wire \cnt_flt_reg[23]_i_1_n_4 ; - wire \cnt_flt_reg[23]_i_1_n_5 ; - wire \cnt_flt_reg[23]_i_1_n_6 ; - wire \cnt_flt_reg[23]_i_1_n_7 ; - wire \cnt_flt_reg[27]_i_1_n_0 ; - wire \cnt_flt_reg[27]_i_1_n_1 ; - wire \cnt_flt_reg[27]_i_1_n_2 ; - wire \cnt_flt_reg[27]_i_1_n_3 ; - wire \cnt_flt_reg[27]_i_1_n_4 ; - wire \cnt_flt_reg[27]_i_1_n_5 ; - wire \cnt_flt_reg[27]_i_1_n_6 ; - wire \cnt_flt_reg[27]_i_1_n_7 ; - wire \cnt_flt_reg[31]_i_1_n_7 ; - wire \cnt_flt_reg[7]_i_1_n_0 ; - wire \cnt_flt_reg[7]_i_1_n_1 ; - wire \cnt_flt_reg[7]_i_1_n_2 ; - wire \cnt_flt_reg[7]_i_1_n_3 ; - wire \cnt_flt_reg[7]_i_1_n_4 ; - wire \cnt_flt_reg[7]_i_1_n_5 ; - wire \cnt_flt_reg[7]_i_1_n_6 ; - wire \cnt_flt_reg[7]_i_1_n_7 ; - wire [31:1]data; - wire dc_ce; - wire dc_mute; - wire \div_sample[0]_i_1_n_0 ; - wire \div_sample[1]_i_1_n_0 ; - wire \div_sample[2]_i_1_n_0 ; - wire \div_sample[3]_i_1_n_0 ; - wire \div_sample[4]_i_1_n_0 ; - wire \div_sample[5]_i_1_n_0 ; - wire \div_sample[6]_i_1_n_0 ; - wire \div_sample[7]_i_1_n_0 ; - wire \div_sample[8]_i_1_n_0 ; - wire \div_sample[8]_i_2_n_0 ; - wire [8:0]div_sample_reg; - wire [1:0]dly1; - wire \dly1[0]_i_1_n_0 ; - wire \dly1[1]_i_1_n_0 ; - wire dly2; - wire \dly2[0]_i_3_n_0 ; - wire \dly2_reg[0]_i_2_n_0 ; - wire \dly2_reg[0]_i_2_n_1 ; - wire \dly2_reg[0]_i_2_n_2 ; - wire \dly2_reg[0]_i_2_n_3 ; - wire \dly2_reg[0]_i_2_n_4 ; - wire \dly2_reg[0]_i_2_n_5 ; - wire \dly2_reg[0]_i_2_n_6 ; - wire \dly2_reg[0]_i_2_n_7 ; - wire \dly2_reg[12]_i_1_n_3 ; - wire \dly2_reg[12]_i_1_n_6 ; - wire \dly2_reg[12]_i_1_n_7 ; - wire \dly2_reg[4]_i_1_n_0 ; - wire \dly2_reg[4]_i_1_n_1 ; - wire \dly2_reg[4]_i_1_n_2 ; - wire \dly2_reg[4]_i_1_n_3 ; - wire \dly2_reg[4]_i_1_n_4 ; - wire \dly2_reg[4]_i_1_n_5 ; - wire \dly2_reg[4]_i_1_n_6 ; - wire \dly2_reg[4]_i_1_n_7 ; - wire \dly2_reg[8]_i_1_n_0 ; - wire \dly2_reg[8]_i_1_n_1 ; - wire \dly2_reg[8]_i_1_n_2 ; - wire \dly2_reg[8]_i_1_n_3 ; - wire \dly2_reg[8]_i_1_n_4 ; - wire \dly2_reg[8]_i_1_n_5 ; - wire \dly2_reg[8]_i_1_n_6 ; - wire \dly2_reg[8]_i_1_n_7 ; - wire \dly2_reg_n_0_[0] ; - wire \dly2_reg_n_0_[10] ; - wire \dly2_reg_n_0_[11] ; - wire \dly2_reg_n_0_[12] ; - wire \dly2_reg_n_0_[1] ; - wire \dly2_reg_n_0_[2] ; - wire \dly2_reg_n_0_[3] ; - wire \dly2_reg_n_0_[4] ; - wire \dly2_reg_n_0_[5] ; - wire \dly2_reg_n_0_[6] ; - wire \dly2_reg_n_0_[7] ; - wire \dly2_reg_n_0_[8] ; - wire \dly2_reg_n_0_[9] ; - wire flt_ce; - wire [31:7]flt_ce1; - wire flt_ce1_carry__0_n_0; - wire flt_ce1_carry__0_n_1; - wire flt_ce1_carry__0_n_2; - wire flt_ce1_carry__0_n_3; - wire flt_ce1_carry__1_n_0; - wire flt_ce1_carry__1_n_1; - wire flt_ce1_carry__1_n_2; - wire flt_ce1_carry__1_n_3; - wire flt_ce1_carry__2_n_0; - wire flt_ce1_carry__2_n_1; - wire flt_ce1_carry__2_n_2; - wire flt_ce1_carry__2_n_3; - wire flt_ce1_carry__3_n_0; - wire flt_ce1_carry__3_n_1; - wire flt_ce1_carry__3_n_2; - wire flt_ce1_carry__3_n_3; - wire flt_ce1_carry__4_n_0; - wire flt_ce1_carry__4_n_1; - wire flt_ce1_carry__4_n_2; - wire flt_ce1_carry__4_n_3; - wire flt_ce1_carry_i_1__0_n_0; - wire flt_ce1_carry_i_1__1_n_0; - wire flt_ce1_carry_i_1__2_n_0; - wire flt_ce1_carry_i_1__3_n_0; - wire flt_ce1_carry_i_1_n_0; - wire flt_ce1_carry_i_2__0_n_0; - wire flt_ce1_carry_i_2__1_n_0; - wire flt_ce1_carry_i_2__2_n_0; - wire flt_ce1_carry_i_2_n_0; - wire flt_ce1_carry_i_3_n_0; - wire flt_ce1_carry_n_0; - wire flt_ce1_carry_n_1; - wire flt_ce1_carry_n_2; - wire flt_ce1_carry_n_3; - wire flt_ce_i_10_n_0; - wire flt_ce_i_2_n_0; - wire flt_ce_i_3_n_0; - wire flt_ce_i_4_n_0; - wire flt_ce_i_5_n_0; - wire flt_ce_i_6_n_0; - wire flt_ce_i_7_n_0; - wire flt_ce_i_8_n_0; - wire flt_ce_i_9_n_0; - wire iir_ce; - wire [0:0]in; - wire load; - wire p_0_in; - wire reset; - wire sample_ce_i_2_n_0; - wire [3:2]NLW_cnt_flt0_carry__6_CO_UNCONNECTED; - wire [3:3]NLW_cnt_flt0_carry__6_O_UNCONNECTED; - wire [3:0]\NLW_cnt_flt_reg[31]_i_1_CO_UNCONNECTED ; - wire [3:1]\NLW_cnt_flt_reg[31]_i_1_O_UNCONNECTED ; - wire [3:1]\NLW_dly2_reg[12]_i_1_CO_UNCONNECTED ; - wire [3:2]\NLW_dly2_reg[12]_i_1_O_UNCONNECTED ; - wire [3:0]NLW_flt_ce1_carry__5_CO_UNCONNECTED; - wire [3:1]NLW_flt_ce1_carry__5_O_UNCONNECTED; - - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT4 #( - .INIT(16'hFF80)) - a_en1_i_1 - (.I0(flt_ce), - .I1(dly1[1]), - .I2(dly1[0]), - .I3(a_en1), - .O(a_en1_i_1_n_0)); - FDCE #( - .INIT(1'b0)) - a_en1_reg - (.C(clk), - .CE(1'b1), - .CLR(reset), - .D(a_en1_i_1_n_0), - .Q(a_en1)); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT3 #( - .INIT(8'hF8)) - a_en2_i_1 - (.I0(p_0_in), - .I1(dc_ce), - .I2(a_en2), - .O(a_en2_i_1_n_0)); - FDCE #( - .INIT(1'b0)) - a_en2_reg - (.C(clk), - .CE(1'b1), - .CLR(reset), - .D(a_en2_i_1_n_0), - .Q(a_en2)); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT5 #( - .INIT(32'hFFFF0001)) - \add[0]_i_1 - (.I0(div_sample_reg[5]), - .I1(div_sample_reg[6]), - .I2(div_sample_reg[4]), - .I3(sample_ce_i_2_n_0), - .I4(in), - .O(\add[0]_i_1_n_0 )); - FDRE #( - .INIT(1'b0)) - \add_reg[0] - (.C(clk), - .CE(1'b1), - .D(\add[0]_i_1_n_0 ), - .Q(in), - .R(1'b0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 cnt_flt0_carry - (.CI(1'b0), - .CO({cnt_flt0_carry_n_0,cnt_flt0_carry_n_1,cnt_flt0_carry_n_2,cnt_flt0_carry_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,cnt_flt_reg[2],1'b0}), - .O(data[4:1]), - .S({cnt_flt_reg[4:3],cnt_flt0_carry_i_1_n_0,cnt_flt_reg[1]})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 cnt_flt0_carry__0 - (.CI(cnt_flt0_carry_n_0), - .CO({cnt_flt0_carry__0_n_0,cnt_flt0_carry__0_n_1,cnt_flt0_carry__0_n_2,cnt_flt0_carry__0_n_3}), - .CYINIT(1'b0), - .DI({cnt_flt_reg[8:7],1'b0,1'b0}), - .O(data[8:5]), - .S({cnt_flt0_carry__0_i_1_n_0,cnt_flt0_carry__0_i_2_n_0,cnt_flt_reg[6:5]})); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__0_i_1 - (.I0(cnt_flt_reg[8]), - .O(cnt_flt0_carry__0_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__0_i_2 - (.I0(cnt_flt_reg[7]), - .O(cnt_flt0_carry__0_i_2_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 cnt_flt0_carry__1 - (.CI(cnt_flt0_carry__0_n_0), - .CO({cnt_flt0_carry__1_n_0,cnt_flt0_carry__1_n_1,cnt_flt0_carry__1_n_2,cnt_flt0_carry__1_n_3}), - .CYINIT(1'b0), - .DI({cnt_flt_reg[12],1'b0,1'b0,1'b0}), - .O(data[12:9]), - .S({cnt_flt0_carry__1_i_1_n_0,cnt_flt_reg[11:9]})); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__1_i_1 - (.I0(cnt_flt_reg[12]), - .O(cnt_flt0_carry__1_i_1_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 cnt_flt0_carry__2 - (.CI(cnt_flt0_carry__1_n_0), - .CO({cnt_flt0_carry__2_n_0,cnt_flt0_carry__2_n_1,cnt_flt0_carry__2_n_2,cnt_flt0_carry__2_n_3}), - .CYINIT(1'b0), - .DI(cnt_flt_reg[16:13]), - .O(data[16:13]), - .S({cnt_flt0_carry__2_i_1_n_0,cnt_flt0_carry__2_i_2_n_0,cnt_flt0_carry__2_i_3_n_0,cnt_flt0_carry__2_i_4_n_0})); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__2_i_1 - (.I0(cnt_flt_reg[16]), - .O(cnt_flt0_carry__2_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__2_i_2 - (.I0(cnt_flt_reg[15]), - .O(cnt_flt0_carry__2_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__2_i_3 - (.I0(cnt_flt_reg[14]), - .O(cnt_flt0_carry__2_i_3_n_0)); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__2_i_4 - (.I0(cnt_flt_reg[13]), - .O(cnt_flt0_carry__2_i_4_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 cnt_flt0_carry__3 - (.CI(cnt_flt0_carry__2_n_0), - .CO({cnt_flt0_carry__3_n_0,cnt_flt0_carry__3_n_1,cnt_flt0_carry__3_n_2,cnt_flt0_carry__3_n_3}), - .CYINIT(1'b0), - .DI({cnt_flt_reg[20:19],1'b0,cnt_flt_reg[17]}), - .O(data[20:17]), - .S({cnt_flt0_carry__3_i_1_n_0,cnt_flt0_carry__3_i_2_n_0,cnt_flt_reg[18],cnt_flt0_carry__3_i_3_n_0})); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__3_i_1 - (.I0(cnt_flt_reg[20]), - .O(cnt_flt0_carry__3_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__3_i_2 - (.I0(cnt_flt_reg[19]), - .O(cnt_flt0_carry__3_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry__3_i_3 - (.I0(cnt_flt_reg[17]), - .O(cnt_flt0_carry__3_i_3_n_0)); - (* ADDER_THRESHOLD = "35" *) - CARRY4 cnt_flt0_carry__4 - (.CI(cnt_flt0_carry__3_n_0), - .CO({cnt_flt0_carry__4_n_0,cnt_flt0_carry__4_n_1,cnt_flt0_carry__4_n_2,cnt_flt0_carry__4_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(data[24:21]), - .S(cnt_flt_reg[24:21])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 cnt_flt0_carry__5 - (.CI(cnt_flt0_carry__4_n_0), - .CO({cnt_flt0_carry__5_n_0,cnt_flt0_carry__5_n_1,cnt_flt0_carry__5_n_2,cnt_flt0_carry__5_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(data[28:25]), - .S(cnt_flt_reg[28:25])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 cnt_flt0_carry__6 - (.CI(cnt_flt0_carry__5_n_0), - .CO({NLW_cnt_flt0_carry__6_CO_UNCONNECTED[3:2],cnt_flt0_carry__6_n_2,cnt_flt0_carry__6_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({NLW_cnt_flt0_carry__6_O_UNCONNECTED[3],data[31:29]}), - .S({1'b0,cnt_flt_reg[31:29]})); - LUT1 #( - .INIT(2'h1)) - cnt_flt0_carry_i_1 - (.I0(cnt_flt_reg[2]), - .O(cnt_flt0_carry_i_1_n_0)); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[11]_i_2 - (.I0(data[14]), - .I1(cnt_flt_reg[14]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[11]_i_2_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[11]_i_3 - (.I0(cnt_flt_reg[13]), - .I1(flt_ce_i_2_n_0), - .I2(data[13]), - .O(\cnt_flt[11]_i_3_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[11]_i_4 - (.I0(data[12]), - .I1(cnt_flt_reg[12]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[11]_i_4_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[11]_i_5 - (.I0(cnt_flt_reg[11]), - .I1(flt_ce_i_2_n_0), - .I2(data[11]), - .O(\cnt_flt[11]_i_5_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[15]_i_2 - (.I0(data[18]), - .I1(cnt_flt_reg[18]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[15]_i_2_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[15]_i_3 - (.I0(data[17]), - .I1(cnt_flt_reg[17]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[15]_i_3_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[15]_i_4 - (.I0(data[16]), - .I1(cnt_flt_reg[16]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[15]_i_4_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[15]_i_5 - (.I0(cnt_flt_reg[15]), - .I1(flt_ce_i_2_n_0), - .I2(data[15]), - .O(\cnt_flt[15]_i_5_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[19]_i_2 - (.I0(data[22]), - .I1(cnt_flt_reg[22]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[19]_i_2_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[19]_i_3 - (.I0(cnt_flt_reg[21]), - .I1(flt_ce_i_2_n_0), - .I2(data[21]), - .O(\cnt_flt[19]_i_3_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[19]_i_4 - (.I0(data[20]), - .I1(cnt_flt_reg[20]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[19]_i_4_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[19]_i_5 - (.I0(cnt_flt_reg[19]), - .I1(flt_ce_i_2_n_0), - .I2(data[19]), - .O(\cnt_flt[19]_i_5_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[23]_i_2 - (.I0(cnt_flt_reg[26]), - .I1(flt_ce_i_2_n_0), - .I2(data[26]), - .O(\cnt_flt[23]_i_2_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[23]_i_3 - (.I0(cnt_flt_reg[25]), - .I1(flt_ce_i_2_n_0), - .I2(data[25]), - .O(\cnt_flt[23]_i_3_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[23]_i_4 - (.I0(cnt_flt_reg[24]), - .I1(flt_ce_i_2_n_0), - .I2(data[24]), - .O(\cnt_flt[23]_i_4_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[23]_i_5 - (.I0(data[23]), - .I1(cnt_flt_reg[23]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[23]_i_5_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[27]_i_2 - (.I0(cnt_flt_reg[30]), - .I1(flt_ce_i_2_n_0), - .I2(data[30]), - .O(\cnt_flt[27]_i_2_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[27]_i_3 - (.I0(cnt_flt_reg[29]), - .I1(flt_ce_i_2_n_0), - .I2(data[29]), - .O(\cnt_flt[27]_i_3_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[27]_i_4 - (.I0(cnt_flt_reg[28]), - .I1(flt_ce_i_2_n_0), - .I2(data[28]), - .O(\cnt_flt[27]_i_4_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[27]_i_5 - (.I0(cnt_flt_reg[27]), - .I1(flt_ce_i_2_n_0), - .I2(data[27]), - .O(\cnt_flt[27]_i_5_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[31]_i_2 - (.I0(cnt_flt_reg[31]), - .I1(flt_ce_i_2_n_0), - .I2(data[31]), - .O(\cnt_flt[31]_i_2_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[7]_i_2 - (.I0(data[10]), - .I1(cnt_flt_reg[10]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[7]_i_2_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[7]_i_3 - (.I0(cnt_flt_reg[9]), - .I1(flt_ce_i_2_n_0), - .I2(data[9]), - .O(\cnt_flt[7]_i_3_n_0 )); - LUT3 #( - .INIT(8'h3A)) - \cnt_flt[7]_i_4 - (.I0(data[8]), - .I1(cnt_flt_reg[8]), - .I2(flt_ce_i_2_n_0), - .O(\cnt_flt[7]_i_4_n_0 )); - LUT3 #( - .INIT(8'hB8)) - \cnt_flt[7]_i_5 - (.I0(cnt_flt_reg[7]), - .I1(flt_ce_i_2_n_0), - .I2(data[7]), - .O(\cnt_flt[7]_i_5_n_0 )); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[10] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[7]_i_1_n_4 ), - .Q(cnt_flt_reg[10]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[11] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[11]_i_1_n_7 ), - .Q(cnt_flt_reg[11]), - .R(1'b0)); - (* ADDER_THRESHOLD = "11" *) - CARRY4 \cnt_flt_reg[11]_i_1 - (.CI(\cnt_flt_reg[7]_i_1_n_0 ), - .CO({\cnt_flt_reg[11]_i_1_n_0 ,\cnt_flt_reg[11]_i_1_n_1 ,\cnt_flt_reg[11]_i_1_n_2 ,\cnt_flt_reg[11]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({flt_ce_i_2_n_0,1'b0,flt_ce_i_2_n_0,1'b0}), - .O({\cnt_flt_reg[11]_i_1_n_4 ,\cnt_flt_reg[11]_i_1_n_5 ,\cnt_flt_reg[11]_i_1_n_6 ,\cnt_flt_reg[11]_i_1_n_7 }), - .S({\cnt_flt[11]_i_2_n_0 ,\cnt_flt[11]_i_3_n_0 ,\cnt_flt[11]_i_4_n_0 ,\cnt_flt[11]_i_5_n_0 })); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[12] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[11]_i_1_n_6 ), - .Q(cnt_flt_reg[12]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[13] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[11]_i_1_n_5 ), - .Q(cnt_flt_reg[13]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[14] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[11]_i_1_n_4 ), - .Q(cnt_flt_reg[14]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[15] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[15]_i_1_n_7 ), - .Q(cnt_flt_reg[15]), - .R(1'b0)); - (* ADDER_THRESHOLD = "11" *) - CARRY4 \cnt_flt_reg[15]_i_1 - (.CI(\cnt_flt_reg[11]_i_1_n_0 ), - .CO({\cnt_flt_reg[15]_i_1_n_0 ,\cnt_flt_reg[15]_i_1_n_1 ,\cnt_flt_reg[15]_i_1_n_2 ,\cnt_flt_reg[15]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({flt_ce_i_2_n_0,flt_ce_i_2_n_0,flt_ce_i_2_n_0,1'b0}), - .O({\cnt_flt_reg[15]_i_1_n_4 ,\cnt_flt_reg[15]_i_1_n_5 ,\cnt_flt_reg[15]_i_1_n_6 ,\cnt_flt_reg[15]_i_1_n_7 }), - .S({\cnt_flt[15]_i_2_n_0 ,\cnt_flt[15]_i_3_n_0 ,\cnt_flt[15]_i_4_n_0 ,\cnt_flt[15]_i_5_n_0 })); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[16] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[15]_i_1_n_6 ), - .Q(cnt_flt_reg[16]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[17] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[15]_i_1_n_5 ), - .Q(cnt_flt_reg[17]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[18] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[15]_i_1_n_4 ), - .Q(cnt_flt_reg[18]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[19] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[19]_i_1_n_7 ), - .Q(cnt_flt_reg[19]), - .R(1'b0)); - (* ADDER_THRESHOLD = "11" *) - CARRY4 \cnt_flt_reg[19]_i_1 - (.CI(\cnt_flt_reg[15]_i_1_n_0 ), - .CO({\cnt_flt_reg[19]_i_1_n_0 ,\cnt_flt_reg[19]_i_1_n_1 ,\cnt_flt_reg[19]_i_1_n_2 ,\cnt_flt_reg[19]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({flt_ce_i_2_n_0,1'b0,flt_ce_i_2_n_0,1'b0}), - .O({\cnt_flt_reg[19]_i_1_n_4 ,\cnt_flt_reg[19]_i_1_n_5 ,\cnt_flt_reg[19]_i_1_n_6 ,\cnt_flt_reg[19]_i_1_n_7 }), - .S({\cnt_flt[19]_i_2_n_0 ,\cnt_flt[19]_i_3_n_0 ,\cnt_flt[19]_i_4_n_0 ,\cnt_flt[19]_i_5_n_0 })); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[1] - (.C(clk), - .CE(load), - .D(data[1]), - .Q(cnt_flt_reg[1]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[20] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[19]_i_1_n_6 ), - .Q(cnt_flt_reg[20]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[21] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[19]_i_1_n_5 ), - .Q(cnt_flt_reg[21]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[22] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[19]_i_1_n_4 ), - .Q(cnt_flt_reg[22]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[23] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[23]_i_1_n_7 ), - .Q(cnt_flt_reg[23]), - .R(1'b0)); - (* ADDER_THRESHOLD = "11" *) - CARRY4 \cnt_flt_reg[23]_i_1 - (.CI(\cnt_flt_reg[19]_i_1_n_0 ), - .CO({\cnt_flt_reg[23]_i_1_n_0 ,\cnt_flt_reg[23]_i_1_n_1 ,\cnt_flt_reg[23]_i_1_n_2 ,\cnt_flt_reg[23]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,flt_ce_i_2_n_0}), - .O({\cnt_flt_reg[23]_i_1_n_4 ,\cnt_flt_reg[23]_i_1_n_5 ,\cnt_flt_reg[23]_i_1_n_6 ,\cnt_flt_reg[23]_i_1_n_7 }), - .S({\cnt_flt[23]_i_2_n_0 ,\cnt_flt[23]_i_3_n_0 ,\cnt_flt[23]_i_4_n_0 ,\cnt_flt[23]_i_5_n_0 })); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[24] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[23]_i_1_n_6 ), - .Q(cnt_flt_reg[24]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[25] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[23]_i_1_n_5 ), - .Q(cnt_flt_reg[25]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[26] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[23]_i_1_n_4 ), - .Q(cnt_flt_reg[26]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[27] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[27]_i_1_n_7 ), - .Q(cnt_flt_reg[27]), - .R(1'b0)); - (* ADDER_THRESHOLD = "11" *) - CARRY4 \cnt_flt_reg[27]_i_1 - (.CI(\cnt_flt_reg[23]_i_1_n_0 ), - .CO({\cnt_flt_reg[27]_i_1_n_0 ,\cnt_flt_reg[27]_i_1_n_1 ,\cnt_flt_reg[27]_i_1_n_2 ,\cnt_flt_reg[27]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\cnt_flt_reg[27]_i_1_n_4 ,\cnt_flt_reg[27]_i_1_n_5 ,\cnt_flt_reg[27]_i_1_n_6 ,\cnt_flt_reg[27]_i_1_n_7 }), - .S({\cnt_flt[27]_i_2_n_0 ,\cnt_flt[27]_i_3_n_0 ,\cnt_flt[27]_i_4_n_0 ,\cnt_flt[27]_i_5_n_0 })); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[28] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[27]_i_1_n_6 ), - .Q(cnt_flt_reg[28]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[29] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[27]_i_1_n_5 ), - .Q(cnt_flt_reg[29]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[2] - (.C(clk), - .CE(load), - .D(data[2]), - .Q(cnt_flt_reg[2]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[30] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[27]_i_1_n_4 ), - .Q(cnt_flt_reg[30]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[31] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[31]_i_1_n_7 ), - .Q(cnt_flt_reg[31]), - .R(1'b0)); - (* ADDER_THRESHOLD = "11" *) - CARRY4 \cnt_flt_reg[31]_i_1 - (.CI(\cnt_flt_reg[27]_i_1_n_0 ), - .CO(\NLW_cnt_flt_reg[31]_i_1_CO_UNCONNECTED [3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\NLW_cnt_flt_reg[31]_i_1_O_UNCONNECTED [3:1],\cnt_flt_reg[31]_i_1_n_7 }), - .S({1'b0,1'b0,1'b0,\cnt_flt[31]_i_2_n_0 })); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[3] - (.C(clk), - .CE(load), - .D(data[3]), - .Q(cnt_flt_reg[3]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[4] - (.C(clk), - .CE(load), - .D(data[4]), - .Q(cnt_flt_reg[4]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[5] - (.C(clk), - .CE(load), - .D(data[5]), - .Q(cnt_flt_reg[5]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[6] - (.C(clk), - .CE(load), - .D(data[6]), - .Q(cnt_flt_reg[6]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[7] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[7]_i_1_n_7 ), - .Q(cnt_flt_reg[7]), - .R(1'b0)); - (* ADDER_THRESHOLD = "11" *) - CARRY4 \cnt_flt_reg[7]_i_1 - (.CI(1'b0), - .CO({\cnt_flt_reg[7]_i_1_n_0 ,\cnt_flt_reg[7]_i_1_n_1 ,\cnt_flt_reg[7]_i_1_n_2 ,\cnt_flt_reg[7]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({flt_ce_i_2_n_0,1'b0,flt_ce_i_2_n_0,1'b0}), - .O({\cnt_flt_reg[7]_i_1_n_4 ,\cnt_flt_reg[7]_i_1_n_5 ,\cnt_flt_reg[7]_i_1_n_6 ,\cnt_flt_reg[7]_i_1_n_7 }), - .S({\cnt_flt[7]_i_2_n_0 ,\cnt_flt[7]_i_3_n_0 ,\cnt_flt[7]_i_4_n_0 ,\cnt_flt[7]_i_5_n_0 })); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[8] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[7]_i_1_n_6 ), - .Q(cnt_flt_reg[8]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \cnt_flt_reg[9] - (.C(clk), - .CE(1'b1), - .D(\cnt_flt_reg[7]_i_1_n_5 ), - .Q(cnt_flt_reg[9]), - .R(1'b0)); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT1 #( - .INIT(2'h1)) - dc_mute_INST_0 - (.I0(a_en2), - .O(dc_mute)); - LUT6 #( - .INIT(64'h0001FFFFFFFF0001)) - \div_sample[0]_i_1 - (.I0(div_sample_reg[5]), - .I1(div_sample_reg[6]), - .I2(div_sample_reg[4]), - .I3(sample_ce_i_2_n_0), - .I4(div_sample_reg[0]), - .I5(in), - .O(\div_sample[0]_i_1_n_0 )); - LUT3 #( - .INIT(8'h6A)) - \div_sample[1]_i_1 - (.I0(div_sample_reg[1]), - .I1(div_sample_reg[0]), - .I2(in), - .O(\div_sample[1]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT4 #( - .INIT(16'h6AAA)) - \div_sample[2]_i_1 - (.I0(div_sample_reg[2]), - .I1(in), - .I2(div_sample_reg[0]), - .I3(div_sample_reg[1]), - .O(\div_sample[2]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT5 #( - .INIT(32'h6AAAAAAA)) - \div_sample[3]_i_1 - (.I0(div_sample_reg[3]), - .I1(div_sample_reg[1]), - .I2(div_sample_reg[0]), - .I3(in), - .I4(div_sample_reg[2]), - .O(\div_sample[3]_i_1_n_0 )); - LUT6 #( - .INIT(64'h6AAAAAAAAAAAAAAA)) - \div_sample[4]_i_1 - (.I0(div_sample_reg[4]), - .I1(div_sample_reg[2]), - .I2(in), - .I3(div_sample_reg[0]), - .I4(div_sample_reg[1]), - .I5(div_sample_reg[3]), - .O(\div_sample[4]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT2 #( - .INIT(4'h6)) - \div_sample[5]_i_1 - (.I0(div_sample_reg[5]), - .I1(\div_sample[8]_i_2_n_0 ), - .O(\div_sample[5]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT3 #( - .INIT(8'h6A)) - \div_sample[6]_i_1 - (.I0(div_sample_reg[6]), - .I1(\div_sample[8]_i_2_n_0 ), - .I2(div_sample_reg[5]), - .O(\div_sample[6]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT4 #( - .INIT(16'h6AAA)) - \div_sample[7]_i_1 - (.I0(div_sample_reg[7]), - .I1(div_sample_reg[5]), - .I2(\div_sample[8]_i_2_n_0 ), - .I3(div_sample_reg[6]), - .O(\div_sample[7]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT5 #( - .INIT(32'h6AAAAAAA)) - \div_sample[8]_i_1 - (.I0(div_sample_reg[8]), - .I1(div_sample_reg[6]), - .I2(\div_sample[8]_i_2_n_0 ), - .I3(div_sample_reg[5]), - .I4(div_sample_reg[7]), - .O(\div_sample[8]_i_1_n_0 )); - LUT6 #( - .INIT(64'h8000000000000000)) - \div_sample[8]_i_2 - (.I0(div_sample_reg[2]), - .I1(in), - .I2(div_sample_reg[0]), - .I3(div_sample_reg[1]), - .I4(div_sample_reg[3]), - .I5(div_sample_reg[4]), - .O(\div_sample[8]_i_2_n_0 )); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[0] - (.C(clk), - .CE(1'b1), - .D(\div_sample[0]_i_1_n_0 ), - .Q(div_sample_reg[0]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[1] - (.C(clk), - .CE(1'b1), - .D(\div_sample[1]_i_1_n_0 ), - .Q(div_sample_reg[1]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[2] - (.C(clk), - .CE(1'b1), - .D(\div_sample[2]_i_1_n_0 ), - .Q(div_sample_reg[2]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[3] - (.C(clk), - .CE(1'b1), - .D(\div_sample[3]_i_1_n_0 ), - .Q(div_sample_reg[3]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[4] - (.C(clk), - .CE(1'b1), - .D(\div_sample[4]_i_1_n_0 ), - .Q(div_sample_reg[4]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[5] - (.C(clk), - .CE(1'b1), - .D(\div_sample[5]_i_1_n_0 ), - .Q(div_sample_reg[5]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[6] - (.C(clk), - .CE(1'b1), - .D(\div_sample[6]_i_1_n_0 ), - .Q(div_sample_reg[6]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[7] - (.C(clk), - .CE(1'b1), - .D(\div_sample[7]_i_1_n_0 ), - .Q(div_sample_reg[7]), - .R(1'b0)); - FDRE #( - .INIT(1'b0)) - \div_sample_reg[8] - (.C(clk), - .CE(1'b1), - .D(\div_sample[8]_i_1_n_0 ), - .Q(div_sample_reg[8]), - .R(1'b0)); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT3 #( - .INIT(8'hBC)) - \dly1[0]_i_1 - (.I0(dly1[1]), - .I1(flt_ce), - .I2(dly1[0]), - .O(\dly1[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT3 #( - .INIT(8'hEC)) - \dly1[1]_i_1 - (.I0(flt_ce), - .I1(dly1[1]), - .I2(dly1[0]), - .O(\dly1[1]_i_1_n_0 )); - FDCE #( - .INIT(1'b0)) - \dly1_reg[0] - (.C(clk), - .CE(1'b1), - .CLR(reset), - .D(\dly1[0]_i_1_n_0 ), - .Q(dly1[0])); - FDCE #( - .INIT(1'b0)) - \dly1_reg[1] - (.C(clk), - .CE(1'b1), - .CLR(reset), - .D(\dly1[1]_i_1_n_0 ), - .Q(dly1[1])); - LUT2 #( - .INIT(4'h2)) - \dly2[0]_i_1 - (.I0(dc_ce), - .I1(p_0_in), - .O(dly2)); - LUT1 #( - .INIT(2'h1)) - \dly2[0]_i_3 - (.I0(\dly2_reg_n_0_[0] ), - .O(\dly2[0]_i_3_n_0 )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[0] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[0]_i_2_n_7 ), - .Q(\dly2_reg_n_0_[0] )); - CARRY4 \dly2_reg[0]_i_2 - (.CI(1'b0), - .CO({\dly2_reg[0]_i_2_n_0 ,\dly2_reg[0]_i_2_n_1 ,\dly2_reg[0]_i_2_n_2 ,\dly2_reg[0]_i_2_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b1}), - .O({\dly2_reg[0]_i_2_n_4 ,\dly2_reg[0]_i_2_n_5 ,\dly2_reg[0]_i_2_n_6 ,\dly2_reg[0]_i_2_n_7 }), - .S({\dly2_reg_n_0_[3] ,\dly2_reg_n_0_[2] ,\dly2_reg_n_0_[1] ,\dly2[0]_i_3_n_0 })); - FDCE #( - .INIT(1'b0)) - \dly2_reg[10] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[8]_i_1_n_5 ), - .Q(\dly2_reg_n_0_[10] )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[11] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[8]_i_1_n_4 ), - .Q(\dly2_reg_n_0_[11] )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[12] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[12]_i_1_n_7 ), - .Q(\dly2_reg_n_0_[12] )); - CARRY4 \dly2_reg[12]_i_1 - (.CI(\dly2_reg[8]_i_1_n_0 ), - .CO({\NLW_dly2_reg[12]_i_1_CO_UNCONNECTED [3:1],\dly2_reg[12]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\NLW_dly2_reg[12]_i_1_O_UNCONNECTED [3:2],\dly2_reg[12]_i_1_n_6 ,\dly2_reg[12]_i_1_n_7 }), - .S({1'b0,1'b0,p_0_in,\dly2_reg_n_0_[12] })); - FDCE #( - .INIT(1'b0)) - \dly2_reg[13] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[12]_i_1_n_6 ), - .Q(p_0_in)); - FDCE #( - .INIT(1'b0)) - \dly2_reg[1] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[0]_i_2_n_6 ), - .Q(\dly2_reg_n_0_[1] )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[2] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[0]_i_2_n_5 ), - .Q(\dly2_reg_n_0_[2] )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[3] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[0]_i_2_n_4 ), - .Q(\dly2_reg_n_0_[3] )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[4] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[4]_i_1_n_7 ), - .Q(\dly2_reg_n_0_[4] )); - CARRY4 \dly2_reg[4]_i_1 - (.CI(\dly2_reg[0]_i_2_n_0 ), - .CO({\dly2_reg[4]_i_1_n_0 ,\dly2_reg[4]_i_1_n_1 ,\dly2_reg[4]_i_1_n_2 ,\dly2_reg[4]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\dly2_reg[4]_i_1_n_4 ,\dly2_reg[4]_i_1_n_5 ,\dly2_reg[4]_i_1_n_6 ,\dly2_reg[4]_i_1_n_7 }), - .S({\dly2_reg_n_0_[7] ,\dly2_reg_n_0_[6] ,\dly2_reg_n_0_[5] ,\dly2_reg_n_0_[4] })); - FDCE #( - .INIT(1'b0)) - \dly2_reg[5] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[4]_i_1_n_6 ), - .Q(\dly2_reg_n_0_[5] )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[6] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[4]_i_1_n_5 ), - .Q(\dly2_reg_n_0_[6] )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[7] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[4]_i_1_n_4 ), - .Q(\dly2_reg_n_0_[7] )); - FDCE #( - .INIT(1'b0)) - \dly2_reg[8] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[8]_i_1_n_7 ), - .Q(\dly2_reg_n_0_[8] )); - CARRY4 \dly2_reg[8]_i_1 - (.CI(\dly2_reg[4]_i_1_n_0 ), - .CO({\dly2_reg[8]_i_1_n_0 ,\dly2_reg[8]_i_1_n_1 ,\dly2_reg[8]_i_1_n_2 ,\dly2_reg[8]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\dly2_reg[8]_i_1_n_4 ,\dly2_reg[8]_i_1_n_5 ,\dly2_reg[8]_i_1_n_6 ,\dly2_reg[8]_i_1_n_7 }), - .S({\dly2_reg_n_0_[11] ,\dly2_reg_n_0_[10] ,\dly2_reg_n_0_[9] ,\dly2_reg_n_0_[8] })); - FDCE #( - .INIT(1'b0)) - \dly2_reg[9] - (.C(clk), - .CE(dly2), - .CLR(reset), - .D(\dly2_reg[8]_i_1_n_6 ), - .Q(\dly2_reg_n_0_[9] )); - (* ADDER_THRESHOLD = "35" *) - CARRY4 flt_ce1_carry - (.CI(1'b0), - .CO({flt_ce1_carry_n_0,flt_ce1_carry_n_1,flt_ce1_carry_n_2,flt_ce1_carry_n_3}), - .CYINIT(1'b0), - .DI({cnt_flt_reg[10],1'b0,cnt_flt_reg[8],1'b0}), - .O(flt_ce1[10:7]), - .S({flt_ce1_carry_i_1_n_0,cnt_flt_reg[9],flt_ce1_carry_i_2_n_0,cnt_flt_reg[7]})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 flt_ce1_carry__0 - (.CI(flt_ce1_carry_n_0), - .CO({flt_ce1_carry__0_n_0,flt_ce1_carry__0_n_1,flt_ce1_carry__0_n_2,flt_ce1_carry__0_n_3}), - .CYINIT(1'b0), - .DI({cnt_flt_reg[14],1'b0,cnt_flt_reg[12],1'b0}), - .O(flt_ce1[14:11]), - .S({flt_ce1_carry_i_1__3_n_0,cnt_flt_reg[13],flt_ce1_carry_i_2__0_n_0,cnt_flt_reg[11]})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 flt_ce1_carry__1 - (.CI(flt_ce1_carry__0_n_0), - .CO({flt_ce1_carry__1_n_0,flt_ce1_carry__1_n_1,flt_ce1_carry__1_n_2,flt_ce1_carry__1_n_3}), - .CYINIT(1'b0), - .DI({cnt_flt_reg[18:16],1'b0}), - .O(flt_ce1[18:15]), - .S({flt_ce1_carry_i_1__0_n_0,flt_ce1_carry_i_2__1_n_0,flt_ce1_carry_i_3_n_0,cnt_flt_reg[15]})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 flt_ce1_carry__2 - (.CI(flt_ce1_carry__1_n_0), - .CO({flt_ce1_carry__2_n_0,flt_ce1_carry__2_n_1,flt_ce1_carry__2_n_2,flt_ce1_carry__2_n_3}), - .CYINIT(1'b0), - .DI({cnt_flt_reg[22],1'b0,cnt_flt_reg[20],1'b0}), - .O(flt_ce1[22:19]), - .S({flt_ce1_carry_i_1__1_n_0,cnt_flt_reg[21],flt_ce1_carry_i_2__2_n_0,cnt_flt_reg[19]})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 flt_ce1_carry__3 - (.CI(flt_ce1_carry__2_n_0), - .CO({flt_ce1_carry__3_n_0,flt_ce1_carry__3_n_1,flt_ce1_carry__3_n_2,flt_ce1_carry__3_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,cnt_flt_reg[23]}), - .O(flt_ce1[26:23]), - .S({cnt_flt_reg[26:24],flt_ce1_carry_i_1__2_n_0})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 flt_ce1_carry__4 - (.CI(flt_ce1_carry__3_n_0), - .CO({flt_ce1_carry__4_n_0,flt_ce1_carry__4_n_1,flt_ce1_carry__4_n_2,flt_ce1_carry__4_n_3}), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(flt_ce1[30:27]), - .S(cnt_flt_reg[30:27])); - (* ADDER_THRESHOLD = "35" *) - CARRY4 flt_ce1_carry__5 - (.CI(flt_ce1_carry__4_n_0), - .CO(NLW_flt_ce1_carry__5_CO_UNCONNECTED[3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({NLW_flt_ce1_carry__5_O_UNCONNECTED[3:1],flt_ce1[31]}), - .S({1'b0,1'b0,1'b0,cnt_flt_reg[31]})); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_1 - (.I0(cnt_flt_reg[10]), - .O(flt_ce1_carry_i_1_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_1__0 - (.I0(cnt_flt_reg[18]), - .O(flt_ce1_carry_i_1__0_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_1__1 - (.I0(cnt_flt_reg[22]), - .O(flt_ce1_carry_i_1__1_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_1__2 - (.I0(cnt_flt_reg[23]), - .O(flt_ce1_carry_i_1__2_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_1__3 - (.I0(cnt_flt_reg[14]), - .O(flt_ce1_carry_i_1__3_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_2 - (.I0(cnt_flt_reg[8]), - .O(flt_ce1_carry_i_2_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_2__0 - (.I0(cnt_flt_reg[12]), - .O(flt_ce1_carry_i_2__0_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_2__1 - (.I0(cnt_flt_reg[17]), - .O(flt_ce1_carry_i_2__1_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_2__2 - (.I0(cnt_flt_reg[20]), - .O(flt_ce1_carry_i_2__2_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce1_carry_i_3 - (.I0(cnt_flt_reg[16]), - .O(flt_ce1_carry_i_3_n_0)); - LUT1 #( - .INIT(2'h1)) - flt_ce_i_1 - (.I0(flt_ce_i_2_n_0), - .O(load)); - LUT5 #( - .INIT(32'h80000000)) - flt_ce_i_10 - (.I0(cnt_flt_reg[6]), - .I1(cnt_flt_reg[3]), - .I2(cnt_flt_reg[2]), - .I3(cnt_flt_reg[4]), - .I4(cnt_flt_reg[5]), - .O(flt_ce_i_10_n_0)); - LUT6 #( - .INIT(64'h000000005555FF57)) - flt_ce_i_2 - (.I0(flt_ce1[23]), - .I1(flt_ce1[18]), - .I2(flt_ce_i_3_n_0), - .I3(flt_ce_i_4_n_0), - .I4(flt_ce1[22]), - .I5(flt_ce_i_5_n_0), - .O(flt_ce_i_2_n_0)); - LUT6 #( - .INIT(64'h00000000FFFFE000)) - flt_ce_i_3 - (.I0(flt_ce_i_6_n_0), - .I1(flt_ce_i_7_n_0), - .I2(flt_ce1[13]), - .I3(flt_ce1[14]), - .I4(flt_ce1[15]), - .I5(flt_ce_i_8_n_0), - .O(flt_ce_i_3_n_0)); - LUT3 #( - .INIT(8'h7F)) - flt_ce_i_4 - (.I0(flt_ce1[21]), - .I1(flt_ce1[19]), - .I2(flt_ce1[20]), - .O(flt_ce_i_4_n_0)); - LUT5 #( - .INIT(32'hFFFFFFFE)) - flt_ce_i_5 - (.I0(flt_ce1[26]), - .I1(flt_ce1[25]), - .I2(flt_ce1[30]), - .I3(flt_ce1[29]), - .I4(flt_ce_i_9_n_0), - .O(flt_ce_i_5_n_0)); - LUT3 #( - .INIT(8'hFE)) - flt_ce_i_6 - (.I0(flt_ce1[12]), - .I1(flt_ce1[10]), - .I2(flt_ce1[11]), - .O(flt_ce_i_6_n_0)); - LUT4 #( - .INIT(16'hE000)) - flt_ce_i_7 - (.I0(flt_ce_i_10_n_0), - .I1(flt_ce1[7]), - .I2(flt_ce1[8]), - .I3(flt_ce1[9]), - .O(flt_ce_i_7_n_0)); - LUT2 #( - .INIT(4'h7)) - flt_ce_i_8 - (.I0(flt_ce1[17]), - .I1(flt_ce1[16]), - .O(flt_ce_i_8_n_0)); - LUT4 #( - .INIT(16'hFFFE)) - flt_ce_i_9 - (.I0(flt_ce1[27]), - .I1(flt_ce1[28]), - .I2(flt_ce1[24]), - .I3(flt_ce1[31]), - .O(flt_ce_i_9_n_0)); - FDRE flt_ce_reg - (.C(clk), - .CE(1'b1), - .D(load), - .Q(flt_ce), - .R(1'b0)); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT2 #( - .INIT(4'h8)) - iir_ce_INST_0 - (.I0(flt_ce), - .I1(a_en1), - .O(iir_ce)); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT4 #( - .INIT(16'h0001)) - sample_ce_i_1 - (.I0(div_sample_reg[5]), - .I1(div_sample_reg[6]), - .I2(div_sample_reg[4]), - .I3(sample_ce_i_2_n_0), - .O(clear)); - LUT6 #( - .INIT(64'hFFFFFFFFFFFFFFFE)) - sample_ce_i_2 - (.I0(div_sample_reg[3]), - .I1(div_sample_reg[1]), - .I2(div_sample_reg[2]), - .I3(div_sample_reg[7]), - .I4(div_sample_reg[0]), - .I5(div_sample_reg[8]), - .O(sample_ce_i_2_n_0)); - FDRE sample_ce_reg - (.C(clk), - .CE(1'b1), - .D(clear), - .Q(dc_ce), - .R(1'b0)); -endmodule -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - parameter GRES_WIDTH = 10000; - parameter GRES_START = 10000; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - wire GRESTORE; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - reg GRESTORE_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (strong1, weak0) GSR = GSR_int; - assign (strong1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - assign (strong1, weak0) GRESTORE = GRESTORE_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - - initial begin - GRESTORE_int = 1'b0; - #(GRES_START); - GRESTORE_int = 1'b1; - #(GRES_WIDTH); - GRESTORE_int = 1'b0; - end - -endmodule -`endif diff --git a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.vhdl deleted file mode 100644 index 23a01713..00000000 --- a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.vhdl +++ /dev/null @@ -1,2345 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:21:01 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode funcsim --- v:/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_sim_netlist.vhdl --- Design : audio_audio_filter_control_0_0 --- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or --- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_audio_filter_control_0_0_audio_filter_control is - port ( - dc_ce : out STD_LOGIC; - iir_ce : out STD_LOGIC; - dc_mute : out STD_LOGIC; - clk : in STD_LOGIC; - reset : in STD_LOGIC - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of audio_audio_filter_control_0_0_audio_filter_control : entity is "audio_filter_control"; -end audio_audio_filter_control_0_0_audio_filter_control; - -architecture STRUCTURE of audio_audio_filter_control_0_0_audio_filter_control is - signal a_en1 : STD_LOGIC; - signal a_en1_i_1_n_0 : STD_LOGIC; - signal a_en2 : STD_LOGIC; - signal a_en2_i_1_n_0 : STD_LOGIC; - signal \add[0]_i_1_n_0\ : STD_LOGIC; - signal clear : STD_LOGIC; - signal \cnt_flt0_carry__0_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__0_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__0_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__0_n_1\ : STD_LOGIC; - signal \cnt_flt0_carry__0_n_2\ : STD_LOGIC; - signal \cnt_flt0_carry__0_n_3\ : STD_LOGIC; - signal \cnt_flt0_carry__1_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__1_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__1_n_1\ : STD_LOGIC; - signal \cnt_flt0_carry__1_n_2\ : STD_LOGIC; - signal \cnt_flt0_carry__1_n_3\ : STD_LOGIC; - signal \cnt_flt0_carry__2_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__2_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__2_i_3_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__2_i_4_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__2_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__2_n_1\ : STD_LOGIC; - signal \cnt_flt0_carry__2_n_2\ : STD_LOGIC; - signal \cnt_flt0_carry__2_n_3\ : STD_LOGIC; - signal \cnt_flt0_carry__3_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__3_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__3_i_3_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__3_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__3_n_1\ : STD_LOGIC; - signal \cnt_flt0_carry__3_n_2\ : STD_LOGIC; - signal \cnt_flt0_carry__3_n_3\ : STD_LOGIC; - signal \cnt_flt0_carry__4_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__4_n_1\ : STD_LOGIC; - signal \cnt_flt0_carry__4_n_2\ : STD_LOGIC; - signal \cnt_flt0_carry__4_n_3\ : STD_LOGIC; - signal \cnt_flt0_carry__5_n_0\ : STD_LOGIC; - signal \cnt_flt0_carry__5_n_1\ : STD_LOGIC; - signal \cnt_flt0_carry__5_n_2\ : STD_LOGIC; - signal \cnt_flt0_carry__5_n_3\ : STD_LOGIC; - signal \cnt_flt0_carry__6_n_2\ : STD_LOGIC; - signal \cnt_flt0_carry__6_n_3\ : STD_LOGIC; - signal cnt_flt0_carry_i_1_n_0 : STD_LOGIC; - signal cnt_flt0_carry_n_0 : STD_LOGIC; - signal cnt_flt0_carry_n_1 : STD_LOGIC; - signal cnt_flt0_carry_n_2 : STD_LOGIC; - signal cnt_flt0_carry_n_3 : STD_LOGIC; - signal \cnt_flt[11]_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt[11]_i_3_n_0\ : STD_LOGIC; - signal \cnt_flt[11]_i_4_n_0\ : STD_LOGIC; - signal \cnt_flt[11]_i_5_n_0\ : STD_LOGIC; - signal \cnt_flt[15]_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt[15]_i_3_n_0\ : STD_LOGIC; - signal \cnt_flt[15]_i_4_n_0\ : STD_LOGIC; - signal \cnt_flt[15]_i_5_n_0\ : STD_LOGIC; - signal \cnt_flt[19]_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt[19]_i_3_n_0\ : STD_LOGIC; - signal \cnt_flt[19]_i_4_n_0\ : STD_LOGIC; - signal \cnt_flt[19]_i_5_n_0\ : STD_LOGIC; - signal \cnt_flt[23]_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt[23]_i_3_n_0\ : STD_LOGIC; - signal \cnt_flt[23]_i_4_n_0\ : STD_LOGIC; - signal \cnt_flt[23]_i_5_n_0\ : STD_LOGIC; - signal \cnt_flt[27]_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt[27]_i_3_n_0\ : STD_LOGIC; - signal \cnt_flt[27]_i_4_n_0\ : STD_LOGIC; - signal \cnt_flt[27]_i_5_n_0\ : STD_LOGIC; - signal \cnt_flt[31]_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt[7]_i_2_n_0\ : STD_LOGIC; - signal \cnt_flt[7]_i_3_n_0\ : STD_LOGIC; - signal \cnt_flt[7]_i_4_n_0\ : STD_LOGIC; - signal \cnt_flt[7]_i_5_n_0\ : STD_LOGIC; - signal cnt_flt_reg : STD_LOGIC_VECTOR ( 31 downto 1 ); - signal \cnt_flt_reg[11]_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt_reg[11]_i_1_n_1\ : STD_LOGIC; - signal \cnt_flt_reg[11]_i_1_n_2\ : STD_LOGIC; - signal \cnt_flt_reg[11]_i_1_n_3\ : STD_LOGIC; - signal \cnt_flt_reg[11]_i_1_n_4\ : STD_LOGIC; - signal \cnt_flt_reg[11]_i_1_n_5\ : STD_LOGIC; - signal \cnt_flt_reg[11]_i_1_n_6\ : STD_LOGIC; - signal \cnt_flt_reg[11]_i_1_n_7\ : STD_LOGIC; - signal \cnt_flt_reg[15]_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt_reg[15]_i_1_n_1\ : STD_LOGIC; - signal \cnt_flt_reg[15]_i_1_n_2\ : STD_LOGIC; - signal \cnt_flt_reg[15]_i_1_n_3\ : STD_LOGIC; - signal \cnt_flt_reg[15]_i_1_n_4\ : STD_LOGIC; - signal \cnt_flt_reg[15]_i_1_n_5\ : STD_LOGIC; - signal \cnt_flt_reg[15]_i_1_n_6\ : STD_LOGIC; - signal \cnt_flt_reg[15]_i_1_n_7\ : STD_LOGIC; - signal \cnt_flt_reg[19]_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt_reg[19]_i_1_n_1\ : STD_LOGIC; - signal \cnt_flt_reg[19]_i_1_n_2\ : STD_LOGIC; - signal \cnt_flt_reg[19]_i_1_n_3\ : STD_LOGIC; - signal \cnt_flt_reg[19]_i_1_n_4\ : STD_LOGIC; - signal \cnt_flt_reg[19]_i_1_n_5\ : STD_LOGIC; - signal \cnt_flt_reg[19]_i_1_n_6\ : STD_LOGIC; - signal \cnt_flt_reg[19]_i_1_n_7\ : STD_LOGIC; - signal \cnt_flt_reg[23]_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt_reg[23]_i_1_n_1\ : STD_LOGIC; - signal \cnt_flt_reg[23]_i_1_n_2\ : STD_LOGIC; - signal \cnt_flt_reg[23]_i_1_n_3\ : STD_LOGIC; - signal \cnt_flt_reg[23]_i_1_n_4\ : STD_LOGIC; - signal \cnt_flt_reg[23]_i_1_n_5\ : STD_LOGIC; - signal \cnt_flt_reg[23]_i_1_n_6\ : STD_LOGIC; - signal \cnt_flt_reg[23]_i_1_n_7\ : STD_LOGIC; - signal \cnt_flt_reg[27]_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt_reg[27]_i_1_n_1\ : STD_LOGIC; - signal \cnt_flt_reg[27]_i_1_n_2\ : STD_LOGIC; - signal \cnt_flt_reg[27]_i_1_n_3\ : STD_LOGIC; - signal \cnt_flt_reg[27]_i_1_n_4\ : STD_LOGIC; - signal \cnt_flt_reg[27]_i_1_n_5\ : STD_LOGIC; - signal \cnt_flt_reg[27]_i_1_n_6\ : STD_LOGIC; - signal \cnt_flt_reg[27]_i_1_n_7\ : STD_LOGIC; - signal \cnt_flt_reg[31]_i_1_n_7\ : STD_LOGIC; - signal \cnt_flt_reg[7]_i_1_n_0\ : STD_LOGIC; - signal \cnt_flt_reg[7]_i_1_n_1\ : STD_LOGIC; - signal \cnt_flt_reg[7]_i_1_n_2\ : STD_LOGIC; - signal \cnt_flt_reg[7]_i_1_n_3\ : STD_LOGIC; - signal \cnt_flt_reg[7]_i_1_n_4\ : STD_LOGIC; - signal \cnt_flt_reg[7]_i_1_n_5\ : STD_LOGIC; - signal \cnt_flt_reg[7]_i_1_n_6\ : STD_LOGIC; - signal \cnt_flt_reg[7]_i_1_n_7\ : STD_LOGIC; - signal data : STD_LOGIC_VECTOR ( 31 downto 1 ); - signal \^dc_ce\ : STD_LOGIC; - signal \div_sample[0]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[1]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[2]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[3]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[4]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[5]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[6]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[7]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[8]_i_1_n_0\ : STD_LOGIC; - signal \div_sample[8]_i_2_n_0\ : STD_LOGIC; - signal div_sample_reg : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal dly1 : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \dly1[0]_i_1_n_0\ : STD_LOGIC; - signal \dly1[1]_i_1_n_0\ : STD_LOGIC; - signal dly2 : STD_LOGIC; - signal \dly2[0]_i_3_n_0\ : STD_LOGIC; - signal \dly2_reg[0]_i_2_n_0\ : STD_LOGIC; - signal \dly2_reg[0]_i_2_n_1\ : STD_LOGIC; - signal \dly2_reg[0]_i_2_n_2\ : STD_LOGIC; - signal \dly2_reg[0]_i_2_n_3\ : STD_LOGIC; - signal \dly2_reg[0]_i_2_n_4\ : STD_LOGIC; - signal \dly2_reg[0]_i_2_n_5\ : STD_LOGIC; - signal \dly2_reg[0]_i_2_n_6\ : STD_LOGIC; - signal \dly2_reg[0]_i_2_n_7\ : STD_LOGIC; - signal \dly2_reg[12]_i_1_n_3\ : STD_LOGIC; - signal \dly2_reg[12]_i_1_n_6\ : STD_LOGIC; - signal \dly2_reg[12]_i_1_n_7\ : STD_LOGIC; - signal \dly2_reg[4]_i_1_n_0\ : STD_LOGIC; - signal \dly2_reg[4]_i_1_n_1\ : STD_LOGIC; - signal \dly2_reg[4]_i_1_n_2\ : STD_LOGIC; - signal \dly2_reg[4]_i_1_n_3\ : STD_LOGIC; - signal \dly2_reg[4]_i_1_n_4\ : STD_LOGIC; - signal \dly2_reg[4]_i_1_n_5\ : STD_LOGIC; - signal \dly2_reg[4]_i_1_n_6\ : STD_LOGIC; - signal \dly2_reg[4]_i_1_n_7\ : STD_LOGIC; - signal \dly2_reg[8]_i_1_n_0\ : STD_LOGIC; - signal \dly2_reg[8]_i_1_n_1\ : STD_LOGIC; - signal \dly2_reg[8]_i_1_n_2\ : STD_LOGIC; - signal \dly2_reg[8]_i_1_n_3\ : STD_LOGIC; - signal \dly2_reg[8]_i_1_n_4\ : STD_LOGIC; - signal \dly2_reg[8]_i_1_n_5\ : STD_LOGIC; - signal \dly2_reg[8]_i_1_n_6\ : STD_LOGIC; - signal \dly2_reg[8]_i_1_n_7\ : STD_LOGIC; - signal \dly2_reg_n_0_[0]\ : STD_LOGIC; - signal \dly2_reg_n_0_[10]\ : STD_LOGIC; - signal \dly2_reg_n_0_[11]\ : STD_LOGIC; - signal \dly2_reg_n_0_[12]\ : STD_LOGIC; - signal \dly2_reg_n_0_[1]\ : STD_LOGIC; - signal \dly2_reg_n_0_[2]\ : STD_LOGIC; - signal \dly2_reg_n_0_[3]\ : STD_LOGIC; - signal \dly2_reg_n_0_[4]\ : STD_LOGIC; - signal \dly2_reg_n_0_[5]\ : STD_LOGIC; - signal \dly2_reg_n_0_[6]\ : STD_LOGIC; - signal \dly2_reg_n_0_[7]\ : STD_LOGIC; - signal \dly2_reg_n_0_[8]\ : STD_LOGIC; - signal \dly2_reg_n_0_[9]\ : STD_LOGIC; - signal flt_ce : STD_LOGIC; - signal flt_ce1 : STD_LOGIC_VECTOR ( 31 downto 7 ); - signal \flt_ce1_carry__0_n_0\ : STD_LOGIC; - signal \flt_ce1_carry__0_n_1\ : STD_LOGIC; - signal \flt_ce1_carry__0_n_2\ : STD_LOGIC; - signal \flt_ce1_carry__0_n_3\ : STD_LOGIC; - signal \flt_ce1_carry__1_n_0\ : STD_LOGIC; - signal \flt_ce1_carry__1_n_1\ : STD_LOGIC; - signal \flt_ce1_carry__1_n_2\ : STD_LOGIC; - signal \flt_ce1_carry__1_n_3\ : STD_LOGIC; - signal \flt_ce1_carry__2_n_0\ : STD_LOGIC; - signal \flt_ce1_carry__2_n_1\ : STD_LOGIC; - signal \flt_ce1_carry__2_n_2\ : STD_LOGIC; - signal \flt_ce1_carry__2_n_3\ : STD_LOGIC; - signal \flt_ce1_carry__3_n_0\ : STD_LOGIC; - signal \flt_ce1_carry__3_n_1\ : STD_LOGIC; - signal \flt_ce1_carry__3_n_2\ : STD_LOGIC; - signal \flt_ce1_carry__3_n_3\ : STD_LOGIC; - signal \flt_ce1_carry__4_n_0\ : STD_LOGIC; - signal \flt_ce1_carry__4_n_1\ : STD_LOGIC; - signal \flt_ce1_carry__4_n_2\ : STD_LOGIC; - signal \flt_ce1_carry__4_n_3\ : STD_LOGIC; - signal \flt_ce1_carry_i_1__0_n_0\ : STD_LOGIC; - signal \flt_ce1_carry_i_1__1_n_0\ : STD_LOGIC; - signal \flt_ce1_carry_i_1__2_n_0\ : STD_LOGIC; - signal \flt_ce1_carry_i_1__3_n_0\ : STD_LOGIC; - signal flt_ce1_carry_i_1_n_0 : STD_LOGIC; - signal \flt_ce1_carry_i_2__0_n_0\ : STD_LOGIC; - signal \flt_ce1_carry_i_2__1_n_0\ : STD_LOGIC; - signal \flt_ce1_carry_i_2__2_n_0\ : STD_LOGIC; - signal flt_ce1_carry_i_2_n_0 : STD_LOGIC; - signal flt_ce1_carry_i_3_n_0 : STD_LOGIC; - signal flt_ce1_carry_n_0 : STD_LOGIC; - signal flt_ce1_carry_n_1 : STD_LOGIC; - signal flt_ce1_carry_n_2 : STD_LOGIC; - signal flt_ce1_carry_n_3 : STD_LOGIC; - signal flt_ce_i_10_n_0 : STD_LOGIC; - signal flt_ce_i_2_n_0 : STD_LOGIC; - signal flt_ce_i_3_n_0 : STD_LOGIC; - signal flt_ce_i_4_n_0 : STD_LOGIC; - signal flt_ce_i_5_n_0 : STD_LOGIC; - signal flt_ce_i_6_n_0 : STD_LOGIC; - signal flt_ce_i_7_n_0 : STD_LOGIC; - signal flt_ce_i_8_n_0 : STD_LOGIC; - signal flt_ce_i_9_n_0 : STD_LOGIC; - signal \in\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal load : STD_LOGIC; - signal p_0_in : STD_LOGIC; - signal sample_ce_i_2_n_0 : STD_LOGIC; - signal \NLW_cnt_flt0_carry__6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_cnt_flt0_carry__6_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_cnt_flt_reg[31]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_cnt_flt_reg[31]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_dly2_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_dly2_reg[12]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_flt_ce1_carry__5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_flt_ce1_carry__5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of a_en1_i_1 : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of a_en2_i_1 : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \add[0]_i_1\ : label is "soft_lutpair0"; - attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of cnt_flt0_carry : label is 35; - attribute ADDER_THRESHOLD of \cnt_flt0_carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \cnt_flt0_carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \cnt_flt0_carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \cnt_flt0_carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \cnt_flt0_carry__4\ : label is 35; - attribute ADDER_THRESHOLD of \cnt_flt0_carry__5\ : label is 35; - attribute ADDER_THRESHOLD of \cnt_flt0_carry__6\ : label is 35; - attribute ADDER_THRESHOLD of \cnt_flt_reg[11]_i_1\ : label is 11; - attribute ADDER_THRESHOLD of \cnt_flt_reg[15]_i_1\ : label is 11; - attribute ADDER_THRESHOLD of \cnt_flt_reg[19]_i_1\ : label is 11; - attribute ADDER_THRESHOLD of \cnt_flt_reg[23]_i_1\ : label is 11; - attribute ADDER_THRESHOLD of \cnt_flt_reg[27]_i_1\ : label is 11; - attribute ADDER_THRESHOLD of \cnt_flt_reg[31]_i_1\ : label is 11; - attribute ADDER_THRESHOLD of \cnt_flt_reg[7]_i_1\ : label is 11; - attribute SOFT_HLUTNM of dc_mute_INST_0 : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \div_sample[2]_i_1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \div_sample[3]_i_1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \div_sample[5]_i_1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \div_sample[6]_i_1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \div_sample[7]_i_1\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \div_sample[8]_i_1\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \dly1[0]_i_1\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \dly1[1]_i_1\ : label is "soft_lutpair3"; - attribute ADDER_THRESHOLD of flt_ce1_carry : label is 35; - attribute ADDER_THRESHOLD of \flt_ce1_carry__0\ : label is 35; - attribute ADDER_THRESHOLD of \flt_ce1_carry__1\ : label is 35; - attribute ADDER_THRESHOLD of \flt_ce1_carry__2\ : label is 35; - attribute ADDER_THRESHOLD of \flt_ce1_carry__3\ : label is 35; - attribute ADDER_THRESHOLD of \flt_ce1_carry__4\ : label is 35; - attribute ADDER_THRESHOLD of \flt_ce1_carry__5\ : label is 35; - attribute SOFT_HLUTNM of iir_ce_INST_0 : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of sample_ce_i_1 : label is "soft_lutpair0"; -begin - dc_ce <= \^dc_ce\; -a_en1_i_1: unisim.vcomponents.LUT4 - generic map( - INIT => X"FF80" - ) - port map ( - I0 => flt_ce, - I1 => dly1(1), - I2 => dly1(0), - I3 => a_en1, - O => a_en1_i_1_n_0 - ); -a_en1_reg: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - CLR => reset, - D => a_en1_i_1_n_0, - Q => a_en1 - ); -a_en2_i_1: unisim.vcomponents.LUT3 - generic map( - INIT => X"F8" - ) - port map ( - I0 => p_0_in, - I1 => \^dc_ce\, - I2 => a_en2, - O => a_en2_i_1_n_0 - ); -a_en2_reg: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - CLR => reset, - D => a_en2_i_1_n_0, - Q => a_en2 - ); -\add[0]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"FFFF0001" - ) - port map ( - I0 => div_sample_reg(5), - I1 => div_sample_reg(6), - I2 => div_sample_reg(4), - I3 => sample_ce_i_2_n_0, - I4 => \in\(0), - O => \add[0]_i_1_n_0\ - ); -\add_reg[0]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \add[0]_i_1_n_0\, - Q => \in\(0), - R => '0' - ); -cnt_flt0_carry: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => cnt_flt0_carry_n_0, - CO(2) => cnt_flt0_carry_n_1, - CO(1) => cnt_flt0_carry_n_2, - CO(0) => cnt_flt0_carry_n_3, - CYINIT => '0', - DI(3 downto 2) => B"00", - DI(1) => cnt_flt_reg(2), - DI(0) => '0', - O(3 downto 0) => data(4 downto 1), - S(3 downto 2) => cnt_flt_reg(4 downto 3), - S(1) => cnt_flt0_carry_i_1_n_0, - S(0) => cnt_flt_reg(1) - ); -\cnt_flt0_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => cnt_flt0_carry_n_0, - CO(3) => \cnt_flt0_carry__0_n_0\, - CO(2) => \cnt_flt0_carry__0_n_1\, - CO(1) => \cnt_flt0_carry__0_n_2\, - CO(0) => \cnt_flt0_carry__0_n_3\, - CYINIT => '0', - DI(3 downto 2) => cnt_flt_reg(8 downto 7), - DI(1 downto 0) => B"00", - O(3 downto 0) => data(8 downto 5), - S(3) => \cnt_flt0_carry__0_i_1_n_0\, - S(2) => \cnt_flt0_carry__0_i_2_n_0\, - S(1 downto 0) => cnt_flt_reg(6 downto 5) - ); -\cnt_flt0_carry__0_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(8), - O => \cnt_flt0_carry__0_i_1_n_0\ - ); -\cnt_flt0_carry__0_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(7), - O => \cnt_flt0_carry__0_i_2_n_0\ - ); -\cnt_flt0_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt0_carry__0_n_0\, - CO(3) => \cnt_flt0_carry__1_n_0\, - CO(2) => \cnt_flt0_carry__1_n_1\, - CO(1) => \cnt_flt0_carry__1_n_2\, - CO(0) => \cnt_flt0_carry__1_n_3\, - CYINIT => '0', - DI(3) => cnt_flt_reg(12), - DI(2 downto 0) => B"000", - O(3 downto 0) => data(12 downto 9), - S(3) => \cnt_flt0_carry__1_i_1_n_0\, - S(2 downto 0) => cnt_flt_reg(11 downto 9) - ); -\cnt_flt0_carry__1_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(12), - O => \cnt_flt0_carry__1_i_1_n_0\ - ); -\cnt_flt0_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt0_carry__1_n_0\, - CO(3) => \cnt_flt0_carry__2_n_0\, - CO(2) => \cnt_flt0_carry__2_n_1\, - CO(1) => \cnt_flt0_carry__2_n_2\, - CO(0) => \cnt_flt0_carry__2_n_3\, - CYINIT => '0', - DI(3 downto 0) => cnt_flt_reg(16 downto 13), - O(3 downto 0) => data(16 downto 13), - S(3) => \cnt_flt0_carry__2_i_1_n_0\, - S(2) => \cnt_flt0_carry__2_i_2_n_0\, - S(1) => \cnt_flt0_carry__2_i_3_n_0\, - S(0) => \cnt_flt0_carry__2_i_4_n_0\ - ); -\cnt_flt0_carry__2_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(16), - O => \cnt_flt0_carry__2_i_1_n_0\ - ); -\cnt_flt0_carry__2_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(15), - O => \cnt_flt0_carry__2_i_2_n_0\ - ); -\cnt_flt0_carry__2_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(14), - O => \cnt_flt0_carry__2_i_3_n_0\ - ); -\cnt_flt0_carry__2_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(13), - O => \cnt_flt0_carry__2_i_4_n_0\ - ); -\cnt_flt0_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt0_carry__2_n_0\, - CO(3) => \cnt_flt0_carry__3_n_0\, - CO(2) => \cnt_flt0_carry__3_n_1\, - CO(1) => \cnt_flt0_carry__3_n_2\, - CO(0) => \cnt_flt0_carry__3_n_3\, - CYINIT => '0', - DI(3 downto 2) => cnt_flt_reg(20 downto 19), - DI(1) => '0', - DI(0) => cnt_flt_reg(17), - O(3 downto 0) => data(20 downto 17), - S(3) => \cnt_flt0_carry__3_i_1_n_0\, - S(2) => \cnt_flt0_carry__3_i_2_n_0\, - S(1) => cnt_flt_reg(18), - S(0) => \cnt_flt0_carry__3_i_3_n_0\ - ); -\cnt_flt0_carry__3_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(20), - O => \cnt_flt0_carry__3_i_1_n_0\ - ); -\cnt_flt0_carry__3_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(19), - O => \cnt_flt0_carry__3_i_2_n_0\ - ); -\cnt_flt0_carry__3_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(17), - O => \cnt_flt0_carry__3_i_3_n_0\ - ); -\cnt_flt0_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt0_carry__3_n_0\, - CO(3) => \cnt_flt0_carry__4_n_0\, - CO(2) => \cnt_flt0_carry__4_n_1\, - CO(1) => \cnt_flt0_carry__4_n_2\, - CO(0) => \cnt_flt0_carry__4_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 0) => data(24 downto 21), - S(3 downto 0) => cnt_flt_reg(24 downto 21) - ); -\cnt_flt0_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt0_carry__4_n_0\, - CO(3) => \cnt_flt0_carry__5_n_0\, - CO(2) => \cnt_flt0_carry__5_n_1\, - CO(1) => \cnt_flt0_carry__5_n_2\, - CO(0) => \cnt_flt0_carry__5_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 0) => data(28 downto 25), - S(3 downto 0) => cnt_flt_reg(28 downto 25) - ); -\cnt_flt0_carry__6\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt0_carry__5_n_0\, - CO(3 downto 2) => \NLW_cnt_flt0_carry__6_CO_UNCONNECTED\(3 downto 2), - CO(1) => \cnt_flt0_carry__6_n_2\, - CO(0) => \cnt_flt0_carry__6_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3) => \NLW_cnt_flt0_carry__6_O_UNCONNECTED\(3), - O(2 downto 0) => data(31 downto 29), - S(3) => '0', - S(2 downto 0) => cnt_flt_reg(31 downto 29) - ); -cnt_flt0_carry_i_1: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(2), - O => cnt_flt0_carry_i_1_n_0 - ); -\cnt_flt[11]_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(14), - I1 => cnt_flt_reg(14), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[11]_i_2_n_0\ - ); -\cnt_flt[11]_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(13), - I1 => flt_ce_i_2_n_0, - I2 => data(13), - O => \cnt_flt[11]_i_3_n_0\ - ); -\cnt_flt[11]_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(12), - I1 => cnt_flt_reg(12), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[11]_i_4_n_0\ - ); -\cnt_flt[11]_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(11), - I1 => flt_ce_i_2_n_0, - I2 => data(11), - O => \cnt_flt[11]_i_5_n_0\ - ); -\cnt_flt[15]_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(18), - I1 => cnt_flt_reg(18), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[15]_i_2_n_0\ - ); -\cnt_flt[15]_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(17), - I1 => cnt_flt_reg(17), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[15]_i_3_n_0\ - ); -\cnt_flt[15]_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(16), - I1 => cnt_flt_reg(16), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[15]_i_4_n_0\ - ); -\cnt_flt[15]_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(15), - I1 => flt_ce_i_2_n_0, - I2 => data(15), - O => \cnt_flt[15]_i_5_n_0\ - ); -\cnt_flt[19]_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(22), - I1 => cnt_flt_reg(22), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[19]_i_2_n_0\ - ); -\cnt_flt[19]_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(21), - I1 => flt_ce_i_2_n_0, - I2 => data(21), - O => \cnt_flt[19]_i_3_n_0\ - ); -\cnt_flt[19]_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(20), - I1 => cnt_flt_reg(20), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[19]_i_4_n_0\ - ); -\cnt_flt[19]_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(19), - I1 => flt_ce_i_2_n_0, - I2 => data(19), - O => \cnt_flt[19]_i_5_n_0\ - ); -\cnt_flt[23]_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(26), - I1 => flt_ce_i_2_n_0, - I2 => data(26), - O => \cnt_flt[23]_i_2_n_0\ - ); -\cnt_flt[23]_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(25), - I1 => flt_ce_i_2_n_0, - I2 => data(25), - O => \cnt_flt[23]_i_3_n_0\ - ); -\cnt_flt[23]_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(24), - I1 => flt_ce_i_2_n_0, - I2 => data(24), - O => \cnt_flt[23]_i_4_n_0\ - ); -\cnt_flt[23]_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(23), - I1 => cnt_flt_reg(23), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[23]_i_5_n_0\ - ); -\cnt_flt[27]_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(30), - I1 => flt_ce_i_2_n_0, - I2 => data(30), - O => \cnt_flt[27]_i_2_n_0\ - ); -\cnt_flt[27]_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(29), - I1 => flt_ce_i_2_n_0, - I2 => data(29), - O => \cnt_flt[27]_i_3_n_0\ - ); -\cnt_flt[27]_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(28), - I1 => flt_ce_i_2_n_0, - I2 => data(28), - O => \cnt_flt[27]_i_4_n_0\ - ); -\cnt_flt[27]_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(27), - I1 => flt_ce_i_2_n_0, - I2 => data(27), - O => \cnt_flt[27]_i_5_n_0\ - ); -\cnt_flt[31]_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(31), - I1 => flt_ce_i_2_n_0, - I2 => data(31), - O => \cnt_flt[31]_i_2_n_0\ - ); -\cnt_flt[7]_i_2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(10), - I1 => cnt_flt_reg(10), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[7]_i_2_n_0\ - ); -\cnt_flt[7]_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(9), - I1 => flt_ce_i_2_n_0, - I2 => data(9), - O => \cnt_flt[7]_i_3_n_0\ - ); -\cnt_flt[7]_i_4\: unisim.vcomponents.LUT3 - generic map( - INIT => X"3A" - ) - port map ( - I0 => data(8), - I1 => cnt_flt_reg(8), - I2 => flt_ce_i_2_n_0, - O => \cnt_flt[7]_i_4_n_0\ - ); -\cnt_flt[7]_i_5\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => cnt_flt_reg(7), - I1 => flt_ce_i_2_n_0, - I2 => data(7), - O => \cnt_flt[7]_i_5_n_0\ - ); -\cnt_flt_reg[10]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[7]_i_1_n_4\, - Q => cnt_flt_reg(10), - R => '0' - ); -\cnt_flt_reg[11]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[11]_i_1_n_7\, - Q => cnt_flt_reg(11), - R => '0' - ); -\cnt_flt_reg[11]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt_reg[7]_i_1_n_0\, - CO(3) => \cnt_flt_reg[11]_i_1_n_0\, - CO(2) => \cnt_flt_reg[11]_i_1_n_1\, - CO(1) => \cnt_flt_reg[11]_i_1_n_2\, - CO(0) => \cnt_flt_reg[11]_i_1_n_3\, - CYINIT => '0', - DI(3) => flt_ce_i_2_n_0, - DI(2) => '0', - DI(1) => flt_ce_i_2_n_0, - DI(0) => '0', - O(3) => \cnt_flt_reg[11]_i_1_n_4\, - O(2) => \cnt_flt_reg[11]_i_1_n_5\, - O(1) => \cnt_flt_reg[11]_i_1_n_6\, - O(0) => \cnt_flt_reg[11]_i_1_n_7\, - S(3) => \cnt_flt[11]_i_2_n_0\, - S(2) => \cnt_flt[11]_i_3_n_0\, - S(1) => \cnt_flt[11]_i_4_n_0\, - S(0) => \cnt_flt[11]_i_5_n_0\ - ); -\cnt_flt_reg[12]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[11]_i_1_n_6\, - Q => cnt_flt_reg(12), - R => '0' - ); -\cnt_flt_reg[13]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[11]_i_1_n_5\, - Q => cnt_flt_reg(13), - R => '0' - ); -\cnt_flt_reg[14]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[11]_i_1_n_4\, - Q => cnt_flt_reg(14), - R => '0' - ); -\cnt_flt_reg[15]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[15]_i_1_n_7\, - Q => cnt_flt_reg(15), - R => '0' - ); -\cnt_flt_reg[15]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt_reg[11]_i_1_n_0\, - CO(3) => \cnt_flt_reg[15]_i_1_n_0\, - CO(2) => \cnt_flt_reg[15]_i_1_n_1\, - CO(1) => \cnt_flt_reg[15]_i_1_n_2\, - CO(0) => \cnt_flt_reg[15]_i_1_n_3\, - CYINIT => '0', - DI(3) => flt_ce_i_2_n_0, - DI(2) => flt_ce_i_2_n_0, - DI(1) => flt_ce_i_2_n_0, - DI(0) => '0', - O(3) => \cnt_flt_reg[15]_i_1_n_4\, - O(2) => \cnt_flt_reg[15]_i_1_n_5\, - O(1) => \cnt_flt_reg[15]_i_1_n_6\, - O(0) => \cnt_flt_reg[15]_i_1_n_7\, - S(3) => \cnt_flt[15]_i_2_n_0\, - S(2) => \cnt_flt[15]_i_3_n_0\, - S(1) => \cnt_flt[15]_i_4_n_0\, - S(0) => \cnt_flt[15]_i_5_n_0\ - ); -\cnt_flt_reg[16]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[15]_i_1_n_6\, - Q => cnt_flt_reg(16), - R => '0' - ); -\cnt_flt_reg[17]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[15]_i_1_n_5\, - Q => cnt_flt_reg(17), - R => '0' - ); -\cnt_flt_reg[18]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[15]_i_1_n_4\, - Q => cnt_flt_reg(18), - R => '0' - ); -\cnt_flt_reg[19]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[19]_i_1_n_7\, - Q => cnt_flt_reg(19), - R => '0' - ); -\cnt_flt_reg[19]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt_reg[15]_i_1_n_0\, - CO(3) => \cnt_flt_reg[19]_i_1_n_0\, - CO(2) => \cnt_flt_reg[19]_i_1_n_1\, - CO(1) => \cnt_flt_reg[19]_i_1_n_2\, - CO(0) => \cnt_flt_reg[19]_i_1_n_3\, - CYINIT => '0', - DI(3) => flt_ce_i_2_n_0, - DI(2) => '0', - DI(1) => flt_ce_i_2_n_0, - DI(0) => '0', - O(3) => \cnt_flt_reg[19]_i_1_n_4\, - O(2) => \cnt_flt_reg[19]_i_1_n_5\, - O(1) => \cnt_flt_reg[19]_i_1_n_6\, - O(0) => \cnt_flt_reg[19]_i_1_n_7\, - S(3) => \cnt_flt[19]_i_2_n_0\, - S(2) => \cnt_flt[19]_i_3_n_0\, - S(1) => \cnt_flt[19]_i_4_n_0\, - S(0) => \cnt_flt[19]_i_5_n_0\ - ); -\cnt_flt_reg[1]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => load, - D => data(1), - Q => cnt_flt_reg(1), - R => '0' - ); -\cnt_flt_reg[20]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[19]_i_1_n_6\, - Q => cnt_flt_reg(20), - R => '0' - ); -\cnt_flt_reg[21]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[19]_i_1_n_5\, - Q => cnt_flt_reg(21), - R => '0' - ); -\cnt_flt_reg[22]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[19]_i_1_n_4\, - Q => cnt_flt_reg(22), - R => '0' - ); -\cnt_flt_reg[23]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[23]_i_1_n_7\, - Q => cnt_flt_reg(23), - R => '0' - ); -\cnt_flt_reg[23]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt_reg[19]_i_1_n_0\, - CO(3) => \cnt_flt_reg[23]_i_1_n_0\, - CO(2) => \cnt_flt_reg[23]_i_1_n_1\, - CO(1) => \cnt_flt_reg[23]_i_1_n_2\, - CO(0) => \cnt_flt_reg[23]_i_1_n_3\, - CYINIT => '0', - DI(3 downto 1) => B"000", - DI(0) => flt_ce_i_2_n_0, - O(3) => \cnt_flt_reg[23]_i_1_n_4\, - O(2) => \cnt_flt_reg[23]_i_1_n_5\, - O(1) => \cnt_flt_reg[23]_i_1_n_6\, - O(0) => \cnt_flt_reg[23]_i_1_n_7\, - S(3) => \cnt_flt[23]_i_2_n_0\, - S(2) => \cnt_flt[23]_i_3_n_0\, - S(1) => \cnt_flt[23]_i_4_n_0\, - S(0) => \cnt_flt[23]_i_5_n_0\ - ); -\cnt_flt_reg[24]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[23]_i_1_n_6\, - Q => cnt_flt_reg(24), - R => '0' - ); -\cnt_flt_reg[25]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[23]_i_1_n_5\, - Q => cnt_flt_reg(25), - R => '0' - ); -\cnt_flt_reg[26]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[23]_i_1_n_4\, - Q => cnt_flt_reg(26), - R => '0' - ); -\cnt_flt_reg[27]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[27]_i_1_n_7\, - Q => cnt_flt_reg(27), - R => '0' - ); -\cnt_flt_reg[27]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt_reg[23]_i_1_n_0\, - CO(3) => \cnt_flt_reg[27]_i_1_n_0\, - CO(2) => \cnt_flt_reg[27]_i_1_n_1\, - CO(1) => \cnt_flt_reg[27]_i_1_n_2\, - CO(0) => \cnt_flt_reg[27]_i_1_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3) => \cnt_flt_reg[27]_i_1_n_4\, - O(2) => \cnt_flt_reg[27]_i_1_n_5\, - O(1) => \cnt_flt_reg[27]_i_1_n_6\, - O(0) => \cnt_flt_reg[27]_i_1_n_7\, - S(3) => \cnt_flt[27]_i_2_n_0\, - S(2) => \cnt_flt[27]_i_3_n_0\, - S(1) => \cnt_flt[27]_i_4_n_0\, - S(0) => \cnt_flt[27]_i_5_n_0\ - ); -\cnt_flt_reg[28]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[27]_i_1_n_6\, - Q => cnt_flt_reg(28), - R => '0' - ); -\cnt_flt_reg[29]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[27]_i_1_n_5\, - Q => cnt_flt_reg(29), - R => '0' - ); -\cnt_flt_reg[2]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => load, - D => data(2), - Q => cnt_flt_reg(2), - R => '0' - ); -\cnt_flt_reg[30]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[27]_i_1_n_4\, - Q => cnt_flt_reg(30), - R => '0' - ); -\cnt_flt_reg[31]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[31]_i_1_n_7\, - Q => cnt_flt_reg(31), - R => '0' - ); -\cnt_flt_reg[31]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \cnt_flt_reg[27]_i_1_n_0\, - CO(3 downto 0) => \NLW_cnt_flt_reg[31]_i_1_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_cnt_flt_reg[31]_i_1_O_UNCONNECTED\(3 downto 1), - O(0) => \cnt_flt_reg[31]_i_1_n_7\, - S(3 downto 1) => B"000", - S(0) => \cnt_flt[31]_i_2_n_0\ - ); -\cnt_flt_reg[3]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => load, - D => data(3), - Q => cnt_flt_reg(3), - R => '0' - ); -\cnt_flt_reg[4]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => load, - D => data(4), - Q => cnt_flt_reg(4), - R => '0' - ); -\cnt_flt_reg[5]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => load, - D => data(5), - Q => cnt_flt_reg(5), - R => '0' - ); -\cnt_flt_reg[6]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => load, - D => data(6), - Q => cnt_flt_reg(6), - R => '0' - ); -\cnt_flt_reg[7]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[7]_i_1_n_7\, - Q => cnt_flt_reg(7), - R => '0' - ); -\cnt_flt_reg[7]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \cnt_flt_reg[7]_i_1_n_0\, - CO(2) => \cnt_flt_reg[7]_i_1_n_1\, - CO(1) => \cnt_flt_reg[7]_i_1_n_2\, - CO(0) => \cnt_flt_reg[7]_i_1_n_3\, - CYINIT => '0', - DI(3) => flt_ce_i_2_n_0, - DI(2) => '0', - DI(1) => flt_ce_i_2_n_0, - DI(0) => '0', - O(3) => \cnt_flt_reg[7]_i_1_n_4\, - O(2) => \cnt_flt_reg[7]_i_1_n_5\, - O(1) => \cnt_flt_reg[7]_i_1_n_6\, - O(0) => \cnt_flt_reg[7]_i_1_n_7\, - S(3) => \cnt_flt[7]_i_2_n_0\, - S(2) => \cnt_flt[7]_i_3_n_0\, - S(1) => \cnt_flt[7]_i_4_n_0\, - S(0) => \cnt_flt[7]_i_5_n_0\ - ); -\cnt_flt_reg[8]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[7]_i_1_n_6\, - Q => cnt_flt_reg(8), - R => '0' - ); -\cnt_flt_reg[9]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \cnt_flt_reg[7]_i_1_n_5\, - Q => cnt_flt_reg(9), - R => '0' - ); -dc_mute_INST_0: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => a_en2, - O => dc_mute - ); -\div_sample[0]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0001FFFFFFFF0001" - ) - port map ( - I0 => div_sample_reg(5), - I1 => div_sample_reg(6), - I2 => div_sample_reg(4), - I3 => sample_ce_i_2_n_0, - I4 => div_sample_reg(0), - I5 => \in\(0), - O => \div_sample[0]_i_1_n_0\ - ); -\div_sample[1]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"6A" - ) - port map ( - I0 => div_sample_reg(1), - I1 => div_sample_reg(0), - I2 => \in\(0), - O => \div_sample[1]_i_1_n_0\ - ); -\div_sample[2]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"6AAA" - ) - port map ( - I0 => div_sample_reg(2), - I1 => \in\(0), - I2 => div_sample_reg(0), - I3 => div_sample_reg(1), - O => \div_sample[2]_i_1_n_0\ - ); -\div_sample[3]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6AAAAAAA" - ) - port map ( - I0 => div_sample_reg(3), - I1 => div_sample_reg(1), - I2 => div_sample_reg(0), - I3 => \in\(0), - I4 => div_sample_reg(2), - O => \div_sample[3]_i_1_n_0\ - ); -\div_sample[4]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"6AAAAAAAAAAAAAAA" - ) - port map ( - I0 => div_sample_reg(4), - I1 => div_sample_reg(2), - I2 => \in\(0), - I3 => div_sample_reg(0), - I4 => div_sample_reg(1), - I5 => div_sample_reg(3), - O => \div_sample[4]_i_1_n_0\ - ); -\div_sample[5]_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => div_sample_reg(5), - I1 => \div_sample[8]_i_2_n_0\, - O => \div_sample[5]_i_1_n_0\ - ); -\div_sample[6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"6A" - ) - port map ( - I0 => div_sample_reg(6), - I1 => \div_sample[8]_i_2_n_0\, - I2 => div_sample_reg(5), - O => \div_sample[6]_i_1_n_0\ - ); -\div_sample[7]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"6AAA" - ) - port map ( - I0 => div_sample_reg(7), - I1 => div_sample_reg(5), - I2 => \div_sample[8]_i_2_n_0\, - I3 => div_sample_reg(6), - O => \div_sample[7]_i_1_n_0\ - ); -\div_sample[8]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6AAAAAAA" - ) - port map ( - I0 => div_sample_reg(8), - I1 => div_sample_reg(6), - I2 => \div_sample[8]_i_2_n_0\, - I3 => div_sample_reg(5), - I4 => div_sample_reg(7), - O => \div_sample[8]_i_1_n_0\ - ); -\div_sample[8]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"8000000000000000" - ) - port map ( - I0 => div_sample_reg(2), - I1 => \in\(0), - I2 => div_sample_reg(0), - I3 => div_sample_reg(1), - I4 => div_sample_reg(3), - I5 => div_sample_reg(4), - O => \div_sample[8]_i_2_n_0\ - ); -\div_sample_reg[0]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[0]_i_1_n_0\, - Q => div_sample_reg(0), - R => '0' - ); -\div_sample_reg[1]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[1]_i_1_n_0\, - Q => div_sample_reg(1), - R => '0' - ); -\div_sample_reg[2]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[2]_i_1_n_0\, - Q => div_sample_reg(2), - R => '0' - ); -\div_sample_reg[3]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[3]_i_1_n_0\, - Q => div_sample_reg(3), - R => '0' - ); -\div_sample_reg[4]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[4]_i_1_n_0\, - Q => div_sample_reg(4), - R => '0' - ); -\div_sample_reg[5]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[5]_i_1_n_0\, - Q => div_sample_reg(5), - R => '0' - ); -\div_sample_reg[6]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[6]_i_1_n_0\, - Q => div_sample_reg(6), - R => '0' - ); -\div_sample_reg[7]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[7]_i_1_n_0\, - Q => div_sample_reg(7), - R => '0' - ); -\div_sample_reg[8]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - D => \div_sample[8]_i_1_n_0\, - Q => div_sample_reg(8), - R => '0' - ); -\dly1[0]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"BC" - ) - port map ( - I0 => dly1(1), - I1 => flt_ce, - I2 => dly1(0), - O => \dly1[0]_i_1_n_0\ - ); -\dly1[1]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"EC" - ) - port map ( - I0 => flt_ce, - I1 => dly1(1), - I2 => dly1(0), - O => \dly1[1]_i_1_n_0\ - ); -\dly1_reg[0]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - CLR => reset, - D => \dly1[0]_i_1_n_0\, - Q => dly1(0) - ); -\dly1_reg[1]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => '1', - CLR => reset, - D => \dly1[1]_i_1_n_0\, - Q => dly1(1) - ); -\dly2[0]_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"2" - ) - port map ( - I0 => \^dc_ce\, - I1 => p_0_in, - O => dly2 - ); -\dly2[0]_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \dly2_reg_n_0_[0]\, - O => \dly2[0]_i_3_n_0\ - ); -\dly2_reg[0]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[0]_i_2_n_7\, - Q => \dly2_reg_n_0_[0]\ - ); -\dly2_reg[0]_i_2\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \dly2_reg[0]_i_2_n_0\, - CO(2) => \dly2_reg[0]_i_2_n_1\, - CO(1) => \dly2_reg[0]_i_2_n_2\, - CO(0) => \dly2_reg[0]_i_2_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0001", - O(3) => \dly2_reg[0]_i_2_n_4\, - O(2) => \dly2_reg[0]_i_2_n_5\, - O(1) => \dly2_reg[0]_i_2_n_6\, - O(0) => \dly2_reg[0]_i_2_n_7\, - S(3) => \dly2_reg_n_0_[3]\, - S(2) => \dly2_reg_n_0_[2]\, - S(1) => \dly2_reg_n_0_[1]\, - S(0) => \dly2[0]_i_3_n_0\ - ); -\dly2_reg[10]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[8]_i_1_n_5\, - Q => \dly2_reg_n_0_[10]\ - ); -\dly2_reg[11]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[8]_i_1_n_4\, - Q => \dly2_reg_n_0_[11]\ - ); -\dly2_reg[12]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[12]_i_1_n_7\, - Q => \dly2_reg_n_0_[12]\ - ); -\dly2_reg[12]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \dly2_reg[8]_i_1_n_0\, - CO(3 downto 1) => \NLW_dly2_reg[12]_i_1_CO_UNCONNECTED\(3 downto 1), - CO(0) => \dly2_reg[12]_i_1_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 2) => \NLW_dly2_reg[12]_i_1_O_UNCONNECTED\(3 downto 2), - O(1) => \dly2_reg[12]_i_1_n_6\, - O(0) => \dly2_reg[12]_i_1_n_7\, - S(3 downto 2) => B"00", - S(1) => p_0_in, - S(0) => \dly2_reg_n_0_[12]\ - ); -\dly2_reg[13]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[12]_i_1_n_6\, - Q => p_0_in - ); -\dly2_reg[1]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[0]_i_2_n_6\, - Q => \dly2_reg_n_0_[1]\ - ); -\dly2_reg[2]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[0]_i_2_n_5\, - Q => \dly2_reg_n_0_[2]\ - ); -\dly2_reg[3]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[0]_i_2_n_4\, - Q => \dly2_reg_n_0_[3]\ - ); -\dly2_reg[4]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[4]_i_1_n_7\, - Q => \dly2_reg_n_0_[4]\ - ); -\dly2_reg[4]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \dly2_reg[0]_i_2_n_0\, - CO(3) => \dly2_reg[4]_i_1_n_0\, - CO(2) => \dly2_reg[4]_i_1_n_1\, - CO(1) => \dly2_reg[4]_i_1_n_2\, - CO(0) => \dly2_reg[4]_i_1_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3) => \dly2_reg[4]_i_1_n_4\, - O(2) => \dly2_reg[4]_i_1_n_5\, - O(1) => \dly2_reg[4]_i_1_n_6\, - O(0) => \dly2_reg[4]_i_1_n_7\, - S(3) => \dly2_reg_n_0_[7]\, - S(2) => \dly2_reg_n_0_[6]\, - S(1) => \dly2_reg_n_0_[5]\, - S(0) => \dly2_reg_n_0_[4]\ - ); -\dly2_reg[5]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[4]_i_1_n_6\, - Q => \dly2_reg_n_0_[5]\ - ); -\dly2_reg[6]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[4]_i_1_n_5\, - Q => \dly2_reg_n_0_[6]\ - ); -\dly2_reg[7]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[4]_i_1_n_4\, - Q => \dly2_reg_n_0_[7]\ - ); -\dly2_reg[8]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[8]_i_1_n_7\, - Q => \dly2_reg_n_0_[8]\ - ); -\dly2_reg[8]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \dly2_reg[4]_i_1_n_0\, - CO(3) => \dly2_reg[8]_i_1_n_0\, - CO(2) => \dly2_reg[8]_i_1_n_1\, - CO(1) => \dly2_reg[8]_i_1_n_2\, - CO(0) => \dly2_reg[8]_i_1_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3) => \dly2_reg[8]_i_1_n_4\, - O(2) => \dly2_reg[8]_i_1_n_5\, - O(1) => \dly2_reg[8]_i_1_n_6\, - O(0) => \dly2_reg[8]_i_1_n_7\, - S(3) => \dly2_reg_n_0_[11]\, - S(2) => \dly2_reg_n_0_[10]\, - S(1) => \dly2_reg_n_0_[9]\, - S(0) => \dly2_reg_n_0_[8]\ - ); -\dly2_reg[9]\: unisim.vcomponents.FDCE - generic map( - INIT => '0' - ) - port map ( - C => clk, - CE => dly2, - CLR => reset, - D => \dly2_reg[8]_i_1_n_6\, - Q => \dly2_reg_n_0_[9]\ - ); -flt_ce1_carry: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => flt_ce1_carry_n_0, - CO(2) => flt_ce1_carry_n_1, - CO(1) => flt_ce1_carry_n_2, - CO(0) => flt_ce1_carry_n_3, - CYINIT => '0', - DI(3) => cnt_flt_reg(10), - DI(2) => '0', - DI(1) => cnt_flt_reg(8), - DI(0) => '0', - O(3 downto 0) => flt_ce1(10 downto 7), - S(3) => flt_ce1_carry_i_1_n_0, - S(2) => cnt_flt_reg(9), - S(1) => flt_ce1_carry_i_2_n_0, - S(0) => cnt_flt_reg(7) - ); -\flt_ce1_carry__0\: unisim.vcomponents.CARRY4 - port map ( - CI => flt_ce1_carry_n_0, - CO(3) => \flt_ce1_carry__0_n_0\, - CO(2) => \flt_ce1_carry__0_n_1\, - CO(1) => \flt_ce1_carry__0_n_2\, - CO(0) => \flt_ce1_carry__0_n_3\, - CYINIT => '0', - DI(3) => cnt_flt_reg(14), - DI(2) => '0', - DI(1) => cnt_flt_reg(12), - DI(0) => '0', - O(3 downto 0) => flt_ce1(14 downto 11), - S(3) => \flt_ce1_carry_i_1__3_n_0\, - S(2) => cnt_flt_reg(13), - S(1) => \flt_ce1_carry_i_2__0_n_0\, - S(0) => cnt_flt_reg(11) - ); -\flt_ce1_carry__1\: unisim.vcomponents.CARRY4 - port map ( - CI => \flt_ce1_carry__0_n_0\, - CO(3) => \flt_ce1_carry__1_n_0\, - CO(2) => \flt_ce1_carry__1_n_1\, - CO(1) => \flt_ce1_carry__1_n_2\, - CO(0) => \flt_ce1_carry__1_n_3\, - CYINIT => '0', - DI(3 downto 1) => cnt_flt_reg(18 downto 16), - DI(0) => '0', - O(3 downto 0) => flt_ce1(18 downto 15), - S(3) => \flt_ce1_carry_i_1__0_n_0\, - S(2) => \flt_ce1_carry_i_2__1_n_0\, - S(1) => flt_ce1_carry_i_3_n_0, - S(0) => cnt_flt_reg(15) - ); -\flt_ce1_carry__2\: unisim.vcomponents.CARRY4 - port map ( - CI => \flt_ce1_carry__1_n_0\, - CO(3) => \flt_ce1_carry__2_n_0\, - CO(2) => \flt_ce1_carry__2_n_1\, - CO(1) => \flt_ce1_carry__2_n_2\, - CO(0) => \flt_ce1_carry__2_n_3\, - CYINIT => '0', - DI(3) => cnt_flt_reg(22), - DI(2) => '0', - DI(1) => cnt_flt_reg(20), - DI(0) => '0', - O(3 downto 0) => flt_ce1(22 downto 19), - S(3) => \flt_ce1_carry_i_1__1_n_0\, - S(2) => cnt_flt_reg(21), - S(1) => \flt_ce1_carry_i_2__2_n_0\, - S(0) => cnt_flt_reg(19) - ); -\flt_ce1_carry__3\: unisim.vcomponents.CARRY4 - port map ( - CI => \flt_ce1_carry__2_n_0\, - CO(3) => \flt_ce1_carry__3_n_0\, - CO(2) => \flt_ce1_carry__3_n_1\, - CO(1) => \flt_ce1_carry__3_n_2\, - CO(0) => \flt_ce1_carry__3_n_3\, - CYINIT => '0', - DI(3 downto 1) => B"000", - DI(0) => cnt_flt_reg(23), - O(3 downto 0) => flt_ce1(26 downto 23), - S(3 downto 1) => cnt_flt_reg(26 downto 24), - S(0) => \flt_ce1_carry_i_1__2_n_0\ - ); -\flt_ce1_carry__4\: unisim.vcomponents.CARRY4 - port map ( - CI => \flt_ce1_carry__3_n_0\, - CO(3) => \flt_ce1_carry__4_n_0\, - CO(2) => \flt_ce1_carry__4_n_1\, - CO(1) => \flt_ce1_carry__4_n_2\, - CO(0) => \flt_ce1_carry__4_n_3\, - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 0) => flt_ce1(30 downto 27), - S(3 downto 0) => cnt_flt_reg(30 downto 27) - ); -\flt_ce1_carry__5\: unisim.vcomponents.CARRY4 - port map ( - CI => \flt_ce1_carry__4_n_0\, - CO(3 downto 0) => \NLW_flt_ce1_carry__5_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_flt_ce1_carry__5_O_UNCONNECTED\(3 downto 1), - O(0) => flt_ce1(31), - S(3 downto 1) => B"000", - S(0) => cnt_flt_reg(31) - ); -flt_ce1_carry_i_1: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(10), - O => flt_ce1_carry_i_1_n_0 - ); -\flt_ce1_carry_i_1__0\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(18), - O => \flt_ce1_carry_i_1__0_n_0\ - ); -\flt_ce1_carry_i_1__1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(22), - O => \flt_ce1_carry_i_1__1_n_0\ - ); -\flt_ce1_carry_i_1__2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(23), - O => \flt_ce1_carry_i_1__2_n_0\ - ); -\flt_ce1_carry_i_1__3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(14), - O => \flt_ce1_carry_i_1__3_n_0\ - ); -flt_ce1_carry_i_2: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(8), - O => flt_ce1_carry_i_2_n_0 - ); -\flt_ce1_carry_i_2__0\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(12), - O => \flt_ce1_carry_i_2__0_n_0\ - ); -\flt_ce1_carry_i_2__1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(17), - O => \flt_ce1_carry_i_2__1_n_0\ - ); -\flt_ce1_carry_i_2__2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(20), - O => \flt_ce1_carry_i_2__2_n_0\ - ); -flt_ce1_carry_i_3: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => cnt_flt_reg(16), - O => flt_ce1_carry_i_3_n_0 - ); -flt_ce_i_1: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => flt_ce_i_2_n_0, - O => load - ); -flt_ce_i_10: unisim.vcomponents.LUT5 - generic map( - INIT => X"80000000" - ) - port map ( - I0 => cnt_flt_reg(6), - I1 => cnt_flt_reg(3), - I2 => cnt_flt_reg(2), - I3 => cnt_flt_reg(4), - I4 => cnt_flt_reg(5), - O => flt_ce_i_10_n_0 - ); -flt_ce_i_2: unisim.vcomponents.LUT6 - generic map( - INIT => X"000000005555FF57" - ) - port map ( - I0 => flt_ce1(23), - I1 => flt_ce1(18), - I2 => flt_ce_i_3_n_0, - I3 => flt_ce_i_4_n_0, - I4 => flt_ce1(22), - I5 => flt_ce_i_5_n_0, - O => flt_ce_i_2_n_0 - ); -flt_ce_i_3: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000FFFFE000" - ) - port map ( - I0 => flt_ce_i_6_n_0, - I1 => flt_ce_i_7_n_0, - I2 => flt_ce1(13), - I3 => flt_ce1(14), - I4 => flt_ce1(15), - I5 => flt_ce_i_8_n_0, - O => flt_ce_i_3_n_0 - ); -flt_ce_i_4: unisim.vcomponents.LUT3 - generic map( - INIT => X"7F" - ) - port map ( - I0 => flt_ce1(21), - I1 => flt_ce1(19), - I2 => flt_ce1(20), - O => flt_ce_i_4_n_0 - ); -flt_ce_i_5: unisim.vcomponents.LUT5 - generic map( - INIT => X"FFFFFFFE" - ) - port map ( - I0 => flt_ce1(26), - I1 => flt_ce1(25), - I2 => flt_ce1(30), - I3 => flt_ce1(29), - I4 => flt_ce_i_9_n_0, - O => flt_ce_i_5_n_0 - ); -flt_ce_i_6: unisim.vcomponents.LUT3 - generic map( - INIT => X"FE" - ) - port map ( - I0 => flt_ce1(12), - I1 => flt_ce1(10), - I2 => flt_ce1(11), - O => flt_ce_i_6_n_0 - ); -flt_ce_i_7: unisim.vcomponents.LUT4 - generic map( - INIT => X"E000" - ) - port map ( - I0 => flt_ce_i_10_n_0, - I1 => flt_ce1(7), - I2 => flt_ce1(8), - I3 => flt_ce1(9), - O => flt_ce_i_7_n_0 - ); -flt_ce_i_8: unisim.vcomponents.LUT2 - generic map( - INIT => X"7" - ) - port map ( - I0 => flt_ce1(17), - I1 => flt_ce1(16), - O => flt_ce_i_8_n_0 - ); -flt_ce_i_9: unisim.vcomponents.LUT4 - generic map( - INIT => X"FFFE" - ) - port map ( - I0 => flt_ce1(27), - I1 => flt_ce1(28), - I2 => flt_ce1(24), - I3 => flt_ce1(31), - O => flt_ce_i_9_n_0 - ); -flt_ce_reg: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => '1', - D => load, - Q => flt_ce, - R => '0' - ); -iir_ce_INST_0: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => flt_ce, - I1 => a_en1, - O => iir_ce - ); -sample_ce_i_1: unisim.vcomponents.LUT4 - generic map( - INIT => X"0001" - ) - port map ( - I0 => div_sample_reg(5), - I1 => div_sample_reg(6), - I2 => div_sample_reg(4), - I3 => sample_ce_i_2_n_0, - O => clear - ); -sample_ce_i_2: unisim.vcomponents.LUT6 - generic map( - INIT => X"FFFFFFFFFFFFFFFE" - ) - port map ( - I0 => div_sample_reg(3), - I1 => div_sample_reg(1), - I2 => div_sample_reg(2), - I3 => div_sample_reg(7), - I4 => div_sample_reg(0), - I5 => div_sample_reg(8), - O => sample_ce_i_2_n_0 - ); -sample_ce_reg: unisim.vcomponents.FDRE - port map ( - C => clk, - CE => '1', - D => clear, - Q => \^dc_ce\, - R => '0' - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_audio_filter_control_0_0 is - port ( - cx : out STD_LOGIC_VECTOR ( 39 downto 0 ); - cx0 : out STD_LOGIC_VECTOR ( 7 downto 0 ); - cx1 : out STD_LOGIC_VECTOR ( 7 downto 0 ); - cx2 : out STD_LOGIC_VECTOR ( 7 downto 0 ); - cy0 : out STD_LOGIC_VECTOR ( 23 downto 0 ); - cy1 : out STD_LOGIC_VECTOR ( 23 downto 0 ); - cy2 : out STD_LOGIC_VECTOR ( 23 downto 0 ); - iir_ce : out STD_LOGIC; - iir_sample_ce : out STD_LOGIC; - dc_ce : out STD_LOGIC; - dc_sample_rate : out STD_LOGIC; - dc_mute : out STD_LOGIC; - clk : in STD_LOGIC; - reset : in STD_LOGIC - ); - attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of audio_audio_filter_control_0_0 : entity is true; - attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of audio_audio_filter_control_0_0 : entity is "audio_audio_filter_control_0_0,audio_filter_control,{}"; - attribute DowngradeIPIdentifiedWarnings : string; - attribute DowngradeIPIdentifiedWarnings of audio_audio_filter_control_0_0 : entity is "yes"; - attribute IP_DEFINITION_SOURCE : string; - attribute IP_DEFINITION_SOURCE of audio_audio_filter_control_0_0 : entity is "module_ref"; - attribute X_CORE_INFO : string; - attribute X_CORE_INFO of audio_audio_filter_control_0_0 : entity is "audio_filter_control,Vivado 2021.2"; -end audio_audio_filter_control_0_0; - -architecture STRUCTURE of audio_audio_filter_control_0_0 is - signal \\ : STD_LOGIC; - signal \\ : STD_LOGIC; - signal \^dc_ce\ : STD_LOGIC; - attribute X_INTERFACE_INFO : string; - attribute X_INTERFACE_INFO of clk : signal is "xilinx.com:signal:clock:1.0 clk CLK"; - attribute X_INTERFACE_PARAMETER : string; - attribute X_INTERFACE_PARAMETER of clk : signal is "XIL_INTERFACENAME clk, ASSOCIATED_RESET reset, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0"; - attribute X_INTERFACE_INFO of reset : signal is "xilinx.com:signal:reset:1.0 reset RST"; - attribute X_INTERFACE_PARAMETER of reset : signal is "XIL_INTERFACENAME reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0"; -begin - cx(39) <= \\; - cx(38) <= \\; - cx(37) <= \\; - cx(36) <= \\; - cx(35) <= \\; - cx(34) <= \\; - cx(33) <= \\; - cx(32) <= \\; - cx(31) <= \\; - cx(30) <= \\; - cx(29) <= \\; - cx(28) <= \\; - cx(27) <= \\; - cx(26) <= \\; - cx(25) <= \\; - cx(24) <= \\; - cx(23) <= \\; - cx(22) <= \\; - cx(21) <= \\; - cx(20) <= \\; - cx(19) <= \\; - cx(18) <= \\; - cx(17) <= \\; - cx(16) <= \\; - cx(15) <= \\; - cx(14) <= \\; - cx(13) <= \\; - cx(12) <= \\; - cx(11) <= \\; - cx(10) <= \\; - cx(9) <= \\; - cx(8) <= \\; - cx(7) <= \\; - cx(6) <= \\; - cx(5) <= \\; - cx(4) <= \\; - cx(3) <= \\; - cx(2) <= \\; - cx(1) <= \\; - cx(0) <= \\; - cx0(7) <= \\; - cx0(6) <= \\; - cx0(5) <= \\; - cx0(4) <= \\; - cx0(3) <= \\; - cx0(2) <= \\; - cx0(1) <= \\; - cx0(0) <= \\; - cx1(7) <= \\; - cx1(6) <= \\; - cx1(5) <= \\; - cx1(4) <= \\; - cx1(3) <= \\; - cx1(2) <= \\; - cx1(1) <= \\; - cx1(0) <= \\; - cx2(7) <= \\; - cx2(6) <= \\; - cx2(5) <= \\; - cx2(4) <= \\; - cx2(3) <= \\; - cx2(2) <= \\; - cx2(1) <= \\; - cx2(0) <= \\; - cy0(23) <= \\; - cy0(22) <= \\; - cy0(21) <= \\; - cy0(20) <= \\; - cy0(19) <= \\; - cy0(18) <= \\; - cy0(17) <= \\; - cy0(16) <= \\; - cy0(15) <= \\; - cy0(14) <= \\; - cy0(13) <= \\; - cy0(12) <= \\; - cy0(11) <= \\; - cy0(10) <= \\; - cy0(9) <= \\; - cy0(8) <= \\; - cy0(7) <= \\; - cy0(6) <= \\; - cy0(5) <= \\; - cy0(4) <= \\; - cy0(3) <= \\; - cy0(2) <= \\; - cy0(1) <= \\; - cy0(0) <= \\; - cy1(23) <= \\; - cy1(22) <= \\; - cy1(21) <= \\; - cy1(20) <= \\; - cy1(19) <= \\; - cy1(18) <= \\; - cy1(17) <= \\; - cy1(16) <= \\; - cy1(15) <= \\; - cy1(14) <= \\; - cy1(13) <= \\; - cy1(12) <= \\; - cy1(11) <= \\; - cy1(10) <= \\; - cy1(9) <= \\; - cy1(8) <= \\; - cy1(7) <= \\; - cy1(6) <= \\; - cy1(5) <= \\; - cy1(4) <= \\; - cy1(3) <= \\; - cy1(2) <= \\; - cy1(1) <= \\; - cy1(0) <= \\; - cy2(23) <= \\; - cy2(22) <= \\; - cy2(21) <= \\; - cy2(20) <= \\; - cy2(19) <= \\; - cy2(18) <= \\; - cy2(17) <= \\; - cy2(16) <= \\; - cy2(15) <= \\; - cy2(14) <= \\; - cy2(13) <= \\; - cy2(12) <= \\; - cy2(11) <= \\; - cy2(10) <= \\; - cy2(9) <= \\; - cy2(8) <= \\; - cy2(7) <= \\; - cy2(6) <= \\; - cy2(5) <= \\; - cy2(4) <= \\; - cy2(3) <= \\; - cy2(2) <= \\; - cy2(1) <= \\; - cy2(0) <= \\; - dc_ce <= \^dc_ce\; - dc_sample_rate <= \\; - iir_sample_ce <= \^dc_ce\; -GND: unisim.vcomponents.GND - port map ( - G => \\ - ); -VCC: unisim.vcomponents.VCC - port map ( - P => \\ - ); -inst: entity work.audio_audio_filter_control_0_0_audio_filter_control - port map ( - clk => clk, - dc_ce => \^dc_ce\, - dc_mute => dc_mute, - iir_ce => iir_ce, - reset => reset - ); -end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.v deleted file mode 100644 index 2cb39154..00000000 --- a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.v +++ /dev/null @@ -1,34 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:21:01 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode synth_stub -// v:/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.v -// Design : audio_audio_filter_control_0_0 -// Purpose : Stub declaration of top-level module interface -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- - -// This empty module with port declaration file causes synthesis tools to infer a black box for IP. -// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. -// Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "audio_filter_control,Vivado 2021.2" *) -module audio_audio_filter_control_0_0(cx, cx0, cx1, cx2, cy0, cy1, cy2, iir_ce, iir_sample_ce, - dc_ce, dc_sample_rate, dc_mute, clk, reset) -/* synthesis syn_black_box black_box_pad_pin="cx[39:0],cx0[7:0],cx1[7:0],cx2[7:0],cy0[23:0],cy1[23:0],cy2[23:0],iir_ce,iir_sample_ce,dc_ce,dc_sample_rate,dc_mute,clk,reset" */; - output [39:0]cx; - output [7:0]cx0; - output [7:0]cx1; - output [7:0]cx2; - output [23:0]cy0; - output [23:0]cy1; - output [23:0]cy2; - output iir_ce; - output iir_sample_ce; - output dc_ce; - output dc_sample_rate; - output dc_mute; - input clk; - input reset; -endmodule diff --git a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.vhdl deleted file mode 100644 index 5436d8e0..00000000 --- a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.vhdl +++ /dev/null @@ -1,43 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:21:01 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode synth_stub --- v:/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0_stub.vhdl --- Design : audio_audio_filter_control_0_0 --- Purpose : Stub declaration of top-level module interface --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity audio_audio_filter_control_0_0 is - Port ( - cx : out STD_LOGIC_VECTOR ( 39 downto 0 ); - cx0 : out STD_LOGIC_VECTOR ( 7 downto 0 ); - cx1 : out STD_LOGIC_VECTOR ( 7 downto 0 ); - cx2 : out STD_LOGIC_VECTOR ( 7 downto 0 ); - cy0 : out STD_LOGIC_VECTOR ( 23 downto 0 ); - cy1 : out STD_LOGIC_VECTOR ( 23 downto 0 ); - cy2 : out STD_LOGIC_VECTOR ( 23 downto 0 ); - iir_ce : out STD_LOGIC; - iir_sample_ce : out STD_LOGIC; - dc_ce : out STD_LOGIC; - dc_sample_rate : out STD_LOGIC; - dc_mute : out STD_LOGIC; - clk : in STD_LOGIC; - reset : in STD_LOGIC - ); - -end audio_audio_filter_control_0_0; - -architecture stub of audio_audio_filter_control_0_0 is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "cx[39:0],cx0[7:0],cx1[7:0],cx2[7:0],cy0[23:0],cy1[23:0],cy2[23:0],iir_ce,iir_sample_ce,dc_ce,dc_sample_rate,dc_mute,clk,reset"; -attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "audio_filter_control,Vivado 2021.2"; -begin -end; diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.dcp index d43ba5ae00e4996fb5e5984b0e8409aca0a348c8..4948982ef180853353d13bbdbdcfe8887f632a03 100644 GIT binary patch delta 13294 zcmZ8|19T@%)9*jFt&MGS!;Nj*w(*a%v28oq*xq21Y;4<3Hpb09&wIb`zPHcm>8|Qu z^;FN)%sE}v_2$;@3{;Q?14jn{0MG!Ho-AeO#|+*%5CDJ;0sw&e zSnu!}!iCMPDQ7$iD1*7VbWbWk_z|Jr2rzO@&@qG$PF#XrWYH|2tZ;+68|h+TU$tRp z)icm2sHB1ONrV(pbBFb@VsFx?drRO=zf36?4}u9z@hX`1uiU;m4COf6cEmG22pRgcKYP3KRH2SDPgp^KB?HsFN{PheIBa zaC=>k&w2BD1v2z&K}pLjq`1uOq}($XpYqOY$RQ6HJw9o?%Xl<;-4WrPyufun0%cpI)nF&nsb#aKR=!q9dK}so(_+&EJ<%?yXCPj!bjyvk zUN)lHC)P6{wqv~K=nFj#Sb^ypQ%`8u!5=lP ztGJgFaLL(47NFs4OE#`35z*Rrw0`&&m?$ODMeq&Vba?e@X`*`Ogz?yuO`2wIjQ0>H zhNTm>FXu)wL9aKjZV(qq67qXZ&me&rC30A{EUmL%PG{Eo0dMtk`YoE%)^1OMPbZGn zrEO7^*8@&rY`G)?FlqIk!i5ys0c9QvYfh$TW;>O93J zYAzAUh87BCcGlrl-*s8GYIq3A;P!ldyiFsU)Q=|QW62u&$wOiLVtaUG`;z8!7OkvU zi>q2)qd!u4c)I5#mdlBy$LC1_yv%O!%OtxZ6=)@o9&YIvvuIz_4Mm!s)4tG~bep|i z`E%J2IdgT+pda{Hb2FVO4y%W3yl+$^WdwR@Nltl5YAvtDOP$L4!ISZganJ6c;~~E1 z%J^eidML9BNX>JzamN6CxP1<135gVG&3NL;=@-W)70N&`W8%{Jl$C`D^jcPZb-Ik7 zea{ncYS*Ov)pFHEiRy8^ra!b?dsi(4^f}OpQnx3+d=fenx$i@!klAV3bS}4=z&Tz^12fT; zx%4M-s=OMst1s+DgNWufQOgky@`ZC8%itctA-3!*O40mLL^=P^cu+W}n3Nu?d;C{ig6x4S5 zD~5%AHt=oBcohGt5GTbZUN_SM=}2HKEr}K1XHT+h=r8O>-I>Rm zKZMJFfCALjAHjZGzrpK{jkHbzvwYT$?DUg+FW?n=!Y9}zLEXhZn%RzPZdq3+hp?3c$e(XOK%~xNyW9JgP0v(qJduX?s^521+ zKMgq3{92a}ZYl(xVcmpiT)x7tGHafx+@z{<45V@KWfUvBm{*X^wUu*~7oC4+R^k13 z{JgB};tjfjB||D==BnUoE=u41(U^`cj_wFbbAgtU3h+jJk0F7%9Ym)*5Be-pMH)w! z1*Pd$4spkO;^sKmShO{$s@#+^2H!?iq&I8*+7R$VTZg*3utSKA23B!8*(%?%9k}nW zqC?L9O&uqpsNE*TZdw3ZC7KI*R)aipQOqY8q$*^7B>@vd&E{H41v!6)*pjL$rbMH{ z^g}_TlwCyin4oB4if+E%o~mjhBfsJfUdftOx+$v)bHU`d!XRZOMfs=&4YgFF>hI!! zc9VI!zLRQF(q9#tdGo7Z#f;)L^MLc(Y+|6(2ZC^wx8!dORa2F&I%Y!!=xl9r&qDm49*bpU^R@ehw z14ifuPP&9iKijF3x3B-UU%5#Gpb?Vh;}XTxbi1CjNv)3Ewr9GifokD7DVEj_C)`eQ z^Rz;paL=0#b1ljpa6=n3-K7F{4C^)9`w!1W#kl5yjU@OEGlLI+BHMt*YI&^_B$+t1 zU~jqh$1nbOIf7pDxs7w$nMBnRhg`=y-hdf`02lF3R!JqA8M|e#N>bv`v#`59QCF?J zmenkUg!RfDbIL6+p!Z2Iw_&bG)?tC|n3V2NB;Yom-@IHjbF0d7I!t3i9Q2UXcS0$s zMviYT3xxaYu8;q|51j-5!XaIgIa(I`xhLV+GHL@eTwIJK{R+6XY~+x+afl0iT&$$+ zUoumy)Ho$AR?|ON#%jJ|xh#ppWH;(s%-kd;EkV;i3n*i?Z02EY#mz(A@UvMZP@ugUDBdSq7}(!`}Ykb zHqR2Xv*Mhn<54?9g&7PCJi(c68`X&^my0f=a)S(1D56`O4!SEketS(}*|e)@Xa^#} z*={Qp4-jT|%GKPEy&W+$#!dNHCqiT~TW0=<2c5?Qb%cBx2SvPwm%KvJmCET#B}Tg7 z7B@!6-AK9cIHJ=Yf!jlPh4Meos~?Hk-f130*v>Q9&qE z+>SUH6I*QAool=pTcTvEz7MqCx}Ii}U2z6pJzuL-Tp3(FdSsYwaC^LcrfA z1WPm@+Us4>^`@dDh=Zx{F1>|T3=GwKh^ra^!x_1zPOyI@8cRfj*OiBanLJ}D8SjFL}E2&KOL zw_#p?@5n4)FuD@Z6d^tvf}n3oQb$fjaD(R7+7RZsPbhYnWUM|2F#8n;nW6?l5{PF}1ifYD8w0-;Pi-Bv`bSu2s}bd-)>0Niv+W zWF_9-eWsyblvBF))VE?v#YaAuwL&Rgnw~Kg1Q5gu0g0__I#QgHIs)+T;|2wbDo5T^ z8XGeL^lqK`gAA!WIF|1NlbHYpu6jfuQPjVUm13to`fg1iv6NMZgO!k?gWz&VSX(2K8m= z_bEZ`CntM zlBDlSwLA4WgpoE628v-g?+0$niQ7a%MAPh!LJSbZE@8sqs`e(sh4K>C(POezxX@z3 zy9*j=(^;rYV`9NiHiVRDjFef?GhpRd!^zggDVl2OFz{_+W*89{o;0-Tcudf<4GN24 znmPW$3+_iCA+8|-MoMoGq3@6q*Q5VW5Bz_6cM;MXWav9WyHYi+dkDhBT&Op)u+4Jg zc6({_zdX7j4nZSQupfO+k?h8ew8@+`rf1p>TA3ql>+*y|rB4I5hv+^R0*OIi4Ht^n z@K<}4#zqG0zhF8Gh54Bb0}r4c?WanAA-_Tnn}n6=OcZ|4WaxPO%TA{IAGX2ZOoqu{ zwrEf&23@t}zgqv$vy{Do%tt1K37D6%PT+(Nd6a;zQq@ZAl%)C@#1Jfe-kI`uffc0r zRh=h^s{}F6HLM;2RWvnTzWV}lX2ig{)#g7#DQd|s0(TuBPLo-7K!<7f;oQkZnx+U* z!deIz;5+$cim)_o%|>+gb{?v!?C@j_5cnmeA=%!dcxVr(dpqLPnav{_0xdTsZW5oNeAyd;naSM2F=yaN` z^GAGQ`HHQ}bDQz;Eq0>R@A6N_SPrX;H!w>Bs+N(T>vEVf#YZ8_xn&V3|6z#5Lxwdl zrl^j6j^EN4r1yxvCYG3fn8nM=`27uUGxLo(Jg_LpbYnKMCCQDry5|>7gj)4X&kdz{2OLQVUk=ano^=?{*wDm*FC zIWoX1RQ&6@C0`^LMV%<%rs4q`UX-e^Lr^Y}R?ar(H)BQt;`)pOiFLbHfvZ}3tg%acQ*JMRV%WKkE?OR{7)cHd})n-I0WR(dMgbFOp^8N$|tEJX0UUpR4%rsGBFiC1xE1|_bulDz^f zRIe`fxo#~H{EkYRK#L0M;4Qxtk~QlMg4qE|X}W>jGZ1nPJhD*26|HPVn6sYrbIJAx zO}^5D?KjnKuA6cz!_=EAf~CRQsX^m~tc*Zo6-;}^u^mqfWGTyON3`m6p7(*?OFwx4AkKd(4$I8AkTS?ueshi>~@abWVfecv`;(69a z+-Q~KLshl08KgQBP}S=aX%8YXKxK-%`StZZC=T^Di8}Nj&?WVXQH;Rwo+_R-7dQiv zd|0osV%?04h0%n5+S0J)=2%rElgrOf^q_wJrd%yjDgT@om5B5pB)Fhm z0n5|v&;G@|B4R$25yHAt`(P~FwALmqnS$O@n@oPJvnqsxmWmZX=P-`gi9Eq$QC+l~ zyW5ig{hdR0E!`K>V=uDpjJ|1;MFk(IMI41=0`7&qx>`~|lRo?!&#tl)fYHziODoKi zVyGfD3JY#_{2MIsDZweXHAPleeY}WCO^9VLCkvX^hg#GWLoJxBTcowHDve5cD1EEzFXOtL%7dGa2y?&DTDvWQTpW%xuc`lFy4`wz)hbg?uQqWEq*LFdCZ7t zAP=@yVUS(#E78^LYt=qrh4o+--zRP)nU`1KIiT^IgzbHl;$f){e|PM`L06CtKbg*f zlX8a?yl!eUcKV>w#>n==G`$?gGU=$Tj|k&Ygf?l^MC-cme$Glm&O%P_($V|5#}gOPtq@4 zTTbi5vzXUG5)~g81@zd%az1k6GJ;`w6NP>^Lnb+RKbD3tX8F z+rcg@ynY;q(r!~-#CN#_t?OpCcsIiuJxcPt^{yg zX7BN#)gwM8#y6A3K5!kRzvYNOM(S)B2EyyYc2JC$EKqOrlPNJsd_+ROu6>Nmf|~rM zGrgIYDpng^B*Zh@oWtjxJ0#pkr2c8vka>h@5)6Slkcs?1|I`0?Io%5~E`C4*0BC55 z4utqX3quD}UkzOcJavqP(Po#%87Xyldh=`wQOQg;*;`|3xg7wtZZ(^8Ohc(2GY{45OEz+~Iu z#(Zu$d-J`|=F>&nLyq(P#fm&`{K)3U77`<{rm9BAhhp=DKl_1!PXFRyZ0vN*JY}){ z|d?fr>W&X(4@lzX-JzSYB8z`1d}gbCKW*nRA-`nH_bQv66A z(7ebnvxLn`3;v?};dy|;sGP-KFqm@1jg`yW&~u*zEV>lP z1D?7#!H|23i@YCR-$JyGgT4E!T^shqu5IQ=>I~C_Yd<(EQ~sJ>v2KkA&iCsgT3dW2 z7UBukuXME(fJv&3N%ChNS9T%s>@1EsM~R!ptakb0I9O8z_wEamzAdpblA&N1fs}|z z;(^(LBp4fmwwYn#$a)9Mh#d*VErnztL?T{9!nj)6o^s4Nw_BT%+VM%76IhMIu?w>y zELOY0?suh9t^0$w6(iVY2O_%-aRbL*>n)N#gN)Lo(t8VWWNlBW<)V@PuW7JNUQrtE z2unj~1t!7uKM3BeV16>SVi_Z%5N?+XiQ?YqT-x>|1rQWK%`vPg;kp%g12#U_A1db1 zs-IMeo>kKp%~DRcG)P(8qF0T`C9|}CiJsNI>_&s*A?TLXkAHv1BdkclSLVcZnOlRd zJKTatakih%U$lmO6*m%$%-|tTX-H`P=9&^|XK85&cXoK7Kq=`5i|D{lR-+c56B@+F zNkch_nYL{PG#45<%HyJ!+F71~>cYv`ebY_^lNjjB=9kJyI^54iWg zA_^L=9M7ocJ6Q{u8yq?((aCO^IInQOZx@aAQ--Do!o+@$mreuJnk&@+8r%5cB8voji9_mpGx&f z!u%v*b%5oStj1K13lPouM!P16n&Q!U0T~I$?xT@);MK9Be`*YRzxZ5^9Kn8c#T9Ev zq_tBTmaCPl#tj0JYvJ;0#SW57Tsd#@V_ew;B4@LPw>6EG?|2D#&OzS1A=RtNUw71; zT@0SJi}riM$dv&^*OEZC*Sy%34)O3QZPAWw8#IcmG*$p;_!oeg3RA3ei`%w!zg6kEI?RCV46-Iy0(Q*RkirkBl3jNTb z6-57nl#ixIxXeStX2rku9%bRWUF^z1$Y#X9){-lgRvh=L>RXZNm1{KRzU*RSHV1!H zcYgRrf(2@Kcr9&xq6uw5dhj9JmqboLn7!p2?+=QYglY$%$N;PQI-_l8G;M(Xz^Tvg zKznNsr;y3m(9-fA@Z2NhZ?OT}LGLG9YN13T2}x2pqzkLSc(^<~F{h8}Ah0dH~R!)3ku zoS~X}3hO#cH)Z1v%`n$033$6TFOjH$hgRrFjd&j*8C&Dj_t2pI(Sq# z5z8bl+=vh%Bf~fiY^lPZ#`zl9WSEfQ!@(4#mFj!>NcFgWBqI8O1Q=oxyi%h4QPINS z&iFwBuXnCe4)`^jujd^tmCPRd93>1emmk;jsrKs3%vz{^50v%nhCR>T^@aU@9|9(^ zD8Mk_nd46GN8Jy~VBbUXdSerPN?wMu+;#G=$R7;LFor!(pq4Hf517l6hWZ~+mP-A8 z*ZL-SKR-gw*sfXpLU#njIY@sun3zl6A|7*dEPX+6H#`a|bxgA4rqGs6vX13o<>!2t zXv7o}hu8CtY@d#*JcHjucymlHRa7&xNlI=5;+dLaifg7%e$sAW(%jglrp=$^gQA+6 zK~i$lC#fo?shO*I&!cLUl+6B#{_{x>e-68(VF(aq@IeCoGI{X($o%l6?4l!2a4WbL zzzfRqN390Q5{~P3l&B-E1MYqaYr-5SYB#uqo77hqsf?m)Qiq#Z(TV&P2g({0C&wxo zn$De}GGl}^+nJJoinT@vpBtv5{<_gjMEzB-$&HbyHI#u$#S=vEwtyfLV{xYMV}QR1 zchUoxxLJlH1wLY#zh4r*w^8MguMP&F2DRuv%|k&Jzb?81sp)R@*0tJNoBgiBrLhMs_lOx+aC4C`B9U-C*VX|J*db1=# zgwFhYGY>m!A33xBi_Gy>b(Q;@b!vyq>>uo|N%r)V>BLG}^Q?;#nzn+Yf>~gSWnS#= zszki#2h@MZaj68EG83%`nSc)K0+dUFD~8or2{T}(*>%VG&YyiGv z8%=~s90}T~xlF`^jq~d7LZ%@A_-_T;c4E1`KtE&1qQw6bOmzPYCTgDBtun#C_LSC< zB__RcMB9SAG=Sp$INT&SCl`%3l16UKH-!2@b~7>yB}(11nA_9c^PV=tsfwn1{e2=4 z$U(c}5^q;%D%)OAwQ}8MQIBG)tDbHv7%h0x<4QqKWx`h-g|=bX`_lFW`e3vLF&MxS;PNe;>4-cD z5V&cBXlvRos$qtG@}tWGZr^;QCaX>m``rtCsT0uJU=GcNf|W!0BV$^VabHw!>U5&9 zx0|Y(#t(FDzdX&uTpbJLj(wPoC-;Czd|P=0WOVv49axJU(e_;^m^~RXkhM@d&9+Zd z!4+nbBtMYBX%hbT&j9f==5&QMj_Z9|N{(7t^r@&xjFZBqt*A64V&M)2D1#s;Bb)== z#IljItvtXGw1*^|2&)vhtGKChKsd**?nhyZ}cXT|zA-tA!r;(-`;tzqM##>^!uO!Qe;OgLEnwnU~JObBh1 zS%U#Z?%flXxs(&9CxWI4B~c8i)*G#bG`SK6M7xWW}XXwEg2yRbSf z>2LQGuJtHF+-;@ELQ?)}bJZ!8Og#4ptl*|`l+EtaudH64vV?h-pun00v82ab1d)yH z+Abe6zeUWRib_^HVWm;ZU$mgU>nKnAIdyzGa-k};&H-hQ0&W7Lsv3Hx!syGBdrNy8 zbw=M-<@|oG=E!MqP+5_+1Ha6@$`)SSr?|JwOQLAKJx?3Ms>yq1TAA6J8HMVeQ9>>$ zi6vgv%Y40NU8KpD69>LpB6)-7m8}XW^B8|?WEZ47jwz@r@#ftg)S@bUGwC7~#42gX zE;R>LUrBmDqhk*L6Y2_WeTJKHKVI6U*X;3P2>Xo({E+kEEjN&hQwAUDIbP0l_>}8` z*)>dJENo!FoU^Kg&$Z&iU#RGAVO_lr{Z%_*Z9In(cv^Q?+X94}a^rS#9Yj{7B`}AT zS|{#Vi#10jppOydMP|&23ubLMK%e+sg&jenPG?0;b=(%ete@Ip+^#)ck)601rAXq0 zs(=rs+2%Khra?!We&^X)H`@yGv!Qeb?yxv%p~w360JM%6+I?T~5^3y^>o}Z#Zanrl=ZJ9fv3jeGCy!hF|Y8)hB}LJ0&dB%Rp=oSv;qmA%Rvg>ummXzQw1177X6C|<){lgnL^{{P5w=YNQI-J;nl6j@ z>90}HX)(ag7b9>|hc4{&_-%@~(n_Mzm*T?K{3%kaD-b*>aflffewIXrsO3SoXEPpV zq0N@N>O~qEQ(qLunAtn(+B^gsUhMHnw)mcl`c#>DBYz-o^gwzmJ{i) z^)#SPn>qgZ@x5IvQE|+RaF2iHV^jsJDkMb^K%FZkMv3{u<(GtEOkFFYF2J0;VpnPb zxvd8(jeX`gaP)GbY4XYb>pAgxw_&TVZ1ek(f3e$&wq`0eL2g9sJN1(HDyHzqo<0-w z7RYLG3xeYWhd12Y4M-nRkh$E7el*rntuD~&b!7B#F$Cb^3pt1U#=YibnhLgF>!HAO zUbLZ>Mm~1)<>PUk`T6al5t7f_x^2W${;=Ff#4%ziil7Z?V!^GIRe)n${7YZQ0(St5 z@CcUi9A(rkM)-`E1;p~!uFE_lXARUex+dvRepyVGhTq+G7`o!*6Nn{sq#En6L=!O9 zm`TbrcFC9BKIEgD5F3LsH8?xxhKfDTJQK%?%yAnkHF=h6%=CMgk zvP?npKvON763uLV&6yTinr3@W`>|n7bci~uT=6;u@)Vo<6h77$Q7_wl`SsKe^XrHX zLN_LPM`~nGSSj9$%bFkAF%(%^63_y6Eqviv%2({VHjXKUGI~tS6xf$huKvJ>Gmaz= z^=q!DFc#tdi4c2puaN^N-ol1Aw(U-UuQy)%c&y^BuuqG8h_2oa8<@S;Y>sOQhAeA{ z!b=FxZk&TAKSmSPQ?ha!D8su(9zW(TM@l#h<7@zIwzBz_O?4YbZ56H!spIc8^V zpU^{_<@$GzJ}d!MgHY=f4Gf?+Int3mRtZLlcaq`r-mo!Xo#;-AX@w_NceM8KOP>Z> zLc7)IPPvn7PTMC#4$;);$<9>e7Eb0oEkY#x*OMnq>~3Pjkj^ToWy|*G&!Q9 zPg#6Fw%N@9oq6a;RhG7=o9P^yz7h)ElsXv8?tU+ZK-rjb=(;T_krj~SIB@L@+StUw z>M@Yy;h_4Su?&ejXwPcf>~NV+!Wltq@Q3_2Y{YfP8#-(UQP?P8R$F{)}|C?UO6M$ zMA(ruphp;Ci0M#5Z&VpE5A%HttZ#8V#mcpCTM+n2o_u_0TI+iD=F{1r63fh4)^HD@zy z>~R0WMUjiI9~9i)ijCg zyINGSq?J7?vkVwZ3n75-+~$^i<#hso(w;vqCdUpF>MU=uIbE0MSJEH85c_sm%N>xs z=|6$OegJ(YA|hZ`0!WqF?+#l8)GN0GZJJKQr+`u<2dZy$c`qWPq$uhWu6= zogH+!sB82|_73Dy8Zmn|Ce|$BEOX*UvzU5lS!NBrT8az7b(Y1;z0yLRV$CYpJ5t_W zYh<^(vuUO~FMxP9+aOf~U(=nFV^g=5(gS(Dir+E3{{EOcT&$frd}nD{xxV|#igp${ z=1!DnPBjEf7mc$IA^9l*p=iSWS{;toXw@IJ8x-LN9UvTx2X_fFE#b^uq6h{CB%)vS zT@YvZJ)kxGss*B1r_UxQ`ChLaOxMRhZZp1?gqbutiM~H}rAu%< z1}Vk@%{hfL&b-ZftX9SYw)vjX{7gogJ$tu7x$GE?`Rm z8-84}0SEYT)oZB|_c5qR!OESZxCRKoyKJSm*+g}xy`SDy&!fLP6*w;M;x&BC2GUfG*=e)j#vVqC zqo*KUaHBqss-uuvJ6PJB#IjfbLUeSGQ#gepHR?H?TnJkfIvi{RdqF3f2@^62-`rHU zUlvd77$sI|PDMKWt0-$~v(8ScVl1Bffqb~mgQhJ(QU!-jA1b!8Zeg+ol)LhK8X)`h{qdE<_23&<4a37pmx z-e4{Jr(xGa!_dCPM_=5)=aei_suO4mJ+PMMlDq!d(9Ukum6+d!+Z^^vXoF6k@0~B#fOGlqL>XV*y>s&z6W*!>=vPlLVz>?Z<=}KU*!lqy7L{uvV^$7$J;%i7*J`wsA#zHsc3_cGOmEecNr$bg_eLKGvm#9OPwt2^SadRWK$X`W{u_@2+ zJYTa?N^xbxDel_boZd!D=NfHJ2&j)7gJHSQ2GfQegfb3GjuG!9qzqW1#_B+pjR8hxRAdp$#ZF!;<}l=asZOg3 zq1)o^L1Phz!05#2OPr44M?I}B8dFu2zZB@jyAv66_eJtk5_>wRcN?+6W`V4OMF05> zMH9uQh2g<292p*H_N{_K&RUE%7(%7Fx!%`8K;erU?^Rn7ya;me*G)JgYM3<>9;#Nq zxpVOgfL|2aR3zg1a2e5x>aB4?z$TQy_nK$LMc-jRw9z8AEN>xve>(0`9~i?$ttn6^ z7e+FPerP4|ye_5}oX46~ZZ@27K5=|&Ld%mhqk^M^((k0eW>uAdKk6eY$?Oy@28I<= zf$mUdN7C$BZ}I3RawIbG|U)`@*NwQPUF@qYtDV5Ckv_D%9C}cA`Tf^ zT3t+M?$s3ZQ0CT`k4oY3)dSrXxyzjs2TKWyMRJ0PnN?wYi}V{Wy^S9HvE>_PUmqkK z#uPdr{Xp!2qVTgNV(jnMo4$}zanE3ANqChMyCtJg#0VY@3+I(=_iDJxlJ$XVdu6z( zoq61rhe?$&kciIgKeHMpd!6~|pS}Oz zVm`w006;%0Wp~W0cP@G`~%$k^1YbgbBeCdiuYeYL)L$QjJ!~Z z=RENLJn>f^JkS=l|FCh{NH_oh27oA=iYS|*qY8(HET^Ll2M3#qtciv=6PK`-tCA`+ VD~F*7hq|LCGdsJAh=lRi{{vs)6{7$E delta 13738 zcmZ8|19W9g)9#6FJDHdh+qTV#ZDVp`b271QYodv5Ol;fc&Ak72|L?xH*Xq5W>VB%b z&e`2(ovPa1>ip&gRFnY&M+X1^&;T2wM3q)suhu^x001il008ybYGUlb;9+YMJtq{K z$A}Ktym_X@m2ZPejS!c}mj`z-3HXL=wyL6HNzfKp>A$_*X)rZ0X2(A2lnZmwQC(df zbS2^_+m%{`gXWXx>Qcfdt7Cj3xYL~QO*h~mc2N+Fb^s{GJ)OgD`yD4eerI_oQhlEn zK*&E6K7V!(C0<;zf{#zjJJyb+BBoXEm~hktEh|mi9WJM zBT10~Cl+|8i7%0XnOqSKbynu$LW8zdk~ZAPiB72&;@kt?$`=~|2Od9Cs}E|ns{_+X2gqf>7UyO=G2Q@XV2__pwm`~PAK5kTaE6!cyiNxP_hC0>iG#)GiR~0#!Gy(?= zI2eod+SKH~aBdr}_-=_164TyE(GX&vj21SwCI{Rf*Wi*HRo%9(FBNQj9-ag;nzTCs ziZYo0@6)f^cVxUm0027T5>P;if!6jboS1J}1|*NY^N_94raaX~^@4+LEX%HEU2e?u z5mlSZ(s`Bi5Ovqf-LDTAg>iX==$_S!61-gnbp@l|F{10v)cT`x=6m`$k!^`5E5jSn z7f)_0b{9C}3Ha^q0hK4-;)51=XP9d|PUo3-HwX3;vw=hv?2$T69lrdlz-4Q@UNMj6 zHI_6af4q?n;` zgZyy}uJu*Ut?g+K`{)o`AZY;6ul2_1U%<9bgrt?XN+1?p=GptgA77u2`?VDlQun9( zW(9o@6|ci_K9I>4CKZlkE{?35%Tr2Q(pnB}Z>Hq<7dB0Bg@N&rMtd;#osjbmG(G!# zkK&<3_au(2&im@@s3P~~r(ZlsWdAZvF#itO$Bf!?Q~wDIi8hzaM8DVQounZca4Jf0J%Tt_oj4ZBS`A~iNBMW}^{|gEWWD>B4U}mvP^FJGm*>(40wFdmp_;IB)lHAcXyaQ-@qB@&)$c3s zGZr`<>KMD%n0b)jfcM`c1%`2xvu=iQb)26(x#++~E#NDhSfvrhq6TD#bsb*Bv2G^Z z+da{ON39!azs)+2ML74(jTxk-0h-u;&xNIdDK~37?h=2@0NHa#nU}CF?nXJrL^H+fjX4X*-`cxVf?F))(0nR z_X6j~ld<_%65b}!q3rQpoq6i%?|}#4;(_>5yB!5BFK#`X+}yJ^?q0SkHYs+AsJc8F zxo6@y{Wl?D`ZH=HTd%FBpbyU!xf0F#G@T763~xj8a0#arOM6~Q0Y_q)T=JTd)kqoT ztg>-e!ft&Mz=dH&@@#wNCFSzOaPW$8&OPKN93qJ3R3iOpKy&4lja_q#|NQ%Pd#tV| z2_OxqYDm?Iw6rp~me70dIJsNthi|jMKI#$omZ*!$6v85;~ZMj z-7VEcL$I}Nw7?s5rbCa^HjUhWnAJejL|SWCSY<>MNY0*$&9Icd;OT1o9+aL8Cy%q- zY2$M25{rl!Ydy2ys}gcQ(O(R2%P(kZap4dYu}BbRL3E0L7FgHibJ+vx+#DqOQ0O#d z3AdB`h5l>h%T6X+-T~A&hYc(zJQDy>07Hc)lCqPTg|MAP^wr3l4CoWPZYLH=o zJc|`blT)(;1XCSJc4*oq_LN{`8dZeY_|>zmB*fJg^Y4_; z)D@#+{}eALDq+L`J5;?fRCkQ;O7Bfng9Ftl)llT9#SD9h;}u4#1)i8l=g{bAy@12K z;<9mI&msfKl$x-&KUzXjr-+!jdAqMOlgF@r`uPt2&eUrjcD+1Zj&4t;CFlAftA-9= zCwO-`UasUeEk2{V;_SP zz00FEnXMv9|A)e+4%n*D3B&|)$@r`MX?1=|(3l5*Qa5YNg>)jGYzI{sI-{a=#6bbmyEQaGmM#$O&u^J;ymZ?FWfFcPeN*E(o61hO(669+Wiy zisIf|6)}PyQD`j3t8|W%)4AI5ED@jzAfEi;+ zP=GOb3zKw%GWaB!S??fuvRT1dz_LLV;K0AnNmTBP8FP3&CNhB&H>WZop*cZ`e}LvX z(F0N$SikMZ-{-{sH{Aa2Q%1!I3Hn*n;DzxBWwoB%)C{S zBqtHvKq8a+6Uf0$&hnHo22N($6JHtHY{V;KZc34zlbbzkcp;7GD2(p} z@C5j)DYU4o*e+TQDu*ZE4i6bI6bNx#{wx`b8H5T$O#)IWnITMu)DHYG^z?o(V8#U= zIF6yE4l(VPv9v^FA&MbpMKdXwa1X?lPYlv?)M1qXPn)9fN570J=Z3)FCI3oT-0(8|@~X%ec56C4XI{c3RSMuk8YuaH!e zTljjqe2t!p<-+b3-dHL@~w9bR#Thr%Zl3_gH?G44QVu$>r#dT_{*IyKKc z$D@3U$m^ls@K}V*(_4jsup&i@+gh3XQ9|_Xnby-rw&a7_t0KgM9sTtvb`OVDc!=A< z<7G)gINPcj1>H>TT|$K8((|bhEuPu1o2%@e?9XFXmt@x_cqk}v0KJ>J z`sqLh(6u=i0y=5z`>zA!4nosV^eZ%n;fy)p=4R~ z81t*6MQ|@L5ktAzBV2?Qzlt!fwOS ze|+Uj>W(@eae zS52BzIX{~6j6J}&ZEJIc$Zf?2FQI{dEen)hj)>Vp32W{TfJ|(_Am}AUwvU8Bps}Ro z458e~pvGh_$*bJN%8GI>(j3YOXbLd!V4nCiGVpi_)^}@|(>x*=p3a=sX*7AuvgO1bY}mwd60uA)nV{1#0j^fg2FAqW}Qfq5VBT8Rc^L~0MSPh6;=E0r_U9N zL{@M+vwtSmbo_R?z@pvwbO83#V`|)rKVE7+?7dCyLU)#?vVh!Q=XyHIMl8avW;5*(>VB0`94AMSZCHQYxpS$lWbxSWnWXazXGyDU^aJenQZZ+GMuOdPnN zrB)K~v@TzrJGvAr09%?8Apbfk#rx@QuPql)6LT%@37JyXa2L;Zj%OMAh{f|3PM8-N zEqF~Ifp?Ca9&hJH-{elaiHi4gAB{8*?!P@|y^i3upw|!gH%#j7p;y=V`+sceK}9AS zXX?-n!mZIRXuZ`!x0m?aF3%*?W3ZD{*p0mY_IyQpnq9UAiZ8gLJu%~|9MBy9QCeW% z|IO6t7{y;|nW2l*DUrFj6f!W>;yxe!E1O+oV!q>Ey1dQ^E74Vlyk9#UCI8BhwKkuJ znH0;(=W45Yi?uNO7WpMeKM?=v=4jX8zH1wvVdLCByZzGa0~pH`lecviFt<52zMuP8x6GEykw-)I3Fvabp6XQY4=< zYF$=HLEu5$XGvt3_c}<6T}>iQ18uK(<90A_ERr8pH!r3la(B#(HN3}oF=ZP=ZG=}9 zSzKpJQqth)8ip6|Si1@65mOZK39Y=}yaoyJ-H?`F+=|x^A82ZzhyY3cCkx%&mW1Yh zF@T}I7Y%T$TlqH1m+x(BxyiPkO{dGjMd$y7KIEB;IkVZA3ay_II!3fhgP>hl%L z7qT54yhByT6Q?*{Zcm(iV4a7#66Qg!5S6Lepj?B|(c?)unCY4f>`yHn)tqZZ1~Z2M zQ=G^eW-GXTxM&rr$1rKJ(M4Yy8`^0!{q)G1YY#m-DD3=3yVFj(5!yf6s5SFFetKiB zIYVy#r*}>AshRKn~;_r>#Vmo$FpN)dh75L!jA@i=+*{JRS;869Ud?&SfX230qm_ z>C#YujYQUEd;1K9T1Mx(v@&%qO_8veRpVf(J1J<-C>gHP(rSYOB)=zyWw>P`;>40vV;mG4t*rLYk|t2sND$3=+K+M)vX%Sa7quJFoV+D*!Ld@I0GoX2%6(Yt>HBo+mGi+7W8RZHiXy|K1bj;2V%L1Hn=FC6~ zY8gR6nixHA)B_enRUK`@!k08v7j@@G7+e;x1ehUc%-~v0R|y>U zVl$;dH{sFWRTs5cDfJb1b^)0vMBy zJKHS425M*hW>L_lNJ_l%ov2au#TvGdh1zm$`m+`g(n}eR7gsDW#yr>kys7V@py3|h z!iF8Qfv_|yTVY&Qd!#NBQHItYkRWjt3*>Qx13$`4r;Ns^E*iYT$6Z~Vhs0}`#2{ex zXfUiom0ff&~u zi6fmstC+PHXz%T+ZmGl~u{~8V&L!W)JpkdVk~AN5Jvp}m*A1XrEHbH1l~%`IE$>4$ z&q@2-@mav1Z3RD4=&SN|;!xNn;SGde;r{i*s?x!%#s&rh00^QdWML2k6E*c5@H8;y zN19w3W~4PVn%d&isWbQQMenJMp3r);+&{r-FjVn7xsfKM zPwcbIFKdS!dhKtIJdgBKs!yD5u%kkQyKQ}R{Z@J%(U*qChsO7fmik6Okerhjym`N2 z&`Gcc`*FpOtAJkFw1=tlXQwbkhiV)Tc{4sq&0Qf|PoHm4aHkd#IK6i_J8G^D4}C2; ztrfQq9(8HasO3;TZd7VU-*5Qyq%WM}n`~;Pa=E4xD)RPpQ6FbPTmYkjOUtVq$YoFu zAN|aYN+>} zPO|B7owOgE(&&pfKxyF!Jn0`JnAiuFD^51$nlxoDu5xJKDeRAK69QNnT)KNug5_Hd z$C_y)nNoHImwoWUhe3dA6tM6`9L>O--482QSvFT{!=CSi z6Be#w*GQNMb24y-4j6tl?{LL*9`BjyAv@hE^_TzQg?sPJzp2ZR&kF(d7_^Z|m%uo# z(vjJc#SfyD1;n4D_Cf4L0(W3y!#8uNMp&hA4PCSQGZS~v9@Zqp1ycXBu$9&phEsA? zDWjW&uFl-vZ5e)FG7gbSbd!-tBv&OX=;7ukz_!_r>r7duU$XZtLuRd1Yfwg7z6Yu% zU-d>lazM5RjE|f)k#x1oKm(q#lg!6Kakyx+q(rm75lE|^uT<8eS&XjPaZ`#pk~_s0 zwKl%kfYYpK%hOn8;B=VNR!kt z*BOt5K=Ui!*oai-4KFnSH~Vm*bshegSDpGkMgt#L*F7o2tDZ!BAb0pK!Wd&((nV-0 zZ*Llk4@mRO4MET3x@Ma=;&z7JQqYAnwaYhyxd7=VGMIPQpA)DNb7-%t0Usmt1vAwT zZBfdqF(m+;?wab0J(!Z__LkMGo^Huz?>57|lzGfaQU0sezQ^ih%Ov{ z^$WVBgD*8-rgNcvWb=9rJ%VC6E49%lu50v8!WhhJq`Uj`YZa}v&lr6&VmAYsAY+{b z*}NT?PWrx8$}n2TdXj}EITH?(a$Ur8kzs|6?NW8^=mmfKnY{hd)gv#)W z761tYDSyV)8efvd2YSN#w8$`CV#Mw`JW^UbcKh(bX73up9Kb)KcVL+~J9Do3DLi27 zCuWl*rDLSCZ58DB0uuIHTG{-&UsO@>n)7 z2>U2%U$E&JJxFg}YS=7&b4^bJ`gL;(qD?!Z*EN-{qA$bQ2=;f;)z!Z6N+r9)06TCZ zh(6hM)D-foQJG19Jyc|63(RBdK&l#j3mjYXxpt%)6bmoN#$jnpG4mq@;(%n8*JxOG zGb`aaYYbZ&C9aSP9O!Qvec)c>ijpYTujlP-gYOe&0t<_B(PxGi7N_*l-xZ8UPd%eM zDr+T{+*#d%FqTa3;iA{b{~YzN)@1@Fsfnk0fm6;3mRyEi}U*X`sM>K z0J-Z&(5Cyw_snCIkmSy{bnAsv%L*w<^Gph3wGur1{1H@>Z!E`b*`L*fqulo;sO*bUTqi1+uEp#0q%%q{Gr zW@tQ6gAO51dE-KbfJ*@wmb4RtpoAcxRLbmPC#w83H&EImW8gjh68!$u4#C~(@D0l+ z&Js=5t(xlH&eqGu?$)9Ph$<)ot=}SqkcC(df4_%$W|%0`NmGBUVe0!@+CDtPXHcc20ua8ZU$b8BH0WW5)XlpXoprHSF{u* znNF8pDnlV-El!>=%3@4IkvPLzAlDR+qrsxcJRXEgiIX5p(I@)_k4cqFu4+GjfK7!4 zwlSTnN?rWSTD~Mj6`O@Vl`f2nI+d=?vh3+FEJY;%qWJ1|^;d``dgx(ja}?BV#WQJ0 zhtG4x#RX=|(Z>_eJu;kl-FBr90xKZ>>)T_JQ2*2L84=9S^&*9;ES(L`%f%<>gSDGv zcEU7YO;Q_(ZMMaX(@n)SQ z_C0#{(kW}5SEJs;{D9qkcw3FX?TDAfqnil(`q1D6UjO9*-t+c?BNi#}C4~3cc=r;e z*88S#Uu!vIY1K4DF6}AT^VvPYv?!I}lP{Gem+C&~;-Jo&Nayy}8t}Pr} zRhd<%F;6)^#2P^1m9du!`CQ`hU`&d-t9jY^?|)1i(i2+=euRv`OSv>$8{)GXb=;u@ za3cufi7^=l*s8Hv1vlHT01X1w5g50PTM4w61|2Jo9>K*PH z1PTBHh<^F&1Oweo%+|D~?N%7!eKr;r^Tf2D(+8UTem8VMp1!zCcuOf2ETUq|S^NT_ zOXa;Li^!YX7@ahzzOZln$hFeK;?*iXuI?9&oM>74CgrU+rEcqy-PjDWk;dRzhscMc z{86c*HYMp10k7tC#{K%e8VXWr@tnxeyUqqoR$#^}z!f+RwaC$bI?Av*P07A$^8I0` zZ7}rB=z{Pw*Shg`{}9p1!~2Nu|Cm8Qg(3;n03E0$3`hJZw?9R3hq=Yutr&Q=)T!tj zaN2_b7Ia=M;U~x9hbIx@m-y?l9jY8A@b1gkC-9DaS%~}knX>Ih6ocDQ&^38f&b>%A!Z&uCtTUYme%cIPULF62#ez;h_~z) z^k%vCr;+e+YYYh1n;Yo6ZfOVciqN=Ry%LZb!4`A8eb~s7q0`N8kWna?%9tT$b7*q} zVym40>>I6A`lnNkEe^b~ zJ=sozI6ZVO5}GHLn)4sbQte*W&0~APPM#n0ikq7rc&lY|vDJ<0to7-2b@uH`N=)USg-`PTM-c|87lz0-4}Nec!c+YA|c3 zg$k0uOBBc?7Ycd-bWwS`7S;y4BMz}#>D=^bR4}wHV~8JoKyGZWM$1MSc(+1`rRX=U zCY8Hb#v@L~amSPybo%5AoWxZ!{P}ubPi9L=NPkgTWQQ+WVDSL;@0EIU7B(n{1OP~W zR;+|v3~Zo>9f%}S^QESZhdL9dm@x5YVPRr4{d*@iV`0QWjp8=uSW=fc(jkX=6wo<< zSYrX4+$|G2X^2@lp1t})69sn}hd8J=I}3$CqH$k|nXH*Dm2o$Lb@-&&V`4ktFdp0KKS|Y zD~D)Yb6ZZieEDl=!i_HJPUQ8-=W3ic`Xvrh*o4i|F`as zYW&wn9M9-chrrTRRqHVbsS}pmg-XLK`iH!>>)58jS6PA!qhSu~^RUyz-@hPwouKzlRb$zdxd&@)7}cPBvO8K3YUe_6h#H7^W6X8wzU$YnZI;){0H!8j_YNCt>jN||ERShRL-zhjRrzeakj3#Bj9R2|( zf!63H(g}!y-*Y-jtvG2rY&-^=1MW}vUt@k#(c22PUvc0|&4w0uWR+(zvt@V%u9=+W z{^>I<85a_s*RPd1Lc4aUnTb`mT$ZN|JAH8qzALEHSh}!b`S{HbQ6BxBLDm?QC`mPV zoV3~=CKy^b+!|Z2ELjQ@uX!F#Zh&t3hT#?ENn?a1S5jkc&D`SpTP z-7@}79j}vRKW?Bg)qiM;;@EX;b^j~nO*T{%@%UKicin+lJP_5DA~;B5L-_QS4lGC8 zQT#t(FOjI^xm3a;&TE#>z*}S*ynatgOQv)8dnzXS>ZjwPguH?y;Dqn;4n z5LaT@Fsq(=4D<~s!yO@2C*=}ZV+jUN_>xn?uc`bF!93AqMEgxwplJfl;xq$mYsKQ>ZVD4oaGf&7 z1V@Kq0S)_b#p20ic-TWD;T-bPS!02B4Bkxc1&4mrAG}#iO;d4wR{fHbDTkNxU?Of)7QjuOlEIcc$%^GfRaqYPDUjO-5{I`VB}&NKJxbIYuPg zXjm@WcTHDi&NAy;%iW|CmYo=O6uS@$tc}u+Q4Fv8D{8Q45YHqw>dadG%qYE!84Q%^ z?2f_0-?!`7z)+26Ing(;Im+TM)JAe@c@JA@&gdWL;?xK1h1zXC58)aM^Ie+j%cVD0 ze9%~KSPFJrfa9hOhe`7sQfM}bN)qR|ceZ$^4i2}JyuSFU?<~>IxszA@Bj;g~r%xwU ztyQb~U%FsT(zq00TuA%psmWOPY9c()D!l5T&f%h}f$)ZHyOo?(sHVeOg_Py%$COeQ zN8*D;ahan_{BW9`<}*-t35+q2PDma6IQjeOq?p>C#@`jmJy1+X_I{e2x_Ys|8gO@7 z{`{89-{K=kKd8X)%^#A8rB-{JTN=0jQu7X5uyl|dQj$|9Hx-vB09*UOSL)#|wh`s9 z9)#-;9I)z%h!Vyg&m{4CKoV6u$(b1q{lY=U02n@tV3M2QxF#8MzH-bP;vL#8{GV?K zNqu{uYXjvssomq0Pw{Pnk{IvNdW$oc5^Hrn0%g)!B=Bq8sY3CRS2Jd13oI@9i~XwB z(#o#hhJe`n;NDteV|y4JER9YP_!|D2Q81{sBOv>d=ZO)Gr4ES1EBPtRc`e{Zj!;r( zqB=58*J)a@07@H*f+-lwIor7rO^lUkvnoz^AlK-Y5hXhg^Tp$0tHY)`a0(h*%jQlp zlWn+pNZC7d5)Sbf`t24@Q;drDdU&7iUZ~tX(TmN zHqbgflh_sUiM`P4+BglOXB?e{S%g6gH|@T-z8GpUjy*^pe1E}N5_Ki+>`Og!@BaQ` zY$7OJaHq04_Yw{1bgK!SyxWhUKf?{2Uskd?>L+2h|*UFL0wga%FY(=;!)VJ?3tr~xJC9PO zRV*!c6Nri$MuBFw&0(wH3JkyR4lmBC35$Wkbl*>63Y1|s0#zP@$iuwEK%zC|#QBs=rTsC0x>`Y!f1=;mT` z$-y+SD6_H*we$vJJQ&tfw`;x1LO><}PysDQO@ylDsd<298-y+~tt<`|DnZ?~OSD=( zt^!DMXFe4xDUKqVG7fl@I4h-|b=zB04LsvN$;wsa96Nc9Jj*&6Jp#8fW~GC*_dIcz z--Z}-w;r?Pn9Vvczbk^cV6?b?jCk{AXVx-m2@{L72$$aZWnsQpa19eMpc+~7jray{f#k&xV zLj(uIK7>!gWro)0y6%v(Zo|R0fnG!qj{ou&VZBKKaK(Nn{=LWp+i7h{?;;ZeiPp^v z491%LDa!P7;WXqOyjUsa8Hk#jS$acF*0NoDPG7b-h1bHSpTkYMBsko`yQ|48Vl5r> z{(~C0$cwu@%Jtzywr@$wY-^k0#)ufi8z}?sCElW9;D{MOgwzlLqzF+gcgB@$z%Up1 zl}yM`>+T-Nl0+&x-Ez!!^1D!`!K&Qr8VzN|zLkh|=R#xwgYR511*pXd?wQo}@O6)+ z3n}}kC5*=yo8JjPvcE`D`l0QSpN&_4DOcmo{4y$hbww$XY8OTU-xfOdkF_fCFfr1G z&GsOZ_FZPBpD*@N&^wVU&C}|L1_Ane(LGn>{m0BE`x|RmXbnReR(gaFVm;VundYB( z;XSf_G9@sK1sK1z_%Gy33;3WWK%2~2Vm0gX^iE2-X*27qr3){ z<_XI-otpc+l=&~y10?h3lEbn`u6%nFF zNRTxrbCsDiT=>k!V(xuW^r5Rm9sE<{Vy6-_T|Qb=zc<2|<>!XvAoV@doK|1n*C?j2 zISn_?KHzS3vj~UCgp)lk zQM>9N(rk+_E3egL0IjZWdGSSC%N6Y!yEMVb*6;>3iGa(vcW&KMe_wt`SFlbf1 z9~Mc=p$|6-o7u_?M8p8sosoCzwj61}P;ulkkdw1EM&~Qep(|OptA^bCidC84@Deu5 z&FnmEy?!F^itwaA@~lOKuc!>Q{L#DfW-oGwF5ZA;%j*W+ET@j+E!cTqLU>gVGMiO7 z1&6Q)WI2CC^$!!Suo@wEzE{Bam%aEV#i$WfHx&PBGa@>_B%i!%m0#%4UT*CDu_7Kn z-(W%bJLeIwJeq+E8UElIrwFxwBmm2oF0zxyH-$A8f3oA+tdjOhnZg*XEW+Jw7+-8P zubT=?r-#|P99)j|UG)?aUTBd^nP<Y!Jm~>WpQ(GC)?*idh zLGxV}hvRH6nmh864IU6W)KZPY(7~3^%V_S_-&cORTTk6Hmzugtax*xHZ`+gD&m8G#S+5Sa845ZmEU(V zH1^sB(u?hjE{s-}yDz1QJS>d|X%J<()^{p(JSnVEVf%}Bzm3jgE`O}Y%LL|;g@a`h zSHmuBQAkDPT%fR);XeC>yIn0Zd=ZrzK`~Py#xS^QRjm`41;66@NzlXjw9PEw93@dlhENqZ_Z218^uq0OU4@>B`A|& zu06Oz*Gw*YV#){M0MQUp5Kg=G$$2wqBF79ju3J=3t_G=u^)rA>Yb=s|T{ zI1L*G$EJyDJeP=^8f*Wqza-vVi$*{%t4%q=*QB#SHfk0c{j919xyG}}g7*mstngxi zB!$K)?$8?#k6>dpYue}nc;<{+}Y9BV&MQI`u`UynX~;w1Jmlb zO5v75w7eza_?qB^T|MLGKQg9&uL;P>z8UVok z%lJ=e@+ZLjXG9wg)PIP7x{8>r=qw_z53o<7- zax(p6!M}Fqzer2Wp92#i{ePn-Tyo-plCgbuVI`1pq5ku|a&h5-da?i0WXpxay2k+k zFaX3@RXo+Wv<&4`Y~|D>thJpzY&FEa#Mz~dWR-0d-EFK)TpT1FJx#bIX{{Lf{ts0i BHF*F4 diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.xci b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.xci index 173b0657..75f668f5 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.xci +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.xci @@ -10,8 +10,10 @@ 16 + 1 16 audio_audio_mono_0_0 + 1 artix7 digilentinc.com:nexys-a7-100t:part0:1.0 @@ -36,6 +38,9 @@ + + + diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.xml b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.xml index 8b38c4b0..c6fddcca 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.xml +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0.xml @@ -14,7 +14,7 @@ outputProductCRC - 9:be05f2a5 + 9:8efe176c @@ -25,7 +25,7 @@ outputProductCRC - 9:be05f2a5 + 9:8efe176c @@ -41,11 +41,11 @@ GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 22:11:56 UTC 2021 outputProductCRC - 9:be05f2a5 + 9:8efe176c @@ -57,7 +57,7 @@ outputProductCRC - 9:0a26efd1 + 9:896f9413 @@ -73,11 +73,11 @@ GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 22:11:56 UTC 2021 outputProductCRC - 9:0a26efd1 + 9:896f9413 @@ -91,11 +91,11 @@ GENtimestamp - Tue Dec 21 12:19:24 UTC 2021 + Tue Dec 28 23:58:40 UTC 2021 outputProductCRC - 9:be05f2a5 + 9:8efe176c @@ -159,6 +159,11 @@ Audio Dw 16 + + SHIFT + Shift + 1 + @@ -222,6 +227,11 @@ Audio Dw 16 + + SHIFT + Shift + 1 + Component_Name audio_audio_mono_0_0 @@ -232,6 +242,9 @@ audio_mono_v1_0 module_ref 1 + + + 2021.2 diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.v index e500e5e3..96877176 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:24 2021 +// Date : Tue Dec 28 23:58:40 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.v @@ -28,9 +28,9 @@ module audio_audio_mono_0_0 wire [15:0]right_in; audio_audio_mono_0_0_audio_mono inst - (.left_in(left_in), + (.left_in(left_in[15:1]), .mono_out(mono_out), - .right_in(right_in)); + .right_in(right_in[15:1])); endmodule (* ORIG_REF_NAME = "audio_mono" *) @@ -39,10 +39,10 @@ module audio_audio_mono_0_0_audio_mono left_in, right_in); output [15:0]mono_out; - input [15:0]left_in; - input [15:0]right_in; + input [14:0]left_in; + input [14:0]right_in; - wire [15:0]left_in; + wire [14:0]left_in; wire [15:0]mono_out; wire \mono_out[0]_INST_0_i_1_n_0 ; wire \mono_out[0]_INST_0_i_2_n_0 ; @@ -52,42 +52,37 @@ module audio_audio_mono_0_0_audio_mono wire \mono_out[0]_INST_0_n_1 ; wire \mono_out[0]_INST_0_n_2 ; wire \mono_out[0]_INST_0_n_3 ; - wire \mono_out[11]_INST_0_i_1_n_0 ; - wire \mono_out[11]_INST_0_i_2_n_0 ; - wire \mono_out[11]_INST_0_i_3_n_0 ; - wire \mono_out[11]_INST_0_i_4_n_0 ; - wire \mono_out[11]_INST_0_i_5_n_0 ; - wire \mono_out[11]_INST_0_n_0 ; - wire \mono_out[11]_INST_0_n_1 ; - wire \mono_out[11]_INST_0_n_2 ; - wire \mono_out[11]_INST_0_n_3 ; - wire \mono_out[3]_INST_0_i_1_n_0 ; - wire \mono_out[3]_INST_0_i_2_n_0 ; - wire \mono_out[3]_INST_0_i_3_n_0 ; - wire \mono_out[3]_INST_0_i_4_n_0 ; - wire \mono_out[3]_INST_0_n_0 ; - wire \mono_out[3]_INST_0_n_1 ; - wire \mono_out[3]_INST_0_n_2 ; - wire \mono_out[3]_INST_0_n_3 ; - wire \mono_out[7]_INST_0_i_1_n_0 ; - wire \mono_out[7]_INST_0_i_2_n_0 ; - wire \mono_out[7]_INST_0_i_3_n_0 ; - wire \mono_out[7]_INST_0_i_4_n_0 ; - wire \mono_out[7]_INST_0_n_0 ; - wire \mono_out[7]_INST_0_n_1 ; - wire \mono_out[7]_INST_0_n_2 ; - wire \mono_out[7]_INST_0_n_3 ; - wire [15:0]right_in; - wire [0:0]\NLW_mono_out[0]_INST_0_O_UNCONNECTED ; - wire [3:0]\NLW_mono_out[15]_INST_0_CO_UNCONNECTED ; - wire [3:1]\NLW_mono_out[15]_INST_0_O_UNCONNECTED ; + wire \mono_out[12]_INST_0_i_1_n_0 ; + wire \mono_out[12]_INST_0_i_2_n_0 ; + wire \mono_out[12]_INST_0_i_3_n_0 ; + wire \mono_out[12]_INST_0_n_2 ; + wire \mono_out[12]_INST_0_n_3 ; + wire \mono_out[4]_INST_0_i_1_n_0 ; + wire \mono_out[4]_INST_0_i_2_n_0 ; + wire \mono_out[4]_INST_0_i_3_n_0 ; + wire \mono_out[4]_INST_0_i_4_n_0 ; + wire \mono_out[4]_INST_0_n_0 ; + wire \mono_out[4]_INST_0_n_1 ; + wire \mono_out[4]_INST_0_n_2 ; + wire \mono_out[4]_INST_0_n_3 ; + wire \mono_out[8]_INST_0_i_1_n_0 ; + wire \mono_out[8]_INST_0_i_2_n_0 ; + wire \mono_out[8]_INST_0_i_3_n_0 ; + wire \mono_out[8]_INST_0_i_4_n_0 ; + wire \mono_out[8]_INST_0_n_0 ; + wire \mono_out[8]_INST_0_n_1 ; + wire \mono_out[8]_INST_0_n_2 ; + wire \mono_out[8]_INST_0_n_3 ; + wire [14:0]right_in; + wire [2:2]\NLW_mono_out[12]_INST_0_CO_UNCONNECTED ; + wire [3:3]\NLW_mono_out[12]_INST_0_O_UNCONNECTED ; CARRY4 \mono_out[0]_INST_0 (.CI(1'b0), .CO({\mono_out[0]_INST_0_n_0 ,\mono_out[0]_INST_0_n_1 ,\mono_out[0]_INST_0_n_2 ,\mono_out[0]_INST_0_n_3 }), .CYINIT(1'b0), .DI(left_in[3:0]), - .O({mono_out[2:0],\NLW_mono_out[0]_INST_0_O_UNCONNECTED [0]}), + .O(mono_out[3:0]), .S({\mono_out[0]_INST_0_i_1_n_0 ,\mono_out[0]_INST_0_i_2_n_0 ,\mono_out[0]_INST_0_i_3_n_0 ,\mono_out[0]_INST_0_i_4_n_0 })); LUT2 #( .INIT(4'h6)) @@ -113,111 +108,93 @@ module audio_audio_mono_0_0_audio_mono (.I0(left_in[0]), .I1(right_in[0]), .O(\mono_out[0]_INST_0_i_4_n_0 )); - CARRY4 \mono_out[11]_INST_0 - (.CI(\mono_out[7]_INST_0_n_0 ), - .CO({\mono_out[11]_INST_0_n_0 ,\mono_out[11]_INST_0_n_1 ,\mono_out[11]_INST_0_n_2 ,\mono_out[11]_INST_0_n_3 }), + CARRY4 \mono_out[12]_INST_0 + (.CI(\mono_out[8]_INST_0_n_0 ), + .CO({mono_out[15],\NLW_mono_out[12]_INST_0_CO_UNCONNECTED [2],\mono_out[12]_INST_0_n_2 ,\mono_out[12]_INST_0_n_3 }), .CYINIT(1'b0), - .DI({\mono_out[11]_INST_0_i_1_n_0 ,left_in[14:12]}), - .O(mono_out[14:11]), - .S({\mono_out[11]_INST_0_i_2_n_0 ,\mono_out[11]_INST_0_i_3_n_0 ,\mono_out[11]_INST_0_i_4_n_0 ,\mono_out[11]_INST_0_i_5_n_0 })); - LUT1 #( - .INIT(2'h1)) - \mono_out[11]_INST_0_i_1 - (.I0(left_in[15]), - .O(\mono_out[11]_INST_0_i_1_n_0 )); + .DI({1'b0,left_in[14:12]}), + .O({\NLW_mono_out[12]_INST_0_O_UNCONNECTED [3],mono_out[14:12]}), + .S({1'b1,\mono_out[12]_INST_0_i_1_n_0 ,\mono_out[12]_INST_0_i_2_n_0 ,\mono_out[12]_INST_0_i_3_n_0 })); LUT2 #( .INIT(4'h6)) - \mono_out[11]_INST_0_i_2 - (.I0(left_in[15]), - .I1(right_in[15]), - .O(\mono_out[11]_INST_0_i_2_n_0 )); - LUT2 #( - .INIT(4'h6)) - \mono_out[11]_INST_0_i_3 + \mono_out[12]_INST_0_i_1 (.I0(left_in[14]), .I1(right_in[14]), - .O(\mono_out[11]_INST_0_i_3_n_0 )); + .O(\mono_out[12]_INST_0_i_1_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[11]_INST_0_i_4 + \mono_out[12]_INST_0_i_2 (.I0(left_in[13]), .I1(right_in[13]), - .O(\mono_out[11]_INST_0_i_4_n_0 )); + .O(\mono_out[12]_INST_0_i_2_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[11]_INST_0_i_5 + \mono_out[12]_INST_0_i_3 (.I0(left_in[12]), .I1(right_in[12]), - .O(\mono_out[11]_INST_0_i_5_n_0 )); - CARRY4 \mono_out[15]_INST_0 - (.CI(\mono_out[11]_INST_0_n_0 ), - .CO(\NLW_mono_out[15]_INST_0_CO_UNCONNECTED [3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\NLW_mono_out[15]_INST_0_O_UNCONNECTED [3:1],mono_out[15]}), - .S({1'b0,1'b0,1'b0,1'b1})); - CARRY4 \mono_out[3]_INST_0 + .O(\mono_out[12]_INST_0_i_3_n_0 )); + CARRY4 \mono_out[4]_INST_0 (.CI(\mono_out[0]_INST_0_n_0 ), - .CO({\mono_out[3]_INST_0_n_0 ,\mono_out[3]_INST_0_n_1 ,\mono_out[3]_INST_0_n_2 ,\mono_out[3]_INST_0_n_3 }), + .CO({\mono_out[4]_INST_0_n_0 ,\mono_out[4]_INST_0_n_1 ,\mono_out[4]_INST_0_n_2 ,\mono_out[4]_INST_0_n_3 }), .CYINIT(1'b0), .DI(left_in[7:4]), - .O(mono_out[6:3]), - .S({\mono_out[3]_INST_0_i_1_n_0 ,\mono_out[3]_INST_0_i_2_n_0 ,\mono_out[3]_INST_0_i_3_n_0 ,\mono_out[3]_INST_0_i_4_n_0 })); + .O(mono_out[7:4]), + .S({\mono_out[4]_INST_0_i_1_n_0 ,\mono_out[4]_INST_0_i_2_n_0 ,\mono_out[4]_INST_0_i_3_n_0 ,\mono_out[4]_INST_0_i_4_n_0 })); LUT2 #( .INIT(4'h6)) - \mono_out[3]_INST_0_i_1 + \mono_out[4]_INST_0_i_1 (.I0(left_in[7]), .I1(right_in[7]), - .O(\mono_out[3]_INST_0_i_1_n_0 )); + .O(\mono_out[4]_INST_0_i_1_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[3]_INST_0_i_2 + \mono_out[4]_INST_0_i_2 (.I0(left_in[6]), .I1(right_in[6]), - .O(\mono_out[3]_INST_0_i_2_n_0 )); + .O(\mono_out[4]_INST_0_i_2_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[3]_INST_0_i_3 + \mono_out[4]_INST_0_i_3 (.I0(left_in[5]), .I1(right_in[5]), - .O(\mono_out[3]_INST_0_i_3_n_0 )); + .O(\mono_out[4]_INST_0_i_3_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[3]_INST_0_i_4 + \mono_out[4]_INST_0_i_4 (.I0(left_in[4]), .I1(right_in[4]), - .O(\mono_out[3]_INST_0_i_4_n_0 )); - CARRY4 \mono_out[7]_INST_0 - (.CI(\mono_out[3]_INST_0_n_0 ), - .CO({\mono_out[7]_INST_0_n_0 ,\mono_out[7]_INST_0_n_1 ,\mono_out[7]_INST_0_n_2 ,\mono_out[7]_INST_0_n_3 }), + .O(\mono_out[4]_INST_0_i_4_n_0 )); + CARRY4 \mono_out[8]_INST_0 + (.CI(\mono_out[4]_INST_0_n_0 ), + .CO({\mono_out[8]_INST_0_n_0 ,\mono_out[8]_INST_0_n_1 ,\mono_out[8]_INST_0_n_2 ,\mono_out[8]_INST_0_n_3 }), .CYINIT(1'b0), .DI(left_in[11:8]), - .O(mono_out[10:7]), - .S({\mono_out[7]_INST_0_i_1_n_0 ,\mono_out[7]_INST_0_i_2_n_0 ,\mono_out[7]_INST_0_i_3_n_0 ,\mono_out[7]_INST_0_i_4_n_0 })); + .O(mono_out[11:8]), + .S({\mono_out[8]_INST_0_i_1_n_0 ,\mono_out[8]_INST_0_i_2_n_0 ,\mono_out[8]_INST_0_i_3_n_0 ,\mono_out[8]_INST_0_i_4_n_0 })); LUT2 #( .INIT(4'h6)) - \mono_out[7]_INST_0_i_1 + \mono_out[8]_INST_0_i_1 (.I0(left_in[11]), .I1(right_in[11]), - .O(\mono_out[7]_INST_0_i_1_n_0 )); + .O(\mono_out[8]_INST_0_i_1_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[7]_INST_0_i_2 + \mono_out[8]_INST_0_i_2 (.I0(left_in[10]), .I1(right_in[10]), - .O(\mono_out[7]_INST_0_i_2_n_0 )); + .O(\mono_out[8]_INST_0_i_2_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[7]_INST_0_i_3 + \mono_out[8]_INST_0_i_3 (.I0(left_in[9]), .I1(right_in[9]), - .O(\mono_out[7]_INST_0_i_3_n_0 )); + .O(\mono_out[8]_INST_0_i_3_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[7]_INST_0_i_4 + \mono_out[8]_INST_0_i_4 (.I0(left_in[8]), .I1(right_in[8]), - .O(\mono_out[7]_INST_0_i_4_n_0 )); + .O(\mono_out[8]_INST_0_i_4_n_0 )); endmodule `ifndef GLBL `define GLBL diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.vhdl index 546ed798..c691b07e 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:24 2021 +-- Date : Tue Dec 28 23:58:40 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_sim_netlist.vhdl @@ -17,8 +17,8 @@ use UNISIM.VCOMPONENTS.ALL; entity audio_audio_mono_0_0_audio_mono is port ( mono_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); - left_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); - right_in : in STD_LOGIC_VECTOR ( 15 downto 0 ) + left_in : in STD_LOGIC_VECTOR ( 14 downto 0 ); + right_in : in STD_LOGIC_VECTOR ( 14 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of audio_audio_mono_0_0_audio_mono : entity is "audio_mono"; @@ -33,34 +33,29 @@ architecture STRUCTURE of audio_audio_mono_0_0_audio_mono is signal \mono_out[0]_INST_0_n_1\ : STD_LOGIC; signal \mono_out[0]_INST_0_n_2\ : STD_LOGIC; signal \mono_out[0]_INST_0_n_3\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_1_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_2_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_3_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_4_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_5_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_n_1\ : STD_LOGIC; - signal \mono_out[11]_INST_0_n_2\ : STD_LOGIC; - signal \mono_out[11]_INST_0_n_3\ : STD_LOGIC; - signal \mono_out[3]_INST_0_i_1_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_i_2_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_i_3_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_i_4_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_n_1\ : STD_LOGIC; - signal \mono_out[3]_INST_0_n_2\ : STD_LOGIC; - signal \mono_out[3]_INST_0_n_3\ : STD_LOGIC; - signal \mono_out[7]_INST_0_i_1_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_i_2_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_i_3_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_i_4_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_n_1\ : STD_LOGIC; - signal \mono_out[7]_INST_0_n_2\ : STD_LOGIC; - signal \mono_out[7]_INST_0_n_3\ : STD_LOGIC; - signal \NLW_mono_out[0]_INST_0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \NLW_mono_out[15]_INST_0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_mono_out[15]_INST_0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \mono_out[12]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \mono_out[12]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \mono_out[12]_INST_0_i_3_n_0\ : STD_LOGIC; + signal \mono_out[12]_INST_0_n_2\ : STD_LOGIC; + signal \mono_out[12]_INST_0_n_3\ : STD_LOGIC; + signal \mono_out[4]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_i_3_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_i_4_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_n_1\ : STD_LOGIC; + signal \mono_out[4]_INST_0_n_2\ : STD_LOGIC; + signal \mono_out[4]_INST_0_n_3\ : STD_LOGIC; + signal \mono_out[8]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_i_3_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_i_4_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_n_1\ : STD_LOGIC; + signal \mono_out[8]_INST_0_n_2\ : STD_LOGIC; + signal \mono_out[8]_INST_0_n_3\ : STD_LOGIC; + signal \NLW_mono_out[12]_INST_0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 to 2 ); + signal \NLW_mono_out[12]_INST_0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin \mono_out[0]_INST_0\: unisim.vcomponents.CARRY4 port map ( @@ -71,8 +66,7 @@ begin CO(0) => \mono_out[0]_INST_0_n_3\, CYINIT => '0', DI(3 downto 0) => left_in(3 downto 0), - O(3 downto 1) => mono_out(2 downto 0), - O(0) => \NLW_mono_out[0]_INST_0_O_UNCONNECTED\(0), + O(3 downto 0) => mono_out(3 downto 0), S(3) => \mono_out[0]_INST_0_i_1_n_0\, S(2) => \mono_out[0]_INST_0_i_2_n_0\, S(1) => \mono_out[0]_INST_0_i_3_n_0\, @@ -114,177 +108,151 @@ begin I1 => right_in(0), O => \mono_out[0]_INST_0_i_4_n_0\ ); -\mono_out[11]_INST_0\: unisim.vcomponents.CARRY4 +\mono_out[12]_INST_0\: unisim.vcomponents.CARRY4 port map ( - CI => \mono_out[7]_INST_0_n_0\, - CO(3) => \mono_out[11]_INST_0_n_0\, - CO(2) => \mono_out[11]_INST_0_n_1\, - CO(1) => \mono_out[11]_INST_0_n_2\, - CO(0) => \mono_out[11]_INST_0_n_3\, + CI => \mono_out[8]_INST_0_n_0\, + CO(3) => mono_out(15), + CO(2) => \NLW_mono_out[12]_INST_0_CO_UNCONNECTED\(2), + CO(1) => \mono_out[12]_INST_0_n_2\, + CO(0) => \mono_out[12]_INST_0_n_3\, CYINIT => '0', - DI(3) => \mono_out[11]_INST_0_i_1_n_0\, + DI(3) => '0', DI(2 downto 0) => left_in(14 downto 12), - O(3 downto 0) => mono_out(14 downto 11), - S(3) => \mono_out[11]_INST_0_i_2_n_0\, - S(2) => \mono_out[11]_INST_0_i_3_n_0\, - S(1) => \mono_out[11]_INST_0_i_4_n_0\, - S(0) => \mono_out[11]_INST_0_i_5_n_0\ + O(3) => \NLW_mono_out[12]_INST_0_O_UNCONNECTED\(3), + O(2 downto 0) => mono_out(14 downto 12), + S(3) => '1', + S(2) => \mono_out[12]_INST_0_i_1_n_0\, + S(1) => \mono_out[12]_INST_0_i_2_n_0\, + S(0) => \mono_out[12]_INST_0_i_3_n_0\ ); -\mono_out[11]_INST_0_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => left_in(15), - O => \mono_out[11]_INST_0_i_1_n_0\ - ); -\mono_out[11]_INST_0_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => left_in(15), - I1 => right_in(15), - O => \mono_out[11]_INST_0_i_2_n_0\ - ); -\mono_out[11]_INST_0_i_3\: unisim.vcomponents.LUT2 +\mono_out[12]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(14), I1 => right_in(14), - O => \mono_out[11]_INST_0_i_3_n_0\ + O => \mono_out[12]_INST_0_i_1_n_0\ ); -\mono_out[11]_INST_0_i_4\: unisim.vcomponents.LUT2 +\mono_out[12]_INST_0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(13), I1 => right_in(13), - O => \mono_out[11]_INST_0_i_4_n_0\ + O => \mono_out[12]_INST_0_i_2_n_0\ ); -\mono_out[11]_INST_0_i_5\: unisim.vcomponents.LUT2 +\mono_out[12]_INST_0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(12), I1 => right_in(12), - O => \mono_out[11]_INST_0_i_5_n_0\ - ); -\mono_out[15]_INST_0\: unisim.vcomponents.CARRY4 - port map ( - CI => \mono_out[11]_INST_0_n_0\, - CO(3 downto 0) => \NLW_mono_out[15]_INST_0_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_mono_out[15]_INST_0_O_UNCONNECTED\(3 downto 1), - O(0) => mono_out(15), - S(3 downto 0) => B"0001" + O => \mono_out[12]_INST_0_i_3_n_0\ ); -\mono_out[3]_INST_0\: unisim.vcomponents.CARRY4 +\mono_out[4]_INST_0\: unisim.vcomponents.CARRY4 port map ( CI => \mono_out[0]_INST_0_n_0\, - CO(3) => \mono_out[3]_INST_0_n_0\, - CO(2) => \mono_out[3]_INST_0_n_1\, - CO(1) => \mono_out[3]_INST_0_n_2\, - CO(0) => \mono_out[3]_INST_0_n_3\, + CO(3) => \mono_out[4]_INST_0_n_0\, + CO(2) => \mono_out[4]_INST_0_n_1\, + CO(1) => \mono_out[4]_INST_0_n_2\, + CO(0) => \mono_out[4]_INST_0_n_3\, CYINIT => '0', DI(3 downto 0) => left_in(7 downto 4), - O(3 downto 0) => mono_out(6 downto 3), - S(3) => \mono_out[3]_INST_0_i_1_n_0\, - S(2) => \mono_out[3]_INST_0_i_2_n_0\, - S(1) => \mono_out[3]_INST_0_i_3_n_0\, - S(0) => \mono_out[3]_INST_0_i_4_n_0\ + O(3 downto 0) => mono_out(7 downto 4), + S(3) => \mono_out[4]_INST_0_i_1_n_0\, + S(2) => \mono_out[4]_INST_0_i_2_n_0\, + S(1) => \mono_out[4]_INST_0_i_3_n_0\, + S(0) => \mono_out[4]_INST_0_i_4_n_0\ ); -\mono_out[3]_INST_0_i_1\: unisim.vcomponents.LUT2 +\mono_out[4]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(7), I1 => right_in(7), - O => \mono_out[3]_INST_0_i_1_n_0\ + O => \mono_out[4]_INST_0_i_1_n_0\ ); -\mono_out[3]_INST_0_i_2\: unisim.vcomponents.LUT2 +\mono_out[4]_INST_0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(6), I1 => right_in(6), - O => \mono_out[3]_INST_0_i_2_n_0\ + O => \mono_out[4]_INST_0_i_2_n_0\ ); -\mono_out[3]_INST_0_i_3\: unisim.vcomponents.LUT2 +\mono_out[4]_INST_0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(5), I1 => right_in(5), - O => \mono_out[3]_INST_0_i_3_n_0\ + O => \mono_out[4]_INST_0_i_3_n_0\ ); -\mono_out[3]_INST_0_i_4\: unisim.vcomponents.LUT2 +\mono_out[4]_INST_0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(4), I1 => right_in(4), - O => \mono_out[3]_INST_0_i_4_n_0\ + O => \mono_out[4]_INST_0_i_4_n_0\ ); -\mono_out[7]_INST_0\: unisim.vcomponents.CARRY4 +\mono_out[8]_INST_0\: unisim.vcomponents.CARRY4 port map ( - CI => \mono_out[3]_INST_0_n_0\, - CO(3) => \mono_out[7]_INST_0_n_0\, - CO(2) => \mono_out[7]_INST_0_n_1\, - CO(1) => \mono_out[7]_INST_0_n_2\, - CO(0) => \mono_out[7]_INST_0_n_3\, + CI => \mono_out[4]_INST_0_n_0\, + CO(3) => \mono_out[8]_INST_0_n_0\, + CO(2) => \mono_out[8]_INST_0_n_1\, + CO(1) => \mono_out[8]_INST_0_n_2\, + CO(0) => \mono_out[8]_INST_0_n_3\, CYINIT => '0', DI(3 downto 0) => left_in(11 downto 8), - O(3 downto 0) => mono_out(10 downto 7), - S(3) => \mono_out[7]_INST_0_i_1_n_0\, - S(2) => \mono_out[7]_INST_0_i_2_n_0\, - S(1) => \mono_out[7]_INST_0_i_3_n_0\, - S(0) => \mono_out[7]_INST_0_i_4_n_0\ + O(3 downto 0) => mono_out(11 downto 8), + S(3) => \mono_out[8]_INST_0_i_1_n_0\, + S(2) => \mono_out[8]_INST_0_i_2_n_0\, + S(1) => \mono_out[8]_INST_0_i_3_n_0\, + S(0) => \mono_out[8]_INST_0_i_4_n_0\ ); -\mono_out[7]_INST_0_i_1\: unisim.vcomponents.LUT2 +\mono_out[8]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(11), I1 => right_in(11), - O => \mono_out[7]_INST_0_i_1_n_0\ + O => \mono_out[8]_INST_0_i_1_n_0\ ); -\mono_out[7]_INST_0_i_2\: unisim.vcomponents.LUT2 +\mono_out[8]_INST_0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(10), I1 => right_in(10), - O => \mono_out[7]_INST_0_i_2_n_0\ + O => \mono_out[8]_INST_0_i_2_n_0\ ); -\mono_out[7]_INST_0_i_3\: unisim.vcomponents.LUT2 +\mono_out[8]_INST_0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(9), I1 => right_in(9), - O => \mono_out[7]_INST_0_i_3_n_0\ + O => \mono_out[8]_INST_0_i_3_n_0\ ); -\mono_out[7]_INST_0_i_4\: unisim.vcomponents.LUT2 +\mono_out[8]_INST_0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(8), I1 => right_in(8), - O => \mono_out[7]_INST_0_i_4_n_0\ + O => \mono_out[8]_INST_0_i_4_n_0\ ); end STRUCTURE; library IEEE; @@ -313,8 +281,8 @@ architecture STRUCTURE of audio_audio_mono_0_0 is begin inst: entity work.audio_audio_mono_0_0_audio_mono port map ( - left_in(15 downto 0) => left_in(15 downto 0), + left_in(14 downto 0) => left_in(15 downto 1), mono_out(15 downto 0) => mono_out(15 downto 0), - right_in(15 downto 0) => right_in(15 downto 0) + right_in(14 downto 0) => right_in(15 downto 1) ); end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.v index 75223aa4..4418a6f4 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:24 2021 +// Date : Tue Dec 28 23:58:40 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.vhdl index 01d05513..68b0c0a4 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:24 2021 +-- Date : Tue Dec 28 23:58:40 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/audio_audio_mono_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/sim/audio_audio_mono_0_0.v b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/sim/audio_audio_mono_0_0.v index a9620d56..7adf834d 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/sim/audio_audio_mono_0_0.v +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/sim/audio_audio_mono_0_0.v @@ -65,7 +65,8 @@ input wire [15 : 0] right_in; output wire [15 : 0] mono_out; audio_mono #( - .AUDIO_DW(16) + .AUDIO_DW(16), + .SHIFT(1) ) inst ( .left_in(left_in), .right_in(right_in), diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/synth/audio_audio_mono_0_0.v b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/synth/audio_audio_mono_0_0.v index 6f75fae4..6d129196 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/synth/audio_audio_mono_0_0.v +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_0_0/synth/audio_audio_mono_0_0.v @@ -52,7 +52,7 @@ (* X_CORE_INFO = "audio_mono,Vivado 2021.2" *) (* CHECK_LICENSE_TYPE = "audio_audio_mono_0_0,audio_mono,{}" *) -(* CORE_GENERATION_INFO = "audio_audio_mono_0_0,audio_mono,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_mono,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16}" *) +(* CORE_GENERATION_INFO = "audio_audio_mono_0_0,audio_mono,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_mono,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16,SHIFT=1}" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module audio_audio_mono_0_0 ( @@ -66,7 +66,8 @@ input wire [15 : 0] right_in; output wire [15 : 0] mono_out; audio_mono #( - .AUDIO_DW(16) + .AUDIO_DW(16), + .SHIFT(1) ) inst ( .left_in(left_in), .right_in(right_in), diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0.dcp index 82e436de8812be41b2a0d16c2d3fafdbd03bef93..efaf84a6e1537d3f0ee142f004c844c4613c6414 100644 GIT binary patch delta 13199 zcmZ8|19%?Y(|2q)Xp%c$NMCPYrrq!Hd)T0-zhI0c zDy4y@jK@i=4a+S{zh*d(JcpFrh|XjJ&*nFRgf6E7?LLW2Ct4oPE`_%jK)!jHHh;NP z6r%{YyoU|81G=X?^61fRvr3Aw({}+a!~J9+GyRImh$Wz=LIjw_+F#<>puSQ<1e+bP zn-Rds*@(}06jBCqa_H`qg7ZCiuM=SDn*c|G#>(QsXIN#)`pYbDTV`rFMy~8NQ#)o7 z83Z*Aaw&N58I`gk=_PRIb>!=f&}e|bYK%9@b>Kew9ZkwY8#&y5cP1N)pXMe-SB zYGSsoYiqySg7u!QFZkGN1*vOHJ)vEVbX32t;$A|)A!iqn`w5^eS+}A@{MEj->Em0j ziBcj>IM1L>t5=tnCWdEr2$wzCq-n;+Sm&37kTjx}gqHJ3Z;;4Oz5hem~uTeD0d+XLSY=9u1p?)8*rc2K5BA``&w6Ln$M? zjT&tuG}x+RLfggfV4LCdZ&NNZY)KqJ#Vn+(6kX#L~Rnj zddkEf{u)3f#FaBPB1mX>YjD18_$I@5KTuMv9#yKgNWV9K^16V8K9z=Nh9F+d{n7No_Qg6+l&gYkXr7l0M9{V3PH;tT zuJANrZoU;cf00ym3z#|LoVTdsY9fw8tT>3Dba&%y=-eH|w?g_gTj@8xQEjymr{D~u z4wL36fTV~_OnYxScl)sxM}D=d{p|DUL3Q6f=tp=ry8hhfAJ-}L$Cf~TABi61)@P%0 zb1Q2C6|23-04|ej)zL3V=9XKVfAfqeb3H+1+hJj50qtICzHnbS6 zXLrj^#KebB(>1mJS?Jl?SWh!b!HGmZDs%kI$u9|2giaqVn(^N)=a@T-4G-(Zg!s7xyb8 zHl76bZ2-+_(kD#cceED-vWQE;#s23`C$J7t!UvOS5Ib@E5{+4c_#p{d>|ty zJVw~8BzafC5P1Vh)d#>NsVb%{sfZUsMtzRg-6CL;Y%}$OT?aH zw|IH&{cT1midj$#9fjj~#~W;>L11NplJ*XkLgH%=FQu*zs(_a~aeI-x)=7nItXjTv zrppyC*m1N#OP;)z)$BZcZ90nqa75DhTTUx|?8YJ`ZN25Ed{*1%&!bNufi0h(lbTjD z6dcy+x9G_?IbBZ*KWgW4WgQmkj)Z9rN&Rl(_{>WL)hP+BCVVrq9r?PP_^>&MPMwoi zYT+l+@7rSbZNipQp(O_Mv2Fe93q^NptA)5=h6eN5{x)UvW*Mc&25AI@DC(`|ZB(T2 zYa9nW@|c;VWrk@4KucvxiDn_BFf6wOT{ss4iteJ~+))cjuWks+y`v6h7AVm1sHL_FPZw5LytTxI zu0Q8guF%Sb0D*u9elPwgGL&>N3uC^R3zO3WI7mM2i7Z~pU0pKmBD&ES4B+{)8-H{b z_y>|bNA(w?)lXjFjD(`=9j};otZ;@OIAcUJk6Ngt8)7s#IK#3zS$!(5T+&c>-N}0S zXpv5F&I$5(^8l)PFnIXz$TD95+P;ekFgo?4Q<8IL-Bgs(HccdeSz5Yh{RF(!)7|yUo@B zccPc4VTh-a;>#Q88d9Ko#Z2c6|4c0^5Y>8|kXp`ymOUqijk_%A9C>dQZ=SOLJwK1{ zyuJ4=fYh`1wKSe!5m}JBF^q^gwdWR86TdmhWvQNFP}$;YUOyA@DiU}7H1O1(9uOOJ zBX%@AI-@LRQfN!m7*j6PL!yJdMp9d0^DwrO-}g!Re5jH8SaUl!qhRrlPt^k0Q8bCG zS~66SoH7dL+nojh8Ck-#r!*>U0@k$!@B<%EfpaR^H)RAfaB%AaGcJ8;n!5%`eFm%I zqK^d|NIYOH8MJ8I@?;8#C@D1-DhV0rhL(@R)sYbdeDVqnM$9H)Zc5c+qeu)k0_a@! zrFQoxq+#U_#Dh^FE z{C_C_|DnA8P#6eTKOM={b*^D@&;amH6wum~X6#O5Cnh|b{?6c{(nvlXcd+bc)wJ=P zL%9gMO63?v{tc>%gNO7oBQ$UrSE9HhTsY?fjxOIZdU&@Ze% zD*c7*_1tLXP-8O{n_0@z@%)D^^}p;YBTHGPzwBJWUWDWYvj1qU@vDx)Ge99UCa}w@ zfOQx@P{@Z2Y5`awxj}}xBZwoEm;rI+?YlGK>m+-cj=r0EcJ_l2?vF+n!;W4 z#ZLa1p5BB6Je_I55x2iJ)%=`lB+|!u*>TTr?}?vgD1|mP zVW($M13sO~a=)!3liKg`J}-}#H%dByUKpD11Mh(?$?%Tja}F@!4fS~JJ!FAS&(DK-4rE>U=iDp&0P-*PjHj~4Y(5? z&y==qBR|Db)iy>-KF5@^D}A$_Xe$8Wt1KWFkXU_<0FH#!h-D58*5+jRq#<&}28_mZ z-idR*$tbTGv%!#GALeae*iEA2>9nuwc0gUN{|5a~2Am>aP}WIBwtw*OW@?+ zUe4;&UVf>_TA26%`>%N!%zx(K33O;7aDV6GArW#z3GHZHpn1KE$}A3aXaKjIu#d!f zK5cFl-i6$Gj<=E7f@AX`R@1J3;}*CFs$LMtJj*Ske3xR5{j_(?=i>qN@}p3atXd1a z@p;^96j_ckQC-@!4j53iH3RQ@(+LV@lh~{3lFHWGf=#wdx^bU^wLRdsSLzn)s6WMc zd16Raiu*VP8M|n=UG6va>#UFyVm*4-<)%2+R_E~=(%lrTkg|P|`-y8ROplumNmDnx zOM9IrZbN9%`sOu=2XJ{<^;Rp|IC_w(g1vxDjy_%N=CUI!@I?V1>he~HvbvRP z8T9^EwqC}rYyb;pwll!m9gTnZ6Q~}%;%&j|m6hiO;;&GJ{aNbq5w1`Xpf+ihJ+~U= z_RBHV-#P~?FS4^05bbmTledU|I)1Qa@_+=-52lsj@!*tcqZL5ZVp#z;JcaYclu^p) zRF!87#$l!BfnL0&3tq11SU>_7QP_$vYf(t|$xLsxQKkIrrj`1`dH%P|>k4ESV3RAS z1a?+AC{j_gt(_{Oc8WN0CU=)Yi*fWZqYScOJpwUNQCH<>jRKvSL!{|RM3s^i;sJb= zvgBi^l&JJC-f3&8+tkGal5!e7oKf%riwb$R zqHL{OTQ5{ayDK~#hR)bh2Be?%0<-R@+_a~gL9iWZj}hYB)r>h|#5-(Q>GJZd^CR&^ zRu%?GrIm`>Dz1m|@+su6tRuJ{)`T-W8{#1^;rCz`>JH{k(f3nn)n?m~kEd@M%Czjx z)WaCYFIt-M6ZHg%%#N;vLx91vF2wEf05zcLtMe-x=JaM^7A_9!YYE;u4fS64DOd}j9l9Ij*DfP;4c3?>sVN49N-7DBx zPiJ}v#yMwwqmVGw^zYKVXHslPF*H?6i+8cf)jiUORfTHcbo8pZeswOd1 zjaN}lCFM=5Jx7tN>*~Ktc*{h8fFAXkHlGlF<*9}^T1m6zJZ8QA_-a9V-n6d>PcE=E zIbB5fi7@UymCJPySEN@@LU72J-z*x?o2ojuJB>)UNWf%^&L5ipd_lM3>v-08=+Xz} z0(Sy{)(KBlC$wD>nX)6+jyrV=Fw2eLx~J2EcCO^!vh#mK?}6yxH*;Dm0{M@)F&H&y zJwdIb8!zEy3W4>Vy29s&#N}Jz)_rCk;jYlLaVA&?)S%nQDEJAbNCmAJDxZ+`C9rxF zjCaRrap$uH&OU*hkA&rf9kyhMAqXyl3?M!dgxPH;{TvD&FLdq;g{~HTwMnjddh{{F zQ9hX*DJ`)YvenDMKYWQpknYzP*}{1j&rT+`HltRaV=rq_EazVs?IgNnY?tyW zfF*C4IaeM%u5I*PlLhTzpKN^M7QftrtZq z9Azy&l16+j`wGIz9%tj8G+DnHP2pOexqiMr-mjnNu({ePC`(bF$kLxYFn6le^mb*H zcA@odu3c#MDR6fCXoypwszU?`&*nWQd2>@cP`TWKsNrp96MvS9ZvD>s^IdN2dFdA4 zEr~y0{$8TWt!VcgblnF2h0uB#`D5&9KM4_uCEMbYt{#ZH)~CT(Aazjcw?9&mLExaZ zT=y@|0;hF7sUE7uuurnxsR*t6Y9(id#67#weM|WULWxB!I(o8T*-A}C{a$>otb*b{ z0Bo0zp*Q(}7D{pj1RGcL4mFv8?x-%&aQI<8{ZbGLbv7VT#^Bp*5+jOb9kjAChIkkk zijE~ia}J2C#KzB&PqA)2R~ML~($bP6qfeE}r7M%#6-KwTlsi?KxaRq*CDm6FC4byo zf(FIojsWws%vE5x3XwIUA)-8$Of<_{NQpx2Afa_MxVEQ^4Q@4yO>a{=1T|8F@ejzJ z5Rcr=xImi4)=~O=gOFnIdW53bz^u=|^B&5=bFe`GLbO%YOL^5SuP`0#vz=WgtumEo z2#T}cst73S7FeUy9tvuiJAiwauR@X(Jo!!>7g@l=tX%U&*`-lsUa4lrEyFl?Lmh{h z$X>n)uN#{Ete%-3G8t#*pYi#8;4hp+4C+8C`EKxR+y-S646~+X?KGkz+@Y)6pK|Mm z)h{4F6dxJnkbtHI3c7^SyrcS)PYk1;@Wug72q=olcpZ@iTMUlsjxgd52?0&B_n*h& zh>@xKwy21Nb9B=JQJs~+CheCh)$L07D7Hv5rPnJ~DMzH_dc~`ARjwvNdt`d6v*Qy+ zNY}c`=+hJEk%{nCoG5sJZsjL9Wp1^al`o(sd5Wd>7iHN*;T&&fHyq{s{>Py7!v*Vp zrT`3iC=twkjzrE=gi=imphi>u0epI3O7K&6(3Y$ofesvymGQjbJ;=vLp*skGgQ$6; zKZH5wS*U;7g3IEOt97!jCu!lduIq#^r(7rF-+NbTox&jDA~+RKFf|APJXr}${tRl_ zZX8K6dgIWPae!sawy}W$A>fyBb2ji@K5Of|u+gQ+B}kDmjj=9P2MiD2_=( zj3UjW<^0+o1mvTUb8J^V9=~%G^mcv|5$FfFc=(G~k*4oZ!v4_OH=~B(BPwfbmm-Jx zK{98n9d{Rb;uTvxN9hOg84ev?7@P45`8YZ&Flk36bb)G1FPa3g5zulq1Fmjdv79e z{ka(}R+9_|k||^lBQC)6WUGX(8-)&5#H9)wZMxe_;6R5U`v9FJ3Q9+j>mHQlYaR;7 zE{Ohdj&b&AC2+m;>OA*)X+w(g<4_U)5a|)39cS;_d1=j;{{Ayzl0Hc?KN+@dSBf{% z!&SQ2t%4lQknb-Gj$~48yi3*Lq0ueQsKI^N#i|HepU>Z9Kh={jbNCSGtDBGyD~Ws# zvA5tMu?Iuhobz%wPz8`{Z?%Ae%`02aCyug7({p!u}{DBA4q6u zoug?Oxw)nV!mw8z3stMh^~k2ttc7tt>|y%o@9s|cA17{-hx^-FVFkaBXB3WV!TT6i;=!ZMpaYlx0{k}QR2lkWDI6Z44W;@ z^!WY?XV-sUU+2iRY`TBH(0}e_;U3_*w~vyoWoL1VJ~wq|aSLMANRX|@VQI*y*IY#q z-FhBh6yf%XL9C9&8VLqL{DdY3_@cx2U|9HRf-xZqn1LsXr}h4Sv^Yd9m(! z^!(TBE?8A${v1F0929)vJ%VJR2c=%L9)S23JwGT30}!-m-*%UwJ&W#fg_GLC(RRHc z{}J(oqNxSgcq28G-D3ZcY znm57^7JsnApUxfrfE$D#{f+_Ad2QYU^YkIcA-Ve=;t)RY?Va2Y_jnRrPI=F8n#PYqYT#Z@hR!PJe@eP}LJp&2%7GHLcZ9 z)ePjQk@N&mGc^lQP22s`_SQ)9BvRW)K`L{iXDcS+fNkdQEnO=yRQGEiRt3EQw}1<9kdswUBSa@wJs*pG9Ky)){4f8lcDGdm!e=_gxJq_(O?|j z^QYBi1fqPc%&aR=6N;uxFu;u;F43060_6!+D_QXX(IO-%8t0|TK4S&;lP6?Yrvb&_ z>j$v%5;bv$O|&ZDyL*^5rW-4bokR>ju6cJ;vz0%fspx)i^52Glz8^q-TuugF(JWJ- zB*LwIzgoP&O}yURcuNvEVEg8@-?Q@UM@g^ObfoX&^>(#P*(cn0V}6;rR4v?j0U}fc z_49`o4GQA}oWDi$WK+MeyN>Nh*4hUcn;BqMx!F40J~*Pu7aYqHaPpL)*f`wAFhx)< zLE<}2yKbc=ucMtu`xdQDoVT!k9^Y@>&UgJN3^=^vSZgmK4GGx`zz4i##0};-Ulc}b z@+dsmoD4C}dU+Ie-030a=)`CO?MI1tl#yXHi5R)UF2HzO-CsC zSg-_AneZee2D^X0{9XwQqqroeZ|L1%CCXGT{RZ(;2s#3J!nx0dC1sTJ`JVMQ+ed)NBNfK1#R8sNrIZTUetxa9sVd9KQSVI}jkv)(8_ z9p#gGqtq5`90h3}=}sIoPQ?Lk*UMt8@ST*3AQ#>_1f%Yov&&Y+LG+x?{awY3!AZvF zaHSY*FJGNdA)Y)!Qc*7vK~kbS>F)w~lx z6hqka*-^RWJ32{ZWGaKMqf8F0L&Z!4{~CesIzPP3L7}d2JGaP_J?}_ z_f@<}dK{=_q$LZeG#r$av2`S-0Nz5)=~asCAw^lk(hLt5xo68rDme=sA7zfWKwu+6Bx8lHIe_TENdY4 zQq=oZEtLpku~@C+cKum*W-i6yaUt)6sDu)=&&xW@i?2z~-)QR+1 zXSkJ~vx5&mbF;Q!F+Uf{nT(CrX(wy~8lq6ahZ&kE4!7>F4xzCXpD^a^^d}=ztKlpn z`r-0peS%9n5Cj}PH5J#U*oXn#gXFaJNXLqO;!>Xc% zcFOnX+Co4u31QL?7^+j{_31|qyX(N)%dFkZ(n`eWtzO#9Y+31;3El`pSpQTUx!yZh z`2_J3(8iaAMRzwS%XJ}DP@ld;6-vBjw|m={@`@GssU5B8s|121rRW3Ex0;R{pMGx( z6$Wzzd4_m_ZwQ*Dt^#0)_qFg)%rGv!&L|iNAGSoa?EVNlD9J~9C*{bDl&40tED%VU zlNnT&1XGG7V>sGDyJ4LI)qMKG1Y8)Mqy8`zAOqV#@!#EKu ztih1YY;ZHRQOc~qWjBva{guY{%`w1`$t?|)eIX1sW^xsmzGUa=3L>Sf@7EU5*S$AAFF-u5{z#B5oV;; z^cF3Gc#u1EL-rwdhqOuDDzv#dKalUagke^Lb zp5~Q*o2(Hw1hjf_->-lSoYNdlF%F&61X)+fRyq%IaFI8li|Q#`K`%Cg4;(RJv6%X{ zA0Q22GUfECDxFKlq6+~YUH3jv+4kZ8wXu}51 zS8I_B3z&;tlM^y#SiR;|LNoS`-5Z6{^`EG6G9lLu@R*7NhuP@j_&p;-!($R>KtIx# z5M}4jH?2+cJw^TfN<3#;V^LcU)F~Z6=CR@I2bt06I_dtUBPQ;7i7Z4j>h#3CrJ-#S z52It{dE7pWj09{3S7OF47S`-A_Q_18(^=xEalZ}(Hzn8oKxZ};=@8r>OrPj}th;n1 zJeVoOG_u;+yAEpoDBqhkLUSOyYnoh(5`GXhKa%Dx^wS1^j1rw2wSkufh3lavqKb9( zc0Iz%ic2!9pVg2E8nFToyIlxF=E(YntP6ge_MMK5QBBSy)t|LX_Fp29D?GDQ=xtuK zC}Q6*fOGA%v?C)mYoJaE3A%uaVo(`iJ-5#HX^K53OCp1bBsR~asATp9>*KQoTJ2o9)%wG7rPxqY8W!yyr*dtm!zBK z&BdP^hIWMPjue9#V$=Z^M9_#h<-wuVrp+|Z$&elv+cXsXAdT-WD{VFbjQbWC%kB06 z%Jn?;Dwg8kgO}zFD%)K@UE?<%b7$ULil{l}#BTR%B9$yJ_a1m^jOo@Wr4qZgoWuX3_bKdu}T3B$e*A}jimksP#FR} zyj5*?BUQb%>vX1A8#*t(KziQ27bU4dZF$6CmM^OW=+KFT(pYlXORQUrNz6bmkN9TT zZw(Wm*qSUTny_Du<}f1!L$u28u^__5FHY$uf>vc?0;g^eoT)R&lKG6xs^Hz|kv<+^ zVK6zL0~pAzZN5cv zq2LX|>c5|kTPzz`>--`pv>ky7i${|5t0t)!^5YhR^C=bG{7@o>S4Cpu8hV}cm4^Y% zQvj~2H^^`m@6CI4HyzR!C1dm)e04~B70pat5_DC)r&EE(!YCscqyU`I1 z*|C;<+G#B!=;UIzpz%onWNqyv_{7IZ8s>ONnz!bLpnGR-|rNl7zrc`;jnu^fs*)Q?X!8RUbp* z6^*Vs8}FC|fLr26Ck?mePDAdhupk`u>O2N z^Dt5W<3xxXoKTuR9i=U zNm!pmOmPfyJsmY1acL1fWJZqfDFq~LRj*uVXgR zi+l=jY9Wmo;4N;_U`S4xgl#lh6q_%J639-=aU{p_3MH9M0sCsaecIM?3}GN3lb&*S z>+H%WPEPWXZn75!;b3&Bvf*Swd1V*%Rco6$r2MJ+4KnKWMJ%*+PzHb@!|2r;TMH@gVhC}^o{GH9LCiYvonTjor4+VzrZ7-rF4QiFp?8Qjb0bY z+#N*lu?C~rU9g3(gFdS%;S+-fvwP>lB11eQe~O`Y_=X+D|Af_Kr8rL#r?8?EX+V=2 z;QtWjd081(qGkTR;69)(9rzOAaQzVEH$);(#l-EWL-9lB!QQz?F5v|{0VYBmam%v4 zY&XSQw}xFP=+#UYSH<^IX9(AVL$kwPC>m166Ts?2O`yKfET`#l$W0A8tFMHI^rG$t z!Hvdn{*D67sc}r27GFF2$Q6W6?09YhmpS>%jFf+E`RblGxx(8!y!bH>rGASaMnk#P zGIhomMsH)Tc7P5nC_dxA#L3u%uYI_y=&O7eu~>4O)(nsf@#QY-{(cIrx92+5M)@;m zT7hzaL88%r6II;K#0?wd=};Nf*V{Y*lMr*p@!p1$GuLhLiD8nIPq?u`%wXs4-eqc; zYM)n`Me141MB{!cKAKdnoFD*YiRG!^a6nNruinC3Cinh_3g_NNBkE&h@CU|Nio*pW zJlEx3VYLm;8cD)0KEG(}7>diECfQGDjDoLX`r|6^o$O@rMf+Ev7JpSaBC5}d7{uR= z_{i$#M4a^TJ6@Za9cnFn$om^16_zd~!bUB-sOlhItSB-qC-UBw(;yYjs3$TSBHp?T zog8d7Q}&PBYheDQAex>cDf< zQ0=n0C5xUL%9(FaL_*2)`O7zbbW=%mYabccbW6|!|KJ*^^>Cl2jJiI7zwgEMsPF$sDYYZ`HF1 zD?Ju`dpi$T-gJB;v$%8WUwe{bp?wY$44H93dW+Y(n*l!(6+1eL36$_*A_eooq0xbf zSY~dgMu*sl-3H-Gtd3gBT5&Q0rq*9qL+&B>*C#lA=%`1D;ab!?a0GNn*7CWin!Ya` z2iXyQk2IRfxL+5~7dS6{LNDBU=tAuo)mw7htlkV{B1bmULxU!ko}ktjXfz(T1m|o9 z;b4$4ks*MuI48(&y071SOUM~=?qNPBl_g3*!qUAAbm(CV2Ufi!q!rf;?7@^r0-4M; zl(A$PJ&9+a>VGF~F(4DvZ}-o5>Lf7b?d)4FHd7C_QH=BA=#c5{Pz1~K3|69cTY6b~ zu1ilgrq^WB=z4}UjzlhTot3?(?YXjoLK@mAT*1?Iiq!j@*LT`cWx@|{Qjb_p>&3^k zf>+os5k0>Cxum?MSNg5T%nCAdM4uDZG+43NbvF<#yE2jp^$V&SXF{c95Vf`iS%IE{ z&NhdMWzNWFi&mky>gVR?6_`XRW}|sZK04ucup!BvOpKIB!MTK~*5$F?OV37vvgOOv z9EC{yZ>Sv$bAvwaN0N)fN+0TcX03zSw*)Cq0P;|7s$>jFGr2kY11bI0P4m@%mub{; zuquN?{;`hQXf`YGf09N17H-6I(4zb`8RGxR>`&n4;2{3XQXFK>Q~p!W5&dVy{j>gE z{*-xuHTXrKCQSb^s!|TLe}I3JPI&$le{i7xap(O5`_HDq4-4%tklx9}P(d2}k3s%# z(a66dtpAb;!TRUqe*&%vJe)}XDEg1bQh^g2!kqA*V<1lSe>(mzN8*3{i2rqx|7iG$ z=pW!9C+a`I|8?hpf#LkCx85&*fVc^$Tu6VfO#&eoK7=d79~O3kClhLd0T-D0~2MDaQCuyXAm;AQ!r<8cVv}tloBy!kQR5bWK?3Mw-UE=baVG$_mEa(Q#9uN EeQ+t_Gh+qO6M#`ea^%kKZ3|9ihVr{{iE{Y`hx z+`4maS9R4n2e|>2WWm7E0RR9rz}7ff)tCHRLsq&u8&R~lQJC07 zyO~d!9E^DrhFJMYCVG!iH4&~{W-c#&K2oYGGng7A!^c-yky0CYNp#cFM;73Jqy!(+ zPC}z48B$pHAyJYov>yF1dQh<@JQbVlnAp?7zb>E?r6|VV%z>KX`jtQ7XzA~Ylb#&l z<*#0B#m}!zt_v-LQpjNupmwR>!I^r!M^CpxW<)L-ur1gRXOT@3fAdPbHBNtc50hvB zf(5)x+zm5$z`kQY_f=yA7Qk7oXsqv65VX129zD$7fu>Cx#E=?$Ho*AG~MGBMKqf5Z6V_2KRZvYDd)4=BsoQ`3M*#zgnrB#R+b9F zZr-FZD%=vh+xmoVy;;smVOUW9`4|{-%O(8iw<@`X`|nrHdg2y!jQ{|2#wF4t;{nY~ z%{TOI?AN$3-*Uc^JoYa_wndxqRvXs~4ZE_gx}5j8vd~9VZLiAwsHBIeyIJjheZVM+ z`$35AQN1k5*Hc(mIPMiAw&_f5FfMPgZ-5ioo_xACx)pu-)MVBC0AC=DxXIhQc+X#8 z(h%($c|pMaF!lU&%XxU*i=>e`NDo{y5G1lYXK&si?O40OnGEeg5FIAN%x`xz-!XQ! z`Mydp&%`Tnv&6^D)7dh)*3*rMiC5rwyveBH+i$6Yk6?ULJa0L8NdGoDbS+YFy_VgM z7=83u{*-MZmqKDyvEi}C>$@hvEC7E{Tdo<+QeLe%R6blidk}$dZ@s+{_*NI+?)|d%g|UO0|L!2i?{Gb>G;=%) zZ`#BCPhwO2GG1k8+W5#DE?vNvUGx3bX8(3a(TC0Os%GU5)x9y^alGlTFH4FN^X+Ti zE&=||`=0U2-;aOG;_4GvbaDWvh5}7#z1v)(>UZ3^8lR=fW;-3Sq57Ts8ru;P6|c2- zcf3s}52h`|4_gh6z%?U_OlI_Fv+o0ExL7l2IUQ1J*G30lUyXCPzI^c84}3U;F}%E8 zh8^Mi|NOAydHeMela~=mtR?0~ zHI0^?elm@&Y<=y(Pw_WngVf;0ErK+cFex@=Xz?kC^f=_*>rWuTMEJbucr+jL&AE=pf@Tq5$ryXs~z`n_Gh!XhouN*usZIV!-!|YbKJJh0H zhrd-rIEeCC8r--<#Lp1L8jxIL{s?Ou3b-5jx9W=gcv0crYY4tpicd*hd~=`csJkiz zY8%Z8XDngl+)a(bDrRW@1>s}L(t13eMvmc*BZCw}CP1uRRK<)~S-#`!NLfBB0fy^B zH-^vCQ_Dc2Z@we7$k^liq`~tnyXBh1?rkcNeC>n0;r+Bdr~dc)5R%_6vT4y$w6}R` z6o2nXkNkN~>Q%#9F*VOn8buql38ewF?qcuQw@kdyik&!&2|L-DAb8#-2}x1BJ#Nnp zj@9K)|F3hk*cE>A__b6au&?bi#L8rJ&urslvX~ULa!VIB?)xyvpRmK-24$VF zW!JUOYF;d5eZ6IgWnslhKbVZ-M!xJX<-Vq&08e02Fgi^M$tXua-bi-G(dZyNN7qGR zj*xH&atxoQWDnqEgt|?(rmEKVc-&pjB{s*C6Y!;rX<#9>^E@997O2`S$qvhd)XOAi zWP^({H4D#-qbb`|X*>r2d|GP9ZE)A;FB{=v2F9$4>b^Q&F+6sB4mgtDBbd;a|GBE`7SfoP_|e6KWk8mCZ3nxPUQLV#M> z01==rQkZJw(HM+LG6&btAtDlqd<>yuu#hJnHY0S*IUFT%lU;T=P5lFyVvWY3y-~6y z7}=dw)KhA`(fT?{YZKs2TfeG6$Ux=A`Z6Q_*0y5mk>qo%klj!-d`wIq4<^CmN8>s>gd%~j~) zpn*S^ZjUa^MdX|nyTA=6`Q77yW7oReSAlBhT?CuBg0zvk&qJH+{*(89-yL7!=?uj1E!Gr?39{( z8(^YPq3Q<_LF$k|efA0szU+j(LGh#QkrBQ4k&(P|iJ^!t#1KS8y9o>!JNP!b7V0|} zrtja=BEH1Jx1F5T{-_qs547-`KuZT@*(-DIiKt?b#)2E^z2!Oxm)pa3T1eLuR}3YE znjT8~!a`s&qJC(CK|m0J&sSoc^IIAtu!^s|?C4nOjJ=QzSYC8uOmlWxS6B-mWGudh z{{m6XP||mS2pO9?@1Btmpoyhs6bltN3}?-^B-L9kTUIk3*t<)?p2JkQy&$f>euyEf zh2viHv#yz7Zaw!xs&?ENXpMIGepVS?=PtfEtuuyOQ#iEUU+)W>buBD zLWL&RZnw~WH!AV`r{eWevG9e)_h*1tGv<>S{0?5Dm}tARxBIWr0bDy)G|c1WXX(Kf zTc1C9$q4nP?L5U!#jhSGS<1l;6SPm0_~bxChX7F!lL*7~rPo^ufT4r+rv$6@DLJcs zN-BT*6hEbU)lZ4%Z=d8}rV7B&gOm&qMg3f8pg7~+>+A)KrCKeWjVj&S3!!*QttVGX z;o=z-0+%zZ+LA^ieUh|?$knnv$`>3->riNSjT)-SrS^@~B@&p*)zG&y23xAzF_4de zsEH)C@tXp%(xF8};G`*JARi=<=IZ8?52eAyG5h3!@f{wNH22_J{DfnpbE%1Y&(62n zJZ1I2aONMpclw0e|6uZ8c=Zpm|Am0xf4K{e6U=%4Uuej6lAnfB4>Ef{}0Q z9}NBr5C6h=42KD41nP?Xpq4q#gVqrA-^s|@H$!|&aUvb4q_P`nhqx+Xnj)s*c(;0z zu-AExMc@AN!D&f!)t{~@zM*@!X~4t^b(W^&N$bus^MPJBY0cy% z0Goc8xP$+-Oqk-E`0y3u0|yHkK;{?mOL3@W<< zn`0wIVgTbGYzr~%QizO%s{tI+xY84a-It-FF@CXp_%fgWEqY6FOlH~OYuiI)vi;`* z;8tEzt9|~%XZfR=;-ni~oDPGOfK6nVP3IaxW{f^H_>AKT>R zMfh0WZswk`bDe?T`n@BWC`+KtX35LD{*Lo{Q(5KExh;HRF@`|@+#UG9)iz(Ew?2dg@+?7mJREn|#L)u*ztnk6+42^@pG5v`Iq+etgTg> z^EaaZEEW^fkV2vV#s>^QFbxvFAoBo?E=Q-N(H+jX5UxoY6Pv>NtUGucLodS9wTJg} zG`C~mHUprx`Q0(zvt5okw1?$?+Pl(EPdorWnm?>E#8BHYoZ7c4nmev+MLa5h6sY4! zP{bnCnN&}G~dzHHRKs*r}jgZPspnPt)MAR~S~jWi4VcFh;J zi+O9A`lz;jITMk;Yi^?HHNl4|*BELmvaZDHGXFCz1CFj?borjGmw+BIO%b2a+Uw18 zm=NC;Y4ydmWb^2OrUr@#km7&3)XQT9ZX#eu!)4oy8$!Zu1Fy9$s z?^VD(%q!CjSp^z$dS>r>3YG)aq4KOBw9 z`)13-?#-PY+8#h*wxDz}-7lT8ymbOE+qEkJqimQY`^+*Xr)GTHkPfST4-ArRJunIu z$3jx+aH7@%*_tByPv-h-&2kmATTRs$YgRAhySjKsYL2H)aeO=;I0e8ucME0A!+c>X zGx1^h2IJ$$({eDg4O!SEZC$mz8zlyFhX6C2$Ql-FxC6LoRq4kt8S(LDUt3$+Su}&p z$eJ5>eL5)Yf=0WuZn`nr1s&9y#Xdj%375PPSN}82E+DrS;mT`3;AMV*fAe84OlZ9n zC|){g$=O+G_*%qN>NYSlSkS8_6B{i9+T=nhAL33DYi){z#jKhH zOW#dHdq&A}nUzr=7Nqzpit^@?(Z=ef>5dfv-4mg%8-hWizsAH-J_ZYJet!>^2$68(=iVgBuQ*Z2lp@UXyDJNt_gOJw(o72_ z0i0o{s?IoH1VPh4Goo{Taa0cAjPuJJw6K;56r_pC<5nYJIaJNjHY|KaOKn;2*BHb1 zB`iS}2-^5Toe&^hjV;<#VI2#&cGGntr@i=GY0zzWw2#`d4%-(4r9C~1To@Jo6k>;z zw^SYhO>k*Apf&>ae*XE^$kTil;7;v zTdkVHML|)Ay%LRN(71}#i7krgQW2R%iZ(qS#VbSGU@57WTx+2H5r)2_jhN$@{IJ{C zwvs;;DPTZU?5yyx%+u+6>80FMKCzR0Hvb9c1D5 zeA~gCC4|gUhLhzrON2-~*Ch7anWc??)8K+{N@5&bmGR93UF?PZd%f!syFxQO# zd7Y}NWoD^9LjwRp=!v-)#K2@Nn%5x;8 z=yfkrHeD_*DY&YRnI)!~A9G08XL9T;+)yDXS@x@9Jb~OW(!CmEaRj!22D=Z{6+run-1e-p=3FUm zizS8z)!@d;Mpc{4^A)xC*I-(#B_e_L$r4x0eOoo%5@vhgtUuLw5S+nVq-futkZ$;Aw!Y$ zY~uo@@5ad6M!LrM39>?Q!#sNLlmK_Rohr33$)r>scIsZG z39*5_2-A^C;9~8yYTk&o7VdJ!n3&G6)IOgEiw zH1f5Sj!)8xJ>@p%j=z9N>mAhvlQ~tY^lDqDXp%Bb`Zp9&q9%g9w{gJ2o4bo?k0CV# z)kI68Q^s1ih^F8xxy;w+w4U$x5&C? z{l@>}$|E8T)1tfYQ0h^aR(mU7p5f=^ULi}(33-N=jvO;SwIiLjU(r4#GBj1mpxE!5 zb972x`eg@j=rdJ>I>zb{r-;y&Xoh>>{-d3BX}*Mbau@i<6FhDB*acuH4(aE+XpC7a zycprcTOc>(X3VSn8q*7ER(c?#3y+B6hHl}29eK8Lu94VF3Nr^1jEuj7M%5rQe`Sz^ zoOirPSE6nE6I;3^)wz^c{nTA~)X|;DHOYFDdp6CnrMkSZ$Vrg%Y6+u%lo9drQgaT5 zi-tzS^%iJWZosIaU!e+Gaq*-Ew;y+kKQv{2(}2UNX~nZ3(>0RULq>=q&kZ9!#S?&w z8H~zdSLB<8IUCil@*!~*m-@mPAR|ua)#ye>bfoD(HasAq;l;@UrY^8h?$Uwt%e_$V zh_IUKSL;Ou4|_Xcz%z6 z4t)vIRdoEvy?9=*X3UYjo+fyVEGZ^VAlkCDbz@opcEuGFsXdsH)_!lqc@G`37`es!$EtOT49O!?zGrkVZY;7>ws}Fq z@E|bj_fE%xrIIo2J#y9o8m%i?H*Nr{_m4##YWk2o`q>?1Z?PX_!tQCY+|kz9Q#XcP ztEl=@hS>p;k|tHwx|77-NwGVD%%HIjLhL@46z5`w1)@wgv7Y3CDb9qWq}-SB;^bIi z6BblGCIkT>44z`(%XeYWHT&`6lA*GELWMv~|Ka4ATCywfcu{Rw?^aQ!D~woEhsR;d z$9!9Qc%nmL7%YTG@J_5k)<~{(KSfs@gXCP&6m8^8j_px~ejb4$6n&{k1e_}?gu}Xh zDKG&toF|sYilP3SnS}~(Fd~WEc`X6s1@+Z8s}hB*!}b&S$mxIc5;%UA9EmHC>y$yxgG$Iz}*2BF6nZ|PmuBZJ=b zP|4tJ?dVuerLg|g>muqr5BdJAW&e{k^j=ykx$F|XF%5gh@=`Q(!R`an;&~2aXXb+0 zHW8Rlz3~vb_1gk7L4Bl{;j;i4(FQnS3O!L-bRW_IxZ#ARK&goK`40Y}xO%{tU+=fCxHynL`-dN#kG-K3Fg=-q?#U-8L5INGiSZ>1u&cgU=20W} zx9C&FuepQh)<*8fZb9ro|Bn}_K41UbXZ{`Ln*js^u>ZhNyw&G?@Kzzpy@-z@5_Y)O3dNd=Wzib8~FAh-mmS^yfH&X zyIDfDR(v%x4Jh^WEn#(YPo-pTA~mx=Q0m&D!s;5PQbnuqWpy%vWfe zlfvqYE>cCXNM&`4pFPiU&oNKQ*-Ssja*i|y1(7AG0l-e_L+DX9Up#BFgO(#C(d3D& zI5~zPc;Z_;8ep%v((2wGr4;43MM%;YjFDdyiRWe^6p8zsWC^37nIWbu6y|CkDytJ| zb;`UMaw}9M=6d4sQDk_mYLOW*R*B;5UlzDzVz`Tjq*K(q@-&!B7PzcY=GE#|(b8B0 zo(`|>)>|U1&_j>D*yB7LTir`Wy{;bDg8`dICOUVw=z+zr?#<`OSb6}vuB-ZHc$%qc z`!}_`JwzYRxAlX?UdicP-picshWqWE&;iz(9eYK zoR00!Fv$TgNWj5GcH5I3$Q5RXNviK!JN(eqtvlxh-f{d8p7TeXqy^Mb{Giv}6iMtR zwswd9B@pL0zL(d{^*iOkHO*9v>*#L;enB?HOP#~(%`TflNnHEec1gv=Az5D|(>Qp8 zH?L4W9(iHH#Dn!CE6gkIcpQcck&+f^=jjpdI@?t~ra61bLMslFVlp1CQ{D4} zWVmm|$DV$h9yW53$2-yYs!xB0Ak&9t#A55|<_U#}ur7UV_NTyg{43rQd!t=RF#yJd)bi}eeUfSED$mAR?0Vb3=DA}Vp(eksh91bX7dJ^S zY30IYRBU<677)60z8mt0AHTN7r@vNT+OxkYvCl%p9;v;#H2f%-nyYB8kj83I$J?}! zU)mUFQ+JmxA5CT@g#;6419`)>_#;PW06^TOIcR_KFT`kui!1W zs?68DgnD93i&^tW-V#vUK-gRnM5P!)i!NiT15!woKk#hGSvVUa4G3*3B>L5OW5 z{Jd;vP#3ksrXr4O1DVxX%N-iZLac&FN|)c@r^4=$SsLKj0WnGnw*BiPq%q=KH{YoaQs5_Vk9}ru`H}g+BWSB97qI7xdoC-t=7)9 z=Zih^Q4`BUZ|pDMra_z@x|azpl1nWF4(F-%uFNW#@6dBL7CfS+#-<+11e~o+zvylZ z==XFF97svd^7l0E0c*H9h@iUG)$r?6aE~yz9W{b*b=PpVqLtjkCTET~xFNslPXq!i z2w{LuY!W)Go5^5(1<_-FOuV4<)b{khQEa#q5kWs zWKXrL`bYqP)@Q>?WJ1OUy4!(hA(dQd*}7{mbBT)(e;%yNOlE&SiOt!V7&MqG=|NVw zP!>ssJYSt@j#*f?lZO^eW{Si;15`oI(Cl!xYWQb}n&}<=KCpk-CY*u;&u1$9ju{mP zJfR_#4WdWSYFO4z(`Xs1Uq{~^#~sm8o0h&&m? z6_c(g8trVg1|qRp-D;(NWk6Fl(x%(OXeDiOCzFq}%Fq=4t%`3zuc!HS0^rS8DHCwg zq1@71*C8yY-@))x0413$T0(`o#goT|mHHPi>Wp(MTe4 z%HU6V+Jb22g#3FjeTCT;)QtUmz_+WqNdobbIPD|*tWj2cf z!6$66m+<=qt&6kFxFFj=r7G%oI2@~$v&e1Xq)hW>mu3}({tA`Jz*Aaj;~(l)+wZ^# zg>=lY$T%;XTv*Dsn`e$(PamK6z0l^E&T85DWhJvy?x>l8~nwjXw)Azc#ij`hqTN#~l!ItmW zD}X2(79)8dLK2A(?5|A(&uJwNE>&w?J9i!{I$T;3o`>Y%Bq;=8Sf?%$F+apX8`yJn z`3tH}y5}3DjTJ1*oOb%aP8mB(W@jZ=ZL!a!RNb~%zaqIv3yATPU1sMALCY1t6x#Pxu90r2{R>K$$4Ke@@5^S1$^mGx@0hECHS$z)u58 zre)-lqjNlFmFWo=$mdfHFJTN=O-{Y)#F=Lz8)KDj*1-YGL^y|OI8z;_YJ(x#O;KF6 zp#07_1_9O&k8nJ+m7NtckI}fr^c|@~e1~dec+&6XgNvx6{Ka)zv_#nW$yO3eiLh>etY(Cs5XHPFrV_-n36*X15!+ z3SD4N}vrkhQ>y;+czuha~3g<<2uX2<>nu> z9A$I*S&StssG_}#Y)~0>xtQ#2nWZ>G_^nr=R>{9{^Eb@lEmkKgUnvks9f#Kcz*5Jb zMLm*(vKSxBe7y7@oDEg+Y@!qqR|8C5F$E!gZLeDl;iIZN7z1JWgL?#qp@BHBE)7Qo z87O4l+$01;&uw9{q9rDij^RI15=)|F<~V;Wyp07P-neREQ(LCzET1uoG)T{1<8?!? zwi_=Wn>2{wV>M*nUK3sE*Aav2D=qmLv_^e2HR(Z@H5~9ff!?8jqV?mWkJ*pMb1qlk ztIuy&EbYs21qB3db3@mAuL1{}rQbuyBp*;~_{!9afARw(HfBtkXf|?B3`qZAZup?N zJD$NfejIC1U{t3XjLh>$x&;T=>)L~~iHwMFq>%ZqwiGouR<C-)-ci*g6Lh2!ML-~G1;9}=7XVD0FDh`^{ zqf1(RPkIT6i?bix?ZB0e|8O74;ved?)lv>O45Kn__jqY@{Q$pxnT0Q?5l!OoxzB4Yu?!gZ}*gcH$A~CIV}dlig*C%T}?E)s^Aix#H;bQ5JMa=WP;c6eHo5GGaOC0h^ zaWk<*?m8%b83KXH2f>x!avi(6e4D79%kUKgrGVdpl8Ns9`&Ps*I?Zj3(RnxThyR%B zs2FU~%1Gqe(Tl0cTax*PuA^41{2?_$XAc86?%^U?fh|Ho6^n4`gJlqrO8Zg8e71P0 zIOou^Z3ME04)n8`HhP}N6X3PlY;%ZNjeoc@Rtt)9qkb;ys9^rQTCW>UX2qAr$))gm=tkMOg^Cyewm;y~~dE5mgoV9A_!RMe&v z#)(ws?3W!yUwNlpByzaC$v-AyZNKU%oJlYgH=^-F$DHtLUIQP$MNZ{FW~UotXeqkp zRZjukyeQW72IE>qjNzLg)`XC9QEe4YE4F46 ze+b?e;ThI2x3Tq=+_@bNCh`+?4DcpBF3+o@hcp1f zbgCF>12s^|L;pZC)>*y8kyTV8=NU4oMVxCcLC^W7KmsstMlLVUYw)8+qdwiti%S23dPbJ$}EVH(!GoxvLI2~(2{4jjQ7)-(>?20I~hWNH`+jxe`?2=$^t!7@S#@Ga`*W!MA z(@WqA&c=fpSBRQ}ZT913m??7cxMc@RZ`#87@N9ckPDjqsr6wHi9IFpEb~Wlym`~6q zcN?p~*RJPIo<9o9lK1<5A6-KH^L+fI0ih(sU=NArLaYCko9`RUwmEuck8)B6-=1|gN+}1^q%P9{ecKv1zoVN%*(e z(imKwNXSwP1byu5*Q~oW>@f;Eq6&;8S>1r7MHBP+L25Mp*rBO9D`E)){WX`G@yhQw zG05{a0VCUrrH)O-^MOTRrjV}G{%Zxz;u`GOCP*5or|6CcDUS54eA2Jgz|jMJPF|R2 z_n~ixwh;+2LzSFaCD}&I^Yt2h-xrOLM@EA#Ul%K{%HMk0bC4SD zec)*ztO7M<#96%vwSxA6S^cWLlfLs>1y&uOV{7 zFW5ZM8K`v^FWPO1E`!y&*Pi zQ$7Uz+9x&6v>@zn4FIc?p{iljhFyyVyCa#BBAV(8^;|nk!Vg#uPHQHJ8s6r z6es?l$5^0ok^IzJC$`gH7HI0t@}*!?n`@K{ReTb2z;$ZC#EBtX1NFmT;8@MG{3U^~tix3s7$Iz+M&@=OGgp{kTyvHr@1^0uP7s_ACg>=bJ z;Uv6XwPj?Zy`|3~RRb;FEp2O151Dnz+I*Q-Zv;N^wH;mA;(H$mayf6FNm(nH6IfB0 z{0~bT7=v%f3TC<}THV}bVu*0%yh9A6^lx;pKs>>Ev!iVOm_a;K!KcXGLcBk z%*h2>+DfK#6W!Y&fASNTG>uI?URt3y+k}-@Iv?Z1r*sCh}XTuSY{mHc+P%%7gRTOfwzwVZy^UfcAyj(EU6k5?ZnyQ(-b{sKrecc_3wt_ z2GVrDu|A-X>F_4i2=P|6AQypg=A%zQIFnBn8xQO4M^}YEA{pNam z7IY5<_hO&IW0QpZA8wd|~;s@|HWk0AXk;F3c7CTY#i}MRO zI<$CVZB)$FI{40cIQP?*3R@2YA_rvG2d=^om+wiqlHJ_PC&DWG zyH0YY4K$sBmg6=mSb3u^p2B=f^qVg|h3*2e;R^KzUIP_oKfi%>`*D&6NY5AGe$;0Q zfDMYh1v8IEtE)QB?{~&Qb{HIAtK)jnM*kdW$ipC1D@ed%8+KJ_J+U+ds=zbvmc3PU zCjZ`@v-MDmh?Sk4+@85{qdW-eRr&?y9E`z&t-Dnr9L~-QF`TrM8oNy^?=$5Vn)5xI z?jE@B+~jp8z2%rbtsKx2XiOb%dLZ^@UUk>l$-nmg-)K#}IjbrN*yoO#`VKa0kbj=> zxAZ2FfrB3L?>?LVuQ7pK#QFW@;q;HzF?=;@=05D942d zD#?-<&qR?J!v+8E+P^;% 16 + 1 16 audio_audio_mono_1_0 + 1 artix7 digilentinc.com:nexys-a7-100t:part0:1.0 diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0.xml b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0.xml index 2c1ed2ea..24cf1bc7 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0.xml +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0.xml @@ -14,7 +14,7 @@ outputProductCRC - 9:6f41525d + 9:51a3779c @@ -25,7 +25,7 @@ outputProductCRC - 9:6f41525d + 9:51a3779c @@ -41,11 +41,11 @@ GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 22:11:56 UTC 2021 outputProductCRC - 9:6f41525d + 9:51a3779c @@ -57,7 +57,7 @@ outputProductCRC - 9:cf26f928 + 9:c4263ab7 @@ -73,11 +73,11 @@ GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 22:11:56 UTC 2021 outputProductCRC - 9:cf26f928 + 9:c4263ab7 @@ -91,11 +91,11 @@ GENtimestamp - Tue Dec 21 12:19:25 UTC 2021 + Tue Dec 28 23:58:40 UTC 2021 outputProductCRC - 9:6f41525d + 9:51a3779c @@ -159,6 +159,11 @@ Audio Dw 16 + + SHIFT + Shift + 1 + @@ -222,6 +227,11 @@ Audio Dw 16 + + SHIFT + Shift + 1 + Component_Name audio_audio_mono_1_0 diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.v index 5de28625..08fea2d7 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:25 2021 +// Date : Tue Dec 28 23:58:40 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.v @@ -28,9 +28,9 @@ module audio_audio_mono_1_0 wire [15:0]right_in; audio_audio_mono_1_0_audio_mono inst - (.left_in(left_in), + (.left_in(left_in[15:1]), .mono_out(mono_out), - .right_in(right_in)); + .right_in(right_in[15:1])); endmodule (* ORIG_REF_NAME = "audio_mono" *) @@ -39,10 +39,10 @@ module audio_audio_mono_1_0_audio_mono left_in, right_in); output [15:0]mono_out; - input [15:0]left_in; - input [15:0]right_in; + input [14:0]left_in; + input [14:0]right_in; - wire [15:0]left_in; + wire [14:0]left_in; wire [15:0]mono_out; wire \mono_out[0]_INST_0_i_1_n_0 ; wire \mono_out[0]_INST_0_i_2_n_0 ; @@ -52,42 +52,37 @@ module audio_audio_mono_1_0_audio_mono wire \mono_out[0]_INST_0_n_1 ; wire \mono_out[0]_INST_0_n_2 ; wire \mono_out[0]_INST_0_n_3 ; - wire \mono_out[11]_INST_0_i_1_n_0 ; - wire \mono_out[11]_INST_0_i_2_n_0 ; - wire \mono_out[11]_INST_0_i_3_n_0 ; - wire \mono_out[11]_INST_0_i_4_n_0 ; - wire \mono_out[11]_INST_0_i_5_n_0 ; - wire \mono_out[11]_INST_0_n_0 ; - wire \mono_out[11]_INST_0_n_1 ; - wire \mono_out[11]_INST_0_n_2 ; - wire \mono_out[11]_INST_0_n_3 ; - wire \mono_out[3]_INST_0_i_1_n_0 ; - wire \mono_out[3]_INST_0_i_2_n_0 ; - wire \mono_out[3]_INST_0_i_3_n_0 ; - wire \mono_out[3]_INST_0_i_4_n_0 ; - wire \mono_out[3]_INST_0_n_0 ; - wire \mono_out[3]_INST_0_n_1 ; - wire \mono_out[3]_INST_0_n_2 ; - wire \mono_out[3]_INST_0_n_3 ; - wire \mono_out[7]_INST_0_i_1_n_0 ; - wire \mono_out[7]_INST_0_i_2_n_0 ; - wire \mono_out[7]_INST_0_i_3_n_0 ; - wire \mono_out[7]_INST_0_i_4_n_0 ; - wire \mono_out[7]_INST_0_n_0 ; - wire \mono_out[7]_INST_0_n_1 ; - wire \mono_out[7]_INST_0_n_2 ; - wire \mono_out[7]_INST_0_n_3 ; - wire [15:0]right_in; - wire [0:0]\NLW_mono_out[0]_INST_0_O_UNCONNECTED ; - wire [3:0]\NLW_mono_out[15]_INST_0_CO_UNCONNECTED ; - wire [3:1]\NLW_mono_out[15]_INST_0_O_UNCONNECTED ; + wire \mono_out[12]_INST_0_i_1_n_0 ; + wire \mono_out[12]_INST_0_i_2_n_0 ; + wire \mono_out[12]_INST_0_i_3_n_0 ; + wire \mono_out[12]_INST_0_n_2 ; + wire \mono_out[12]_INST_0_n_3 ; + wire \mono_out[4]_INST_0_i_1_n_0 ; + wire \mono_out[4]_INST_0_i_2_n_0 ; + wire \mono_out[4]_INST_0_i_3_n_0 ; + wire \mono_out[4]_INST_0_i_4_n_0 ; + wire \mono_out[4]_INST_0_n_0 ; + wire \mono_out[4]_INST_0_n_1 ; + wire \mono_out[4]_INST_0_n_2 ; + wire \mono_out[4]_INST_0_n_3 ; + wire \mono_out[8]_INST_0_i_1_n_0 ; + wire \mono_out[8]_INST_0_i_2_n_0 ; + wire \mono_out[8]_INST_0_i_3_n_0 ; + wire \mono_out[8]_INST_0_i_4_n_0 ; + wire \mono_out[8]_INST_0_n_0 ; + wire \mono_out[8]_INST_0_n_1 ; + wire \mono_out[8]_INST_0_n_2 ; + wire \mono_out[8]_INST_0_n_3 ; + wire [14:0]right_in; + wire [2:2]\NLW_mono_out[12]_INST_0_CO_UNCONNECTED ; + wire [3:3]\NLW_mono_out[12]_INST_0_O_UNCONNECTED ; CARRY4 \mono_out[0]_INST_0 (.CI(1'b0), .CO({\mono_out[0]_INST_0_n_0 ,\mono_out[0]_INST_0_n_1 ,\mono_out[0]_INST_0_n_2 ,\mono_out[0]_INST_0_n_3 }), .CYINIT(1'b0), .DI(left_in[3:0]), - .O({mono_out[2:0],\NLW_mono_out[0]_INST_0_O_UNCONNECTED [0]}), + .O(mono_out[3:0]), .S({\mono_out[0]_INST_0_i_1_n_0 ,\mono_out[0]_INST_0_i_2_n_0 ,\mono_out[0]_INST_0_i_3_n_0 ,\mono_out[0]_INST_0_i_4_n_0 })); LUT2 #( .INIT(4'h6)) @@ -113,111 +108,93 @@ module audio_audio_mono_1_0_audio_mono (.I0(left_in[0]), .I1(right_in[0]), .O(\mono_out[0]_INST_0_i_4_n_0 )); - CARRY4 \mono_out[11]_INST_0 - (.CI(\mono_out[7]_INST_0_n_0 ), - .CO({\mono_out[11]_INST_0_n_0 ,\mono_out[11]_INST_0_n_1 ,\mono_out[11]_INST_0_n_2 ,\mono_out[11]_INST_0_n_3 }), + CARRY4 \mono_out[12]_INST_0 + (.CI(\mono_out[8]_INST_0_n_0 ), + .CO({mono_out[15],\NLW_mono_out[12]_INST_0_CO_UNCONNECTED [2],\mono_out[12]_INST_0_n_2 ,\mono_out[12]_INST_0_n_3 }), .CYINIT(1'b0), - .DI({\mono_out[11]_INST_0_i_1_n_0 ,left_in[14:12]}), - .O(mono_out[14:11]), - .S({\mono_out[11]_INST_0_i_2_n_0 ,\mono_out[11]_INST_0_i_3_n_0 ,\mono_out[11]_INST_0_i_4_n_0 ,\mono_out[11]_INST_0_i_5_n_0 })); - LUT1 #( - .INIT(2'h1)) - \mono_out[11]_INST_0_i_1 - (.I0(left_in[15]), - .O(\mono_out[11]_INST_0_i_1_n_0 )); + .DI({1'b0,left_in[14:12]}), + .O({\NLW_mono_out[12]_INST_0_O_UNCONNECTED [3],mono_out[14:12]}), + .S({1'b1,\mono_out[12]_INST_0_i_1_n_0 ,\mono_out[12]_INST_0_i_2_n_0 ,\mono_out[12]_INST_0_i_3_n_0 })); LUT2 #( .INIT(4'h6)) - \mono_out[11]_INST_0_i_2 - (.I0(left_in[15]), - .I1(right_in[15]), - .O(\mono_out[11]_INST_0_i_2_n_0 )); - LUT2 #( - .INIT(4'h6)) - \mono_out[11]_INST_0_i_3 + \mono_out[12]_INST_0_i_1 (.I0(left_in[14]), .I1(right_in[14]), - .O(\mono_out[11]_INST_0_i_3_n_0 )); + .O(\mono_out[12]_INST_0_i_1_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[11]_INST_0_i_4 + \mono_out[12]_INST_0_i_2 (.I0(left_in[13]), .I1(right_in[13]), - .O(\mono_out[11]_INST_0_i_4_n_0 )); + .O(\mono_out[12]_INST_0_i_2_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[11]_INST_0_i_5 + \mono_out[12]_INST_0_i_3 (.I0(left_in[12]), .I1(right_in[12]), - .O(\mono_out[11]_INST_0_i_5_n_0 )); - CARRY4 \mono_out[15]_INST_0 - (.CI(\mono_out[11]_INST_0_n_0 ), - .CO(\NLW_mono_out[15]_INST_0_CO_UNCONNECTED [3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\NLW_mono_out[15]_INST_0_O_UNCONNECTED [3:1],mono_out[15]}), - .S({1'b0,1'b0,1'b0,1'b1})); - CARRY4 \mono_out[3]_INST_0 + .O(\mono_out[12]_INST_0_i_3_n_0 )); + CARRY4 \mono_out[4]_INST_0 (.CI(\mono_out[0]_INST_0_n_0 ), - .CO({\mono_out[3]_INST_0_n_0 ,\mono_out[3]_INST_0_n_1 ,\mono_out[3]_INST_0_n_2 ,\mono_out[3]_INST_0_n_3 }), + .CO({\mono_out[4]_INST_0_n_0 ,\mono_out[4]_INST_0_n_1 ,\mono_out[4]_INST_0_n_2 ,\mono_out[4]_INST_0_n_3 }), .CYINIT(1'b0), .DI(left_in[7:4]), - .O(mono_out[6:3]), - .S({\mono_out[3]_INST_0_i_1_n_0 ,\mono_out[3]_INST_0_i_2_n_0 ,\mono_out[3]_INST_0_i_3_n_0 ,\mono_out[3]_INST_0_i_4_n_0 })); + .O(mono_out[7:4]), + .S({\mono_out[4]_INST_0_i_1_n_0 ,\mono_out[4]_INST_0_i_2_n_0 ,\mono_out[4]_INST_0_i_3_n_0 ,\mono_out[4]_INST_0_i_4_n_0 })); LUT2 #( .INIT(4'h6)) - \mono_out[3]_INST_0_i_1 + \mono_out[4]_INST_0_i_1 (.I0(left_in[7]), .I1(right_in[7]), - .O(\mono_out[3]_INST_0_i_1_n_0 )); + .O(\mono_out[4]_INST_0_i_1_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[3]_INST_0_i_2 + \mono_out[4]_INST_0_i_2 (.I0(left_in[6]), .I1(right_in[6]), - .O(\mono_out[3]_INST_0_i_2_n_0 )); + .O(\mono_out[4]_INST_0_i_2_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[3]_INST_0_i_3 + \mono_out[4]_INST_0_i_3 (.I0(left_in[5]), .I1(right_in[5]), - .O(\mono_out[3]_INST_0_i_3_n_0 )); + .O(\mono_out[4]_INST_0_i_3_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[3]_INST_0_i_4 + \mono_out[4]_INST_0_i_4 (.I0(left_in[4]), .I1(right_in[4]), - .O(\mono_out[3]_INST_0_i_4_n_0 )); - CARRY4 \mono_out[7]_INST_0 - (.CI(\mono_out[3]_INST_0_n_0 ), - .CO({\mono_out[7]_INST_0_n_0 ,\mono_out[7]_INST_0_n_1 ,\mono_out[7]_INST_0_n_2 ,\mono_out[7]_INST_0_n_3 }), + .O(\mono_out[4]_INST_0_i_4_n_0 )); + CARRY4 \mono_out[8]_INST_0 + (.CI(\mono_out[4]_INST_0_n_0 ), + .CO({\mono_out[8]_INST_0_n_0 ,\mono_out[8]_INST_0_n_1 ,\mono_out[8]_INST_0_n_2 ,\mono_out[8]_INST_0_n_3 }), .CYINIT(1'b0), .DI(left_in[11:8]), - .O(mono_out[10:7]), - .S({\mono_out[7]_INST_0_i_1_n_0 ,\mono_out[7]_INST_0_i_2_n_0 ,\mono_out[7]_INST_0_i_3_n_0 ,\mono_out[7]_INST_0_i_4_n_0 })); + .O(mono_out[11:8]), + .S({\mono_out[8]_INST_0_i_1_n_0 ,\mono_out[8]_INST_0_i_2_n_0 ,\mono_out[8]_INST_0_i_3_n_0 ,\mono_out[8]_INST_0_i_4_n_0 })); LUT2 #( .INIT(4'h6)) - \mono_out[7]_INST_0_i_1 + \mono_out[8]_INST_0_i_1 (.I0(left_in[11]), .I1(right_in[11]), - .O(\mono_out[7]_INST_0_i_1_n_0 )); + .O(\mono_out[8]_INST_0_i_1_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[7]_INST_0_i_2 + \mono_out[8]_INST_0_i_2 (.I0(left_in[10]), .I1(right_in[10]), - .O(\mono_out[7]_INST_0_i_2_n_0 )); + .O(\mono_out[8]_INST_0_i_2_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[7]_INST_0_i_3 + \mono_out[8]_INST_0_i_3 (.I0(left_in[9]), .I1(right_in[9]), - .O(\mono_out[7]_INST_0_i_3_n_0 )); + .O(\mono_out[8]_INST_0_i_3_n_0 )); LUT2 #( .INIT(4'h6)) - \mono_out[7]_INST_0_i_4 + \mono_out[8]_INST_0_i_4 (.I0(left_in[8]), .I1(right_in[8]), - .O(\mono_out[7]_INST_0_i_4_n_0 )); + .O(\mono_out[8]_INST_0_i_4_n_0 )); endmodule `ifndef GLBL `define GLBL diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.vhdl index 36c8e1b8..4526ea8b 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:25 2021 +-- Date : Tue Dec 28 23:58:40 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_sim_netlist.vhdl @@ -17,8 +17,8 @@ use UNISIM.VCOMPONENTS.ALL; entity audio_audio_mono_1_0_audio_mono is port ( mono_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); - left_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); - right_in : in STD_LOGIC_VECTOR ( 15 downto 0 ) + left_in : in STD_LOGIC_VECTOR ( 14 downto 0 ); + right_in : in STD_LOGIC_VECTOR ( 14 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of audio_audio_mono_1_0_audio_mono : entity is "audio_mono"; @@ -33,34 +33,29 @@ architecture STRUCTURE of audio_audio_mono_1_0_audio_mono is signal \mono_out[0]_INST_0_n_1\ : STD_LOGIC; signal \mono_out[0]_INST_0_n_2\ : STD_LOGIC; signal \mono_out[0]_INST_0_n_3\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_1_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_2_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_3_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_4_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_i_5_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_n_0\ : STD_LOGIC; - signal \mono_out[11]_INST_0_n_1\ : STD_LOGIC; - signal \mono_out[11]_INST_0_n_2\ : STD_LOGIC; - signal \mono_out[11]_INST_0_n_3\ : STD_LOGIC; - signal \mono_out[3]_INST_0_i_1_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_i_2_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_i_3_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_i_4_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_n_0\ : STD_LOGIC; - signal \mono_out[3]_INST_0_n_1\ : STD_LOGIC; - signal \mono_out[3]_INST_0_n_2\ : STD_LOGIC; - signal \mono_out[3]_INST_0_n_3\ : STD_LOGIC; - signal \mono_out[7]_INST_0_i_1_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_i_2_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_i_3_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_i_4_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_n_0\ : STD_LOGIC; - signal \mono_out[7]_INST_0_n_1\ : STD_LOGIC; - signal \mono_out[7]_INST_0_n_2\ : STD_LOGIC; - signal \mono_out[7]_INST_0_n_3\ : STD_LOGIC; - signal \NLW_mono_out[0]_INST_0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \NLW_mono_out[15]_INST_0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_mono_out[15]_INST_0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \mono_out[12]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \mono_out[12]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \mono_out[12]_INST_0_i_3_n_0\ : STD_LOGIC; + signal \mono_out[12]_INST_0_n_2\ : STD_LOGIC; + signal \mono_out[12]_INST_0_n_3\ : STD_LOGIC; + signal \mono_out[4]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_i_3_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_i_4_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_n_0\ : STD_LOGIC; + signal \mono_out[4]_INST_0_n_1\ : STD_LOGIC; + signal \mono_out[4]_INST_0_n_2\ : STD_LOGIC; + signal \mono_out[4]_INST_0_n_3\ : STD_LOGIC; + signal \mono_out[8]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_i_3_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_i_4_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_n_0\ : STD_LOGIC; + signal \mono_out[8]_INST_0_n_1\ : STD_LOGIC; + signal \mono_out[8]_INST_0_n_2\ : STD_LOGIC; + signal \mono_out[8]_INST_0_n_3\ : STD_LOGIC; + signal \NLW_mono_out[12]_INST_0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 to 2 ); + signal \NLW_mono_out[12]_INST_0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin \mono_out[0]_INST_0\: unisim.vcomponents.CARRY4 port map ( @@ -71,8 +66,7 @@ begin CO(0) => \mono_out[0]_INST_0_n_3\, CYINIT => '0', DI(3 downto 0) => left_in(3 downto 0), - O(3 downto 1) => mono_out(2 downto 0), - O(0) => \NLW_mono_out[0]_INST_0_O_UNCONNECTED\(0), + O(3 downto 0) => mono_out(3 downto 0), S(3) => \mono_out[0]_INST_0_i_1_n_0\, S(2) => \mono_out[0]_INST_0_i_2_n_0\, S(1) => \mono_out[0]_INST_0_i_3_n_0\, @@ -114,177 +108,151 @@ begin I1 => right_in(0), O => \mono_out[0]_INST_0_i_4_n_0\ ); -\mono_out[11]_INST_0\: unisim.vcomponents.CARRY4 +\mono_out[12]_INST_0\: unisim.vcomponents.CARRY4 port map ( - CI => \mono_out[7]_INST_0_n_0\, - CO(3) => \mono_out[11]_INST_0_n_0\, - CO(2) => \mono_out[11]_INST_0_n_1\, - CO(1) => \mono_out[11]_INST_0_n_2\, - CO(0) => \mono_out[11]_INST_0_n_3\, + CI => \mono_out[8]_INST_0_n_0\, + CO(3) => mono_out(15), + CO(2) => \NLW_mono_out[12]_INST_0_CO_UNCONNECTED\(2), + CO(1) => \mono_out[12]_INST_0_n_2\, + CO(0) => \mono_out[12]_INST_0_n_3\, CYINIT => '0', - DI(3) => \mono_out[11]_INST_0_i_1_n_0\, + DI(3) => '0', DI(2 downto 0) => left_in(14 downto 12), - O(3 downto 0) => mono_out(14 downto 11), - S(3) => \mono_out[11]_INST_0_i_2_n_0\, - S(2) => \mono_out[11]_INST_0_i_3_n_0\, - S(1) => \mono_out[11]_INST_0_i_4_n_0\, - S(0) => \mono_out[11]_INST_0_i_5_n_0\ + O(3) => \NLW_mono_out[12]_INST_0_O_UNCONNECTED\(3), + O(2 downto 0) => mono_out(14 downto 12), + S(3) => '1', + S(2) => \mono_out[12]_INST_0_i_1_n_0\, + S(1) => \mono_out[12]_INST_0_i_2_n_0\, + S(0) => \mono_out[12]_INST_0_i_3_n_0\ ); -\mono_out[11]_INST_0_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => left_in(15), - O => \mono_out[11]_INST_0_i_1_n_0\ - ); -\mono_out[11]_INST_0_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => left_in(15), - I1 => right_in(15), - O => \mono_out[11]_INST_0_i_2_n_0\ - ); -\mono_out[11]_INST_0_i_3\: unisim.vcomponents.LUT2 +\mono_out[12]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(14), I1 => right_in(14), - O => \mono_out[11]_INST_0_i_3_n_0\ + O => \mono_out[12]_INST_0_i_1_n_0\ ); -\mono_out[11]_INST_0_i_4\: unisim.vcomponents.LUT2 +\mono_out[12]_INST_0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(13), I1 => right_in(13), - O => \mono_out[11]_INST_0_i_4_n_0\ + O => \mono_out[12]_INST_0_i_2_n_0\ ); -\mono_out[11]_INST_0_i_5\: unisim.vcomponents.LUT2 +\mono_out[12]_INST_0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(12), I1 => right_in(12), - O => \mono_out[11]_INST_0_i_5_n_0\ - ); -\mono_out[15]_INST_0\: unisim.vcomponents.CARRY4 - port map ( - CI => \mono_out[11]_INST_0_n_0\, - CO(3 downto 0) => \NLW_mono_out[15]_INST_0_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_mono_out[15]_INST_0_O_UNCONNECTED\(3 downto 1), - O(0) => mono_out(15), - S(3 downto 0) => B"0001" + O => \mono_out[12]_INST_0_i_3_n_0\ ); -\mono_out[3]_INST_0\: unisim.vcomponents.CARRY4 +\mono_out[4]_INST_0\: unisim.vcomponents.CARRY4 port map ( CI => \mono_out[0]_INST_0_n_0\, - CO(3) => \mono_out[3]_INST_0_n_0\, - CO(2) => \mono_out[3]_INST_0_n_1\, - CO(1) => \mono_out[3]_INST_0_n_2\, - CO(0) => \mono_out[3]_INST_0_n_3\, + CO(3) => \mono_out[4]_INST_0_n_0\, + CO(2) => \mono_out[4]_INST_0_n_1\, + CO(1) => \mono_out[4]_INST_0_n_2\, + CO(0) => \mono_out[4]_INST_0_n_3\, CYINIT => '0', DI(3 downto 0) => left_in(7 downto 4), - O(3 downto 0) => mono_out(6 downto 3), - S(3) => \mono_out[3]_INST_0_i_1_n_0\, - S(2) => \mono_out[3]_INST_0_i_2_n_0\, - S(1) => \mono_out[3]_INST_0_i_3_n_0\, - S(0) => \mono_out[3]_INST_0_i_4_n_0\ + O(3 downto 0) => mono_out(7 downto 4), + S(3) => \mono_out[4]_INST_0_i_1_n_0\, + S(2) => \mono_out[4]_INST_0_i_2_n_0\, + S(1) => \mono_out[4]_INST_0_i_3_n_0\, + S(0) => \mono_out[4]_INST_0_i_4_n_0\ ); -\mono_out[3]_INST_0_i_1\: unisim.vcomponents.LUT2 +\mono_out[4]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(7), I1 => right_in(7), - O => \mono_out[3]_INST_0_i_1_n_0\ + O => \mono_out[4]_INST_0_i_1_n_0\ ); -\mono_out[3]_INST_0_i_2\: unisim.vcomponents.LUT2 +\mono_out[4]_INST_0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(6), I1 => right_in(6), - O => \mono_out[3]_INST_0_i_2_n_0\ + O => \mono_out[4]_INST_0_i_2_n_0\ ); -\mono_out[3]_INST_0_i_3\: unisim.vcomponents.LUT2 +\mono_out[4]_INST_0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(5), I1 => right_in(5), - O => \mono_out[3]_INST_0_i_3_n_0\ + O => \mono_out[4]_INST_0_i_3_n_0\ ); -\mono_out[3]_INST_0_i_4\: unisim.vcomponents.LUT2 +\mono_out[4]_INST_0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(4), I1 => right_in(4), - O => \mono_out[3]_INST_0_i_4_n_0\ + O => \mono_out[4]_INST_0_i_4_n_0\ ); -\mono_out[7]_INST_0\: unisim.vcomponents.CARRY4 +\mono_out[8]_INST_0\: unisim.vcomponents.CARRY4 port map ( - CI => \mono_out[3]_INST_0_n_0\, - CO(3) => \mono_out[7]_INST_0_n_0\, - CO(2) => \mono_out[7]_INST_0_n_1\, - CO(1) => \mono_out[7]_INST_0_n_2\, - CO(0) => \mono_out[7]_INST_0_n_3\, + CI => \mono_out[4]_INST_0_n_0\, + CO(3) => \mono_out[8]_INST_0_n_0\, + CO(2) => \mono_out[8]_INST_0_n_1\, + CO(1) => \mono_out[8]_INST_0_n_2\, + CO(0) => \mono_out[8]_INST_0_n_3\, CYINIT => '0', DI(3 downto 0) => left_in(11 downto 8), - O(3 downto 0) => mono_out(10 downto 7), - S(3) => \mono_out[7]_INST_0_i_1_n_0\, - S(2) => \mono_out[7]_INST_0_i_2_n_0\, - S(1) => \mono_out[7]_INST_0_i_3_n_0\, - S(0) => \mono_out[7]_INST_0_i_4_n_0\ + O(3 downto 0) => mono_out(11 downto 8), + S(3) => \mono_out[8]_INST_0_i_1_n_0\, + S(2) => \mono_out[8]_INST_0_i_2_n_0\, + S(1) => \mono_out[8]_INST_0_i_3_n_0\, + S(0) => \mono_out[8]_INST_0_i_4_n_0\ ); -\mono_out[7]_INST_0_i_1\: unisim.vcomponents.LUT2 +\mono_out[8]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(11), I1 => right_in(11), - O => \mono_out[7]_INST_0_i_1_n_0\ + O => \mono_out[8]_INST_0_i_1_n_0\ ); -\mono_out[7]_INST_0_i_2\: unisim.vcomponents.LUT2 +\mono_out[8]_INST_0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(10), I1 => right_in(10), - O => \mono_out[7]_INST_0_i_2_n_0\ + O => \mono_out[8]_INST_0_i_2_n_0\ ); -\mono_out[7]_INST_0_i_3\: unisim.vcomponents.LUT2 +\mono_out[8]_INST_0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(9), I1 => right_in(9), - O => \mono_out[7]_INST_0_i_3_n_0\ + O => \mono_out[8]_INST_0_i_3_n_0\ ); -\mono_out[7]_INST_0_i_4\: unisim.vcomponents.LUT2 +\mono_out[8]_INST_0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => left_in(8), I1 => right_in(8), - O => \mono_out[7]_INST_0_i_4_n_0\ + O => \mono_out[8]_INST_0_i_4_n_0\ ); end STRUCTURE; library IEEE; @@ -313,8 +281,8 @@ architecture STRUCTURE of audio_audio_mono_1_0 is begin inst: entity work.audio_audio_mono_1_0_audio_mono port map ( - left_in(15 downto 0) => left_in(15 downto 0), + left_in(14 downto 0) => left_in(15 downto 1), mono_out(15 downto 0) => mono_out(15 downto 0), - right_in(15 downto 0) => right_in(15 downto 0) + right_in(14 downto 0) => right_in(15 downto 1) ); end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.v index 271a0f9e..b0983f26 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:25 2021 +// Date : Tue Dec 28 23:58:40 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.vhdl index 65f43ec5..0c1826ae 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:25 2021 +-- Date : Tue Dec 28 23:58:40 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/audio_audio_mono_1_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/sim/audio_audio_mono_1_0.v b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/sim/audio_audio_mono_1_0.v index dd92142c..4497f2bd 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/sim/audio_audio_mono_1_0.v +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/sim/audio_audio_mono_1_0.v @@ -65,7 +65,8 @@ input wire [15 : 0] right_in; output wire [15 : 0] mono_out; audio_mono #( - .AUDIO_DW(16) + .AUDIO_DW(16), + .SHIFT(1) ) inst ( .left_in(left_in), .right_in(right_in), diff --git a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/synth/audio_audio_mono_1_0.v b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/synth/audio_audio_mono_1_0.v index 767e0df5..76482068 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/synth/audio_audio_mono_1_0.v +++ b/srcs/sources/bd/audio/ip/audio_audio_mono_1_0/synth/audio_audio_mono_1_0.v @@ -52,7 +52,7 @@ (* X_CORE_INFO = "audio_mono,Vivado 2021.2" *) (* CHECK_LICENSE_TYPE = "audio_audio_mono_1_0,audio_mono,{}" *) -(* CORE_GENERATION_INFO = "audio_audio_mono_1_0,audio_mono,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_mono,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16}" *) +(* CORE_GENERATION_INFO = "audio_audio_mono_1_0,audio_mono,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_mono,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16,SHIFT=1}" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module audio_audio_mono_1_0 ( @@ -66,7 +66,8 @@ input wire [15 : 0] right_in; output wire [15 : 0] mono_out; audio_mono #( - .AUDIO_DW(16) + .AUDIO_DW(16), + .SHIFT(1) ) inst ( .left_in(left_in), .right_in(right_in), diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0.dcp deleted file mode 100644 index 5ad75fc7f38028007a4d8da6eaab105e403cdfb9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12267 zcma)?19TNhY>!+qN~aZQHhOo+J}bII)vSUgo>+t-J25b^qV%v-ase z`*i)*?&|K^wW~^A3KR?t2nYxY2+J^8X|U0c0sssIWDgDm1o_!(Y-G>iZeyLCBxAS7 zgcLeESzG4_;ET)fmlPBbL7^%q5+rUqA7`mo>VkJo;QctX91yI1k!(%fX&i zC*V^ZMaweRW$GUl9St7YFJgB@VDp^?+ehhoTY7;|XJ)vm2ac9FTO9l0DTVpGk<14h z5F6`k?cDk3Q@O}~!SLeUsa;ShQJ$%$pGrqAuk^VsM_Gt018t7P_!>l z^(a&$ETGecWZ2z+QW}g)$9WMX_tNVZU!q#pLZX#D84G%-)Bsq=FAZ7L6v+(I@T7SY@E@hl znv%QVuIXehuqs6rq*NwxT!B)WK1nwU1~hw*bq8`ui+EC!?@5>!UxrzbpB1tzlWt#d zh`yMmB1{X}Ve{t8G&M(;1S$|uc6(G%(uxn&L|k|w@xz_ob9(GDe874Ul@oXzeHpur zM}3;N#$?~e7Q3$YG8HlG#$#R0sW!9O^@E8U*Q;u!B&2&ItHS*{|1H7`@= z6D}jg%e&3p<;@!wnK6WT5!ZSfG=`p=nYIaD89Y>Ts3``;t`QB1Vi!au%+V0H4A8?* zglv%X^CO*&RXDHhmQmF$Zq*W-w%H@^{Do}EKO&eA7bij1pP^0u!!yWwas+Ba+B3|K? z-}S6d=J4~w>sghatMU?C{Dp3Etxd;`uhZ-jU6jrjQDPVcSNlZT1tWu_`!-!`1a^fw zT|^uES|4dVzqr=I11G{pH;$OvYkNL+1JV`b!<|An$wP=eq}fw&P@?lzkU4GeHb->d zqAq^>t3s~`qVOV}`rb9{tBb`A`(RZU9I{%5cHYxR%$ipcqZi~H5R}Z2RHEbP&DtnP z=Wv4g5XgwK9w|2oy;2axQjv|*tWoS?*0N(ykIpRfR*Rg06AybG(L(|B3i$Acp)(3f z2#1XO?`3O`QdgbwyX8mgBa^ct8W7%Pvzz*QVM@3YGeI>|fB~d02zi<-sS1s~RjDW1 zuOpWq$vxG0Ifqs_oZV$QgF1%+b9Z}dh5kk;o>qZx=3-{luW#^f{F=R-now?9Fm8to zCiKJep+n=WFRE#Mv5)hSn-?t~FmGRbVkq^t>RGYdtrvbvXxWIy5{GQ+yA3TAm|ygx zjHNbFw4BF;RD$0o0P6f}jPLe2H)WT`M!C5P>aJ<3sB)v4<#d+cUid1X z_*b4hZ~hiSmtk;>uyIaptJG<@4qU51OV-i7GQ!pR?W#~AUv-7ZzysBbkLW@xf_O@- z{ebj-LcIRXb^Y5z=CypS;$0qrWh&p&|8!;$7VP zN$T6;#|h#1*PsMH2)mK5*?$mb>Nm4jA1hy%#HksBa7bvsO-^Bc#017YRevd{IgG4A zkUJ?#059W3AL<&pNqV(4==F#-zV0>FrC8KPO&Qupa!{*Knq}%ZL_cN%(`nJG38(=T zG%G!}hU&0x)dbCELpm+6Dm?}uIj`&1LwvyvtVt|56PPpMX~y{@z$vmCk~jGv!9F+c zVp(ujeC+voB7;b*EfnyoT>m}sP6K@)!6p!hZCoI(K%dE5736A|KW@oRD3U!k_i6t< z(au#46;n9%jdDE!VH7n>g}*}xu!esd$mKXl&>D$c;^}q38p!1dNYE3BoZ{z?Cy+~M z&>$>QIpohDSfH2GpjO;Lxw`4Uijr75xQj6s;GCP|Oz+A=*uDwT7oAWxBMCqlA`cOT z^JTyXD~f(Qlf;JKpfO=1D@$VDp=g)P*QT0qily1PM&z+J`+763Mhawj%vCS)=KlDK z>Q=!d!wSy2h$SfyM=a_VDJN!4;wM5Xls;AUY-qN=98+j- zy7&q6V}}xGIW{u#HAC!Mvg7%?p0_xgd-ALz;FoVJXBoznlx5}Gm3UY_pyp$_u(la~ zvh&6ZF`_}pckgbGc<=YP(jgL!USdq?8t6iDn4*eP-vwevOOqfq+TlIP6Y;3XdP4sV z_4)JBUcul*MzUx)`q@%r^+QB_Q8_56x$HPZm}o)?2V5REDQ*_7M20n%fRhjh*Ovsg zda)s3py}teojdUb<9?vi6LsLa3t&ZsMWjy!m#}gP(a;!Hc5?IHrp@dim6w$v2AUBp zV&jpbqcdl4g#9_jCqq~1rve)xYL-igtk6dTF^qc=lMqp1f&pe0|J_(rK!X+Hk5%+; zps0WzCnNx5l}qtQ;DAX`Zyk!_-e}Sk*6xb(!#F2CyJaw|QaucB^NK14g6 z&WG4SQ#AMwU zzS;ZZ#;3@t?zkzq4lFqx0H@kiapKu`J<-NZWW&C;!ER1T+qNv3kBb~`aNq}5{CC@& z4_*f*MsQ97p2YQ4Kg~NnYmITkPV0^A-r^6T@gMECdjIJyDU7lgDt&rMF`td#AH5|D zTO&u<@u}%4saQ7&I*BN^Nyf;>DJcfYX_;wSi7AK4aYjZddX^Jcx1o5B?2Urf-9EY-3$@8zM7ii zr>Y5d!6Ej6+K=Pg^{;ERu2Z;ff<2qA-b{HP@oeYxTA)artB(EhZTxXFa0`8yToABg zf@MLFEzkJzh^DL&1b?5P!1A4Z3!LV~_}sH&Cx!rWiV^|w ze#^Jp2oa&<^%q~KlHHw`$Xd`N@Z`|_hCV)LEQ>%Gkn(^RCz$1(Y{#gVPv=%8C#yar zkm>FSeSZvYYTg8AD8S2-(=)r!^J}FFWFC$CN2q*tkm{^$&eBG-Ypp|CfK`5TLV#Zz zL5$4yyMG5V276gTCEew0Woc>DaUwa5n zU?{n=i$RH1G;tKapLC(0PH>*B^q3gxJgauL+fn}7kFvALQyQs06Z|=!p2|$~y*1V3 znwJf#xaznqVucRW&&?^X%1a`EMkNKk%!5jem8-vD%0wNz8T>Y6rku=MxVXS%B`1@e z+;hOn3VVfDS8Wn_#Jmvq6;s5cT3Z5SMH8oPvK;|!oguDzk8(9=nv|z^XJ?ekY!5)f zwQG+dp--xGkd*zK&OvLQxt|l(@79`W<-# zNGtQIl}c@3Sw#H%7$N=z?FFO17j~{Jg9Bj)>nUAEeePnq%d$6SZK;G3phsoFSji6Z zkWO0ztf5bY@{W{ia!zx-Pxi(EZDYHn+^Eqlel$S+Jg^#Jy(ChGCvZL}N`S!0YeUhS z{8odhAxu(=$wWofR8oAEW4gM51sqw+_c7cAcnvDtjA!X~NE*$_M4I$sGNP`Kv%tD~ zDk+Z49@;IL8upN;?Wv~VJf|tfOfgAy#EwD)hmb&AVc3k81$~I0EWzko)|6@4=1N14 zTQPd?dwjWVguu!KSfEL!Jw9Xmvwg!kxVJje(8)G$zouj z2a4zxHB(E~k%cIm0&RFdKPLy4AU7OesnHr*s?@N<`pj(s@pwXU5)ntt&D$}i17Srq z8BF{7^RM^^mxa*=j;x#TrI7s^X&=840OHxM%iYMK2{IH2AYn?m5DD&FW;^iCt%Q&} zyu(aD-u@ghqgg0w=Jk0Dp)C`0_*ep96d@XkAWf_o^6WE%%?qb zFyqy#T2I7HLc(oJP321DB?jVRQB{iV`j$`MoMV-SpTZp>x0xeDN!G&mlJWuwb)L!| zsxU!NyoW&^t-2ysbVkZa*Ms*SZ~UtAAvG>-awW^)LHr{4AJeZQoJW?bJ1>X`9!Ov; zL*J6x9pMHw`=uec+DhHVnnVJbQciotj)CD^D1l2!Df)0v2|~0ZgVhN5?8Ec64ZF z*YuHDFEW;^af4QoqCkszT3WK)>bx2eWi{iJiiQgEEaQxbG6Ye-n;HJ`m*Is_*6ASl zTs0ei8eYPGoCloD4eU*#)pVk8)zEX!wwhx{30_}3-B@um_TGzRHi~q3GNVClj@_+m zWvpjiO|#BRk_;NHI$)&|Ok2O^3t& z=XuI{0PQc$P0VY0EVEgBezw1^m!}m?I(U^>R#v4zY?Ef-IUtU5aH=+Y&4d+_x}Ws8 znIcnfV7d8KlSn5$xS3R89Op!)AqngL?4-s3NYJ1IpA3Xejk|hON z7B88`NPK|j<-5d52wylBI?B|TGCIWotMZxwvD*hyk-jXbx23ay0p+-3OqFan= z<{4Nl;Q8ORkSUnwJV4EsUZy)(k6JJq>b?i;{L}{f0@~$;fr3_|zS7!6aN=ahIr(C= ztKc82PyKeRP;X;l4=Z&`k6H%gHFpt4gay-DU>fyAHHqMLkT@LQptz#C>@M5)0YqMv|4HW9b9nyvI; z?2%LR?~5K>WED&IMf8Y`AUymf_E?3__9N&JC|SVqIhdzHexP;GZB&Sj(n9i;eEBZB zdU~DCU6%%MjQWBDo^@1b3w9L`bkoBSIGuXo=~`&v8Uvk5!o@7hFQ)yz)@OT+OVUGk zcO5_Yin~8C-~jC&=fM?_eIWLod~6V8a)(Ns2=qJMa!XgpGe!@cEX%U3Xg&Xg0oqw9 z$e5A*E^~@7G4fvNzFoCwZ4N|iw9AWaV|wUpxI(RzL4?Whn3Hy=jUiL1`Usn~7Fx@e z)F2D4R-LsIGd!(z)rOwA>LOEI;h@D+6&}7s|GxE5JrB0J33cP*k&di^O!%#uQMD1a zEe!vt=+$sq$SkWs3vZ{qYeO9YNEHARL|$<61Zawg3)P<{un`2W3Mzn7$RFegqz|0q zPXm>AQFc!t2R}C5rOp-mMaN6ucOHf0O94jZ%@2g0^)ABf<64p#V5T97P>JI$;fSQtj&fSp6sSJ4K6S;uZb?jAJ_Si|P}Dfzt7hk>n3IRUBO+>m|_wAjUm0C3vNW zH&caOui)tAtLPuJ1CP*?*fWN+O}I`sv^4OHyGtI8TVwqq8g!cx6;6gQz5;7)?3bRu z2x^Y^B-b2GYS)XdSW+Y}+&x-s)hKt+2g>Gc-EZ-0&#+-_AZdAlzecH3e!kF9l!XaL zekR7dqrt^5-9~(8!i@QeU_}Y`*Y~uXtk6V|23BXOsqe>g)GxgGsnEUe-{;hzd{TNO zj>&}{=V*foigA3>=USnBd?*6R4a4nN}6Bm``KNJl|KbjI#9CD9=I1SUY!fR3olbI4Q_ zB2sEf!nF9}DtYZ=#si|=TnkFzD!cDG(*P^W;=h`$cocB)RXeYb(g1e8_~qT#i`ixI z2F;Hj7_)a1^44G1BLL!6wtlhYTWx6N-Mxj;R?rAGT&DA>g!DjOrR^zpBo8#v^0Us?Pg6;9RYqoX(bew`ywoP4*lakB7m*_b_>xC?vl%ISklYzX`CmL2G< z?fD%*5qUo|-TFkuS&XqNYiG@0=lFAcS`3kYgmAHjQ%3Bb$F*`lzE~SU#^}YzL5YpF zoG|=kIy5E8S8YlWo<8sL`=pAHlIAvHrZZPl*~=F5q_g?>ad8@XBv0iwMx*eV`O7cn zNon)(6Son%Fd-@3ZQMpYXnN&d${cw#ZNXm7%D~1JZu{9>eEEV3iR4b>Hu#t+3EKZV&-}m76;O`c06`SNdgFW3(b8fi_ zy@e>H_Ya=!qn&!pWcj@(hLCoq$AWKex zh)Sy!%_Kp9fTTZH#s8c}a5FaD(wMhAV1o1Rt&ONwZ}`o*MHbqlogec0o~hutrP$IW zmR~h+A!IE@8f_%i@HqSMcJp-CS*ll|>O5F_yzsj|-F&ebE|cDB0p4ag;7BEL8Vk20 zd6?o3P25ML^vrPBbX+GPiC^Z)ca;BS=%<~R01iS5bP20wFbfto*nk~#80X0csWt|> zy3Pr(C{?e3>wXom!OIc4{w>Uo;5O7+1nebh8om9aAi)H<=ioZbIcBlSa4nEqH!TJK z?y^W^dGG46cScZFNNgNvQeiFYHZz(NauAdk4Lq2cXlorHurcoxCr3mGQYTp7ngW5B zbktag*=29sP1ahslPV88mv+g_svoi*%=%33i$a zc?qAs6Gpm8m%;6l+y7L7$&0%Be0@Jq{fh3?A@fxXujSdYFe7+Y0&PpSIcV+b3y(Jk z4i`yOt2ggwK1Z|glIq?(pRz23YKZkz;|HAb1Hd+N`dg(V*UPDAH9-5kjoltK8hIm4 zuW|b!i^$b)bv%H5T7+sIkb_(zt68~N%YRe@+0q){%$rka7bi1+-o_EGrxObjc!mq*{lHw?V$fX; z0ZPwC+1D)v4EZOpzrw>$StHoltT?Z|*3pPqb)7~4yy(|!zdQ%Fd3vgWJJ+F>1(S(P z=>*~1A&vX9RKh#6+=i{<_kN$0gTcaI7I)kx)iPRAet+e%Fk+&DY@mUF%s(66KYHcv zw!r4lsSoPb?yAgOB0@x;8?zA$`=31#H#^g8IV?5Jl!oOv@?C}{`TfE{%u5Pl(>h91 zONAe)CqKbvL@zCxb{f6-N_7Gm=t5A4m8VvJ!p(Ow0R}KBbBtN*;{fO(-2iuz199V! zIa3{d>WtH51!deG&@qr%3h^ZL-a=>dY)?P~>u9l1?+Xl`ASupCblwe!eVEkFJ#WZ~ zm9Ne!Hn_N5u;@kdUK>?m?`=8$qkw&TPbcC+osdj+ud1zc>SV~~NeZ0Z`Wdl?pr425 ze0w+{8e4pwET$3uTF{e)@pV$&gsu&9X0EYS>8*O|&8ijtry!A=~#HNXLlxWnRlO$1BoD2eR{R%7cZqp(@M9p)Xx{LP-7flUi#s>pMYK@h2dc~;*^1)DNLH>OOP_N$o+OCnl!6z zH1XvA81GmyquMMGF}8TPUR~?+j82i=?^zxaNZC{I;x$Snz>09zI-y3?PF%iW&B@(D zLvRKZ)!0)U$LIyZP}I0f5v&|KgaVDYNMO_ktGRd&&=_Z+W?7-cD|CcTXtmLCz-k7o zI6^!$Te?4D=Myit!eA}y7@NMY)ZS%{o|V5IGd<)w0-h1ac=JVmPcKY=h}545<*^WT zGOahxCw`n(e8gBf6TKhR~E`=MJgLi|MBG+Hyf{ ztOkNJzA1`y%si%7NH-O?hT=bPAaTwLv1E7@^39#UYmz2_X{gKOwT*c&P2 z$#|f@yrLiJjS5RxKrr5f=Yh9*ByRsck#hUc8bR=hcxVSbGP^@oCF+6&N#nUlKhrhQ z&JuEqu6iaUZN_<77Mg}PafWBDs&UBVY@Hp)nw}7jD{bv>p+qVF#rks$o3egKfaw}5 z$Z8Sa90LJrR6e_sc-^vPjAx0&0y*t9$Hr(w-1DR)FkcHIbm@l5;BB5+7`*oR8$tZ8 zd(`BQ41_IQIHBZ+UurnS9zkA_5y?@CTai`8?#I$l;dvO%P1(<)tSKeZL{AtA!?1_9ki zkvALGewSjN4$7k;)L%J#LU>X}~b7FXvM3=H8m zy%G|gk5QLE`M}(}!S*;>mQMKVm#}JrrNcK&!=lZ$HyFn9K-EV;OP_O7>ndgI%vlU~ zt;rV8m;Do--?u+FyF-h#&f2ZK_Jz#2nkPN4Z^8S8804yKlP;lIvfZ5F-zga3u{ugC`%2^O zX(q#G6TiV03zB`8zG0tHvXV4v+)zAQL|B&lxU%S)DA7AJg#58S;Z`CJ@4 zASogM0W5H^3V3jwV@suR36zfW1 z7_7|KfkBk-u`uuPB>8s0q1^aw;=Z>HqSO_*txLjHWwNbxXi5Vg1%4f*I31`AUEHY6 zul8@_GEIs{8A7^BnIPCp^Smzb zy5kpl22}ln`o15?`+OUV!RCt$ftP#|vR#OWgR!9nj$@T7 zFM_Cu4n}00(($fMsTpv6=vthd+)zijKbvWg&xJ7_NoVN!m(Ze4`0 zY!OvYP>Mc3Bd5ILK!;BEvf_R_1~|6qn9fY0Jcb~ZnNlfHv2yw*9ICQsb`pdL1)~l9==$w4`Rg7M1xG7{ zdj8>}5(WJXObR|oBQ&3sRRlGMNVv-GQdj5>GTm9a*&9!0pZdDm05jgsD`iTSGEh%n z>I#sVY(mFWWYdDhxExGRMCoY3IU2IM(c59YSaC_1q0D&rI+e z_|@^-R%Ron=61vbVQp1<>5kaAIPvVuTpHAY+}9;^jk#7Oya`)p!L#Y^Y_fOxT0trC zWlQz0(TcUA<+eDVtlSLljB+q7t5#wf!?>$7Fd_`v158~jCr{|s!DsQVL;SLxYdulQ z8gR*0RKnzG(xHkhbHgA3sP(Bd+wg!452MdtBUqIJb$9PFQ`Mc>W)Tn(P zUEn#^EuvCUHGlrBE#KJG@N#Y8uR5)WcV;gRj+B(tfn(aP8`&grhPFsULubg>pR^#v zM^*jORXT;Bv+jTMRnQ|&reZ06)kLJbqNE>HyfB-(9?CPMSnY>Ca`_a*PjYYrnIuET z(#D|uuIs5#iaDN2_*hTT&4usk+T-tu3s|$o!TTW3+RKyN<#pY%c(GW z58{cPIhI`^FWsble=j{lrXz?T5;W;6IcF){9l#fz>yM|*i0G_X_%WMF%zqu)f(g*z zqQ?ax!U5{4f<6Ik%DWTeHG(6H7=b{;T>s|^r+aE6PWjV4)B9{x|Kz6WS=j0s+1Waq zxH~hr8ynHe(nl~-O)!en)08XE(bG*!(<@xT#XQ1=KR&v}xVhe6D?mZRxTu87Lefaf zPLETo&P~e&*~8v^m-OH4dV5MJ7;0E5*i%k}rcU>mhp4Zw*Zyl2g!Z|LIRgU% zBKgOWedf~`ES>CZqmyjx08B`u+mA|FaTEbd!DK`^KbX^6B=A+ffhCquvy1~@;AO5$ zz*Ik7G-s>MK}tpo_kC>t_HpAs+y%L-2x&uR3Cs1SHWqcHakl|NRBe0_w{}gaS!w^l z{|4N(ZaQfbZge!x7UDjM*9`6Wh0ZVy4JzybTK2 zo+q|jBNSRs2zBa3ZxO)AQtAnm-O!lBV{xP<6E_w|16ji4$o1Xvh&D=$A7dF%+9$Ok z+($##xlXemaE+v~e}~1c6f$yzl$Au+U|Ld^K#jT#ZwmX;DruhvpJ6$A>vHQW6`2%y zR9fkzB=gEz)5D39nsi8IBf6l4ngF0Q2FWY)}50F*SVwK@|hrDJXuaVdhp|rs+ zsY!HTbWn=zZSWxqqZ21yX$N^QQ`;cnBf)<2ZlN47v_C&L$ z15nZgzsTeWE`w=Vi_W0d?8>JG29CHSvpwEK$aJ!D;e?)0)_Fohr5TLMRLA#+jy_b+ zB?*(s6$dcT&UsCIam}LGaSA>-$h{YU)RkTr-9!OnGPWRq0j>n(I>QF@in+&!j=wOm z$o%)h9viy#L9yD~UoRS-FRFt1M@4m@uSauY978+TYluMy&r$;IBD(B+WV zp(~axg2AYW)g_@+Ak@h_Oisr)LP-e1Gt;AalJ=u6B@T7) z9BFn7xcun{89?|LzxIn)kg|jyYSiio9`cMAyt~t94xMNQTd*6}y^<-p=zT~zSBS2n z`9QcB^gwI`-k|Md13*;qJFbHR2Ka>twhe}oj%)w<%JzF>!-T{2gW~%M&yrndj4yh& z^-w$${1#+{X(5_I4`zkeIGPWrycF=KTK{`>@Tb0kK6MY&67c8f|JDlsE%bLy>7P^o zCG_#R`^O5?zfpe|T>KkF5BpE3KjjyHv;MA@_`~|MwFGqiBkR-F|Bd=P|MxG@9~Ap% zM)1F1;s0a<|Aze?@%uL{4*MTrf8u|C!~PD${ek^U_o6ue1p5rj{f+uNobvB+O@2mK z{`*C`+x|`Zd&d9wpd2awf%Mn>|2OsT+4|p9ILd#b{=d2VZ{FXQ`rkZ#>VM+>%WnTo z``b+Yn^sTrztcXAmb?@=#2+EJ&(C+z&xjTs5D){92%9^nvJ|bmgORY1q?LvPH X"E" - ) - port map ( - I0 => din(15), - I1 => din(0), - O => dout(0) - ); -\dout[10]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(10), - O => dout(10) - ); -\dout[11]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(11), - O => dout(11) - ); -\dout[12]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(12), - O => dout(12) - ); -\dout[13]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(13), - O => dout(13) - ); -\dout[14]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(14), - O => dout(14) - ); -\dout[1]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(1), - O => dout(1) - ); -\dout[2]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(2), - O => dout(2) - ); -\dout[3]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(3), - O => dout(3) - ); -\dout[4]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(4), - O => dout(4) - ); -\dout[5]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(5), - O => dout(5) - ); -\dout[6]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(6), - O => dout(6) - ); -\dout[7]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(7), - O => dout(7) - ); -\dout[8]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(8), - O => dout(8) - ); -\dout[9]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(9), - O => dout(9) - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_audio_prefilter_0_0 is - port ( - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of audio_audio_prefilter_0_0 : entity is true; - attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of audio_audio_prefilter_0_0 : entity is "audio_audio_prefilter_0_0,audio_prefilter,{}"; - attribute DowngradeIPIdentifiedWarnings : string; - attribute DowngradeIPIdentifiedWarnings of audio_audio_prefilter_0_0 : entity is "yes"; - attribute IP_DEFINITION_SOURCE : string; - attribute IP_DEFINITION_SOURCE of audio_audio_prefilter_0_0 : entity is "module_ref"; - attribute X_CORE_INFO : string; - attribute X_CORE_INFO of audio_audio_prefilter_0_0 : entity is "audio_prefilter,Vivado 2021.2"; -end audio_audio_prefilter_0_0; - -architecture STRUCTURE of audio_audio_prefilter_0_0 is - signal \^din\ : STD_LOGIC_VECTOR ( 15 downto 0 ); - signal \^dout\ : STD_LOGIC_VECTOR ( 14 downto 0 ); -begin - \^din\(15 downto 0) <= din(15 downto 0); - dout(15) <= \^din\(15); - dout(14 downto 0) <= \^dout\(14 downto 0); -inst: entity work.audio_audio_prefilter_0_0_audio_prefilter - port map ( - din(15 downto 0) => \^din\(15 downto 0), - dout(14 downto 0) => \^dout\(14 downto 0) - ); -end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.dcp deleted file mode 100644 index 7e3b7e4336225725c854ef1df8c869247a97e2f4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12127 zcma)?19W8Fwzex)haKCtZQJN19ox2TI~_ae*tTtSoOJAt^{4MQ?l|}KxTo*GYpklh zSJi&TthpE7HP@7v0s%z^0058xH2ox{-NjVS4M+fBUK{|x`KZ-%GBmT%`RCo%-pJU@ z($UCXhhB%C*2vKKOiR;dw-L#EstW(5mbH34^qS@?KD^b=S((!*zTGSjxjX29F}9P{ zNbJ5=>!A-{`*3`Typ+B9sl>EbsEic$BwOFu5C)}ryqjx;{~33r*Hw$`uvN3P#12=d zgRgwTLNnP2X(Z7eAq29lj~myWH&0+lVfA2&L=r75&@2F9Q+d@#L`Mg z3}MV#abwKt-{Vf2(e=>6+ZuKMf7J7RNQPk}$B+IsLs8Zcwi}`I`aWA4Xi7X>>#V$Am2Yot9>$^)1hmLs z)sRK^2Vmza7_Rm`P`ZjQZLyIJ$IQUf1iJVGsNjXexB!wL6;@Zv|4E` zZZD}BRf2F!d{6I$szEz*Xnq{7|5Q(Uc(Cp2y8SIw)pRp(4@iVqJ2*r6Wv5}V?`XI! z)40L3gFesICICaAO{%_lmssTUd}at#nq5ai`O)KovMJrdRi-_OO+`gOmm(R}WmUY{ z#)ErI^1iQgXeaRS@Dru^UCKSURUP*D}3dr;! zO-{6xgNx>yjmP{M!R9qZ_Ci~13yQEMVu|eOgIQ*;=7^L%` zCB|rb`{gPoNo;pTK^dGj=fMqd&6%F5Pk5zyR~iI0VKz!WEiy|2sq77TwPRA=mIlR} zv{FgoEN?w35Zg<;xz^tgzw!i@bzS?fK?Ds?7SEiB&lgp}GcoxOc zv7F%H(P_q;fowbUIJYt3c^A*Pg>8y&(zT#T#r~;F>a6xlG^cDAaoley<6}nYRK1E$ z*o5bjPUD~QHNX172~F=m8-Y0DKytDP9SBbEx2b?Q&hpcXEKN=~5FB22X1U@C77D0D8VS;>QI#$OSow1xG;k*Ojw^M8;N*Le$w$ zjt#NKze8|j>sAi_`&rN`|(40(qRJ?MTJfzF<{pyjhKl`5^J_dTO>=hC`TP) zD7UWQxhzfi!beOH01S?q%lh8!&$nS*3aLeD{w-#oNbrXd^11qoiC9s1C+1_XRz5>0 z^@K%j&mm?&XB%UVKAeUHly3D8ULJ+Y1j#Y&8+qRK_bA)y8$3An7xflvd^PmU86nXp z2$mDGr1VTLlWk|4et@+nXr zJl31L`t-pwb70St`C3flAlMhdu zxuyC{l8(n{0!lbhzKI;rMRau5I{7!kn&Kpjspw+;><-}sA2N_wX>$Hhk z+*5}A>(%bjbTEO?q?6PYW6x?Lv*T{SDpT>mN_0UfEQqOGCh8DZJSiIZbQT+ptShn1 zsf0Cv-($6&eJ_rggWlJDQ5nF@b3kE%>3g{n@)ebg$4JBywkgB}&s`()HWB4k;PO<6 zE@CdLmQZx??)Q25O;#->dQdld|3i0i1+*vuJ~#v46-Bwf0%WMbc#P+0nJzN0KEe%C zb}c1-kS_oe?ez^wz5O07?E+YKYdPcj*?;Ib6Y&Vows#Pkm!-&;mPL zZB_8pm^3?t*ufD;4Gdjb<3Ry_Op|#dBVV^P30w7AFY|-54_I z0Dk7lDyde$Gq)ZFXr5iP_AJ=)3Dg)qLe$M^JZ-nNoI1FlX`Dq$Jy06BIP=dVX9=uW zGhJbWIJ^I<5@kk}aB(XP@C!tY64}t61vkQ^8D5=l^I>d3f7*EHD;S8QZ*tMpw+5dAB>38I+tBrNn); z^~&nMIiu!Dw)7Y~*Ge$OB^!#_W{kw$oY+C>;zYvO*hN9nM9O9zq>C|2!BayCRei|N zioW6sdFKuLY%g#wWT(K4Ask^0<8k*IA5O@kT%2>lym} zvDfam=i)y2Q=QMLCbVQ~Xk;Y!XgL;G>`{1mB1q$5F6R>xiNQ?-Y451Sh*r8v^}mE! z8)W85n?#~{6Lxe?^PS||^M3mOn7xvP;7K1ebr+k4;@VF8kr%VQ6K$#3zxgz?mEX)k zmSKyykiBcmlcd#=mriIwN9+(VDf&74Zi2+&=;8RZcw1x}l0o;SXA%pAEmKF@`%11O z9^kP6>!UEK6N5eO(BsrC`_=gt&*T-rCCj-+y=r|Ba>|FZ0%Q9IA^&f}NMVR2U+F^- zMSm3BzX~HWYXf_jk@1OfsTdatYKcgfF}m>kaVc8K37H8hiE+EJ5jr|48pe4hHbzBq zS(;Jl@sSEi>XGrOx>`wU$;n}ADHVEVHF|k^5Pke!@F)dW^jXtb7{I@q<3UW(xB?0Q zhy->LdFT$FHCoCW3~-|URXaKf8+trl$)3F&qxvCniHU=Hr1AMuqK1i z2nf#Ac!AWL!&LW`cYD(qVOp5eJ*K^1!EW*PnoYN-Fu&S+UR+R7EVtHBV%RU9dIG~w zyL9qRcI0&6PR8?T81sPNgIPb}XfAv{gS|=Ro2gt*ZT2%hF0#`#r_C|l%{?*m=lZf& zhiK=Tqtd!xw9aMmjn&+_PV1}@ehb4B`U^NMpXf>NaY5juz;J&9N>JI^*rLdH3$|4w zDiUXKeK#$yf@P80EM+~T(p8JLRcq(b^?Eg#SjwF_l)__^+eRzxWUK|zHutRf@_bmO zp)Ou(F^(Jmo}qA~v14u34XSN5U#Czi_>=LW}JRB?p%^nS4C2D9j3QQ=aY4_BqZm1U}*=ttEO|vHj!j~wkiylQO zC>9NAg^bnzw41PoK+iu@Wo}(dWP=`P*1eH}aptg)>uChsL3X*TTjHL+z(RBhV}PWf zjzERf$pc48Cw}I9rj*s(Mvv9ReRp+2g7};cNq8=w`}j*$=|JP!&8190c-ehow)VS= z9{5oQ)O#Q!DesqpddN-(@3Q4hU=JXjn2VbGbqY?H;$IH3#xgja5km+A_gdy&Eu^~R_ldKd4>U}4AC(+ z;cB&rHxSM`Zcw?`0}Vk)vkkDj)j5I!1E{$s#8=wA+9;GUj3S$NYG@k(-(NCD__P+tR4NGDor6Sb0Znow>@SX863i$zwmODkbIt;)WC3l_ z01mnwV&vG~C_iUKtxO4{M!zMYz+8K)gY%@VP{DD^eMx1X; zSW(Rh&9>&W^V}#q_srXqsRA^C>78`=ts|^4p+MWoCa}mbB#dcu+fmp{f;)5Oiq(BK z%2PZ`-xScvzlJ6x8%AXxmqQzDkd!N9$`4BVJSPM_Z=EXVa4AA4mzDXl4Ka&K;Is^z zf4=s^+p~Ov#uKsSHP$w5OeT{^|FG4uAKwP`E(-e%iDzFK(S_XQvdBA!s@oi$#FulU zZA^iuuHoYIyT{KqzAc>keMVWFkwIji7hPKq2E)n=Q z0&B!@SD~nfahR_jn8NhBeU0%5yHkUNdGMOptCj*wiqh@IX1RuSCkVbYwS`eZh)E&g zzbBGdpm$|3Ok_JbWB&=~K5GKvO0NJGh?*qXFhV*n)1AQJTBTZ*KR8JNtLN+t!4s5M z0|a0nc>nh?5nNfj758K9YKRB`;Qe)ob}-emHHuQxj>J+!$vRnYh#A6tesXtV!b;zH zE09?$(B{gB0+bnBS**y3PzO=0#$JfMTSxi zEAui%at#HA_u`k&3JVMjjLo4_!A0utH+TgANCMc*->l2d`=((U=z2P|@OV^n2RduzROI_*&m=qNVEE2W0%g;g%cp~b#M)R+0Wo(>- z`f#FYl-0g&=r@g54r_;kLvrg9_OSRt$JdQ_bfC1ugJg3XA$U0I@J zdsJz~dTrZu%8tjhjOH9Dhq8^^73^?6B09jRov#$=p~35)$BOx5h^hzY;9K8rb@mMT zr29Y_&E$nYNCo-{@rYdSYve#?hD<^677%OAy0*G=4>Of$ni~)wkdbQWjbNw$H3Ja5 zRNof<&(%I=5tr1;^|U~Y!DG{iIX8CVviaKr8u&V3F5V(rbT*D^O|KL|6@*!!FlKB$ z1My!OZCeHv!w{8AEZ9wY&zK39c7wzhj*+{t*|gmO%L?8%vNwa&-9m^;$>Rz|+v~e? zK{`OZh$f>VuBjL5V@#T&RZEAi&2l32c{fK8qdBO!gY+0m#JdZtm2+kpu+UD)umGD; zJ5zd0q_=u(<{G=Tj$J~?CV<10@MUb%P8j~&Pdz#~vg;A{fH3UcFlsn5Mlc*!hVh^c z5J6|nJqPu8`q`!7_l^~EgcwOsVpnjbWBy}=wtKy%pNMRaU^gEo#W%Xo2Z`2IF8(>&N^A3q#4x|Q6G4VHYx5+!#R^tL!tfB$S3yd8B#_`!d`%?PX z0b^G{`jH9x0v`Z(gR%ZDAo5PiuJI&bhsN6!*$ept4qPVSm8m-mHworOYW~^OraH>u)V5}gh zxPka0)yY0OG$dJm{DF^=;r38q;ZuhJ&xtTyZUSgQyzSL36&n*2K7^jdNlMDw;WWh) zcWw$)*IUH28l+cpr^F$N;QcgJAZ{V1SK4$Fq?f!}yzTZ53ojQ?j=YGPWH@$gJYyMY zB76rie@{cgW$~EW-iAZ`<#ClfHAt1V_w&F#c4fTZ=DTzx6nIC>Q6vqEF==zuHn)7Z=3O8Z5XJuy9n{ zt`1UHZM<%9l=HXz&>_cz%pc z|FQX=&x%@_O8`}+gXWbk^~e=YgLTTXs`R#DZDJGzMrA>#u5of!amqCk9*taa+I?10 z(V)9eD-Nb``%#P0Gin{*nOC%?p+S}wRFb1>G|*UQA3{uZC;KGYN-*~Bi`P#4a;t53 zjIeiA_DFD&-+sc~(v?groE=kzZ42Lu_dt?@7*xY(N^?9w(GdG<@!pej#-`)N4`q_O?}ZzWR}d-%d_6J&>~%qAtnWSn^ie{~VbRgXbN@o2z7#5xeDb zF5itS)Pj>Sc=EDSVx}s^3q6_$PEPbz8&`y-$+?IaQxR19vWb`B$X;Law9YW*XgYFO zm`W1PRlbQ@CwyZ1bjC0yZ8~z~GDsaFDD`y{s}38AM!AbDOCDKEpo^{CzpjzPb}Ac3 zKCevT>icvdah37nl5ASQt&;-lJ`lL#K%>ujgn#!q&uaf4A?NCxR~Mj#U&sszM`} zYhA5CJp&^s$Ps%r!5@pn8xCl3Nnij#`eVWR_h7z@q4B!LjQJk@C(o{`u6Q+F@d55L-qb;?v7`-%@|Uu)7#=Ndj` z&{)jES}ph;s3c6FW3?s?kp4mz_tGdn(H}4#(T-2#m3iY2(3<36~62#PlVQ z5gh}x*M=d4?dY9I3l&9O`v^#syo=v?w*pA-=>SFd26{_i6LL8W=HhcIjqSYv?kJdh z-wO08TA|876~Lu~ij;SIK_tAiYw6H4Js>kECKe6pou{$WV~MX=lVm*79o`c@9Q4)x3#C4@M2CV4!m@P&fE~KkGP4 zGDF--PY*CU(N+UJ%mg{&JPu78v~>MfT9*qB-(v-O4~p-nE4%*cm(<608P8(ajgRK} z>48%c$m_BV0n3-1T%N3$?1Yg`p4{d)kYDmI$Zt(^$x4FA`EkF>G(lIzKXl{vP;>jksjhT@H8tj|>q4pPTT+1n)<6!9mJufp9=Sp%4<%vg_|rlGJHb?v%USW&(! zpBy{p85;85U(WrFvqqyC((#2q!|INg==ct&c#YbmUIU(~B|^m3<_$pmougO3G0OB77`>(3p)fz|~s_9PM(p8m#T|^N7<6zJ?F#i3C&%s2m#pEy>eICP8 z6Z1Tu*T92Jz#?QI<%Wt%GGBlP$vdX{eN)3sM z611R^cLvdTCWL(BJX6b&@R$ZjfU3h;E1NYE>yE-_xIjP1;RRT_3mG*ywBYw=_8|Ie zzV5fX-0*Q|=iC?0<~KNsPmi)v*2oaxW(cxWk~Fr0ga-`Tl-jzvbrQU)vIWD zA)yLE6>MI4SR~v(NG12XDEl{;qX7tx$6jB|JJ36%fOjEmW^a(i*l{>Kk-T0(4Gq~B z0Y6K!FX2hpWZ|^uBH)bm)iMi?K=wq>?@TC($zD<&d4L4k?34m)Gog| z%J=Bdc(~_C$1L(!-(&^-Tc@c6mA+}H!^fQLc+)KUeN5AgNRd|po4~8u9~#sAyk3V? z(*yaTiQtV)i|`yoUWcasC!ytRSw=^yP>@2;O1Nig$LZ-Zg-dI~pI&59DYl=Z57_D{(uQ(1hBQ@RLt*@wZjX4 zt4+~NPkrzw7(c`$EnTYzVmYf`1>yV%5SF;X{wg?CzV}*6?CaF~O2bA){V9y8(>_gn za&ZCaRW2n$Vmi9!GYV06hAEbe8I&`2Kp#c%7AILC8$sFo44m|@K{&CpVDYg|=uuE+ zbN#P=rbKC5<$7l+`bWg?cl;jYQjbG?$DawG69g5ziBD3ukJ1d4(!KS+qte#JIjtWT z7wE)!I`7!dsGI94=6p4$seEe1RCjD>e~Y@Bq7I7YOkY@_+pbnl!;mZK6Ui^HQ8DLU zPu6jtMJvWLfU$m8lQHrts?2i1OrS1WaQ)FBxy86dBH2u^$dwVJKo@Rk+y`=f;WZ|p zsDA+#gA`&yC)-z(mlK(Z62|`0u>zFB4hNupV8S3YY+_3Ou1-OcV^XXK+Wy=<71W6C z0wnq^7>=G-12_c8!q$PTC@!r_LdpYmg%^H~B$<99vdMJtoj;axw@4pE6+^SeLwoC& zig$N`{bcX;$jb7$wXu1lEb$v6*GhmPQr4nLOXF$w+brLe2{7Wo012o-^TD|40EW7L zOl|`ZmgB|!C0dy+B+u#rC4U~=j&gx))|U(cs4qgW4X_Iu&6&|$(NSo?dR_rf;sEL5 zG<=OT7;3O35;E5~C=vyxN6%`|J8DW42XdgwRl16NDl<@Qew`)ya*vt9GzC_Fs?RSu z>+-|ngRO{t-505%$G|sK_K}(3 zh!MiP20(u)O|^pwcY%#jG{<3}(Sk+pw_p^D zECbtTtV|Nxn#GAmu<6gHTS_Yi_mm{^z`jm22mKGvE+uF%TUStD7YS*)TI_T552j3M zOmX`=u{6ymNWm~G*@tfggZH3DIfWpAUpeJB=rh55?&YUsS{)SMH_q=vN?T_W=A<>P z2`Yi(fhZzKJZ2%eotx0g3P~Cq2Cw=pXz;Q%llMN&Ph9%6(TW|nVFE`Ley}zzTz|-tRW6P&Jd>iDG^xt0e7`!TXom2GCHAtOaZ)@#SC?n!(4Ma`O z9_8sq@{kioQB&UG9lyWUTECfb88e&YsT465QGo<({4if^N&RMHxu8UCjJ*5RSDw3t z+GAU8vktlOg>1rU=((wBC6Hl_jpe*rzRjRzJ9Ck+OPzZP8t=)lYa<$Tlz6`Ltm@2Y z&)C7v`9APYsv4DWpm^iWJ&K$OhLb?$yTQDUm;mp6#HjnYp1*d7yD5Cu&?e9-IkHMr z0z4!PoHQESV=R8S)^ou5r^XezvsR#U9e?bZY!%`ic7sgd)_OzUO6ie(y#VpUEDA@~ zPBQymNhaQiEr7qzsn~*M)zTvG#Elh&nrPS#l9O-|r*WL%Cx!Z}Sn8CBq%1!|9nLj0 zru7U|-lwrfJzf5)&-JTP;R{8WKUkBAHf4}B&2spIQkG3eArjy#9Q>rFsFShcSw~~` zwd`&&`bvj!M?`BBa3GGKiNUdR_hUbU+r5JJY#8k6rxvs{$Z|o{x{@c<&D>1yYhDoieP8R{yN_85PsB3&6Cj;^HA?Ob*`92BE@mGxk{{ zm}Viv2+emnB>Hz5)-k>otNbCqbUq6C-(;AMnYE6AjkTkZt0S$ep#haFO&A^dD4jSB zWvTKs4fTXHjl$)p==)Eh_xCQ*F3z`C3Xo9HPAZ|Y5R}rg6C)I=(-U$5wlLQblDdb4!G1nll_225NMxhU@)HFMDJx$|-MSI-Z( z97AGm&A#T$f3!98w{dnxO$J1)@nD5}YZqY@?VVpF{#Iv9Yn%%XC9w~n z!zvUy@I=@Kx)RUv$&brdXBYBUp20oCh(epWcO;bZjGOnCQ-JIR?6VMg!mRCiLm%s~ zzr(27^qD$Lx{#=K5NOz^FhSp+z5Ps=+D%TDj7S=yu4P^Yr3RUKc~rQcWtU|Yz!rT7 z3{;d;fA}RSilBa?Dl32*bP-OM`L0RAJ`OB)=Gy6e-c%r7>gv6!VmZoCW#_diuBDiq zAGvMf3m>NJJQFPQAs*h1qi=DcQAg@^UbI@yVNbBeA^o;FWhpJ94+ ziOb$vdXX@sD{L0$)3XDJMlZ+2E|ZFQ4?Os%T4k4>*lsx1i0&#b3Wib11*i#hq=-v7 z6uQo-c%7Nzyg>7Iq>2UC4da2 ztqq#SsxX9*#mZKS#{wmvRQ z6r={0iK;)@dw(cnWHk&@f^n;!uHdK}3+{1jVD0xjvu0K|)y_rQpkUT7f{9l$b3l(` z&QpFtamwO(83_#b0u5;3{3c&y4AHBqbQ|=YC$ACi#wgjDnkB)ceh-8w-X}aMj9qU+ z)~qd{>09|jEiG$oqR9?-0z?{dsc?K}Fw+d4zS1OWd5ZnpU2FGe_jw7Uu|+#jkhYmG zIO1OlVn#@LK0)j}tx8?$v{R4f(IsIBtkQle0=`POLc3(>^rGg?Pbe^bo!wzZvD_}5BeXzmB@8YKq zgdg60-0~T>09g?)kn5?fdK#z0Azrnfi118l_e11W2^s< zBPmfQA^pR}*(b%rm-D>Cz?^SbPGbCOoX~K27TJu5{zVZ`AU!)xbQLvgy!8ci+)zQ5&5Kb(rWHI)nWZC{(wdxf`Qh`cuJ%_=bD<$DcVG%PAa5P z|0plACyXS`k(-NII~KZgo!q3*rQH-gD_IhCEWPmxN{wQxEF9SL%rs#ujTFsPB1G_u z(cT=%0=_233}wBjDAC?EWA89^OQR=kH%$av?K9H1-Xb+yx<>TEP)eO+9R3kJyT5OuKM1EVTa>R>+&bsTbTdd1zqkME)8i5>i(Z>y6uu;JZ zHV`_N7V3L+moLId9;4dp)!u`6#KN#2bv38$a`#($UJ#f!)8)}O=9I?B6Fr80IHN`x zqKMUCTeoFe{hVljiBzN(<8Gd4jvuYTUb@aOTTn|Hx$^W_j2t5*7JF{J)gliFL{WUr zVv<;@YU~g65tn<&z@pC3K42T2^10>letJ-m)BK(-F9r0`1OIcr?(YWj(RKihe!tKD zn!Woc>dy?9-++Hm7$2dV|BU*b@A4<>&-9evtlvkYU&&utA2a-)s6Tgg{{j6*wZr|* ztpD2M{S)?Q9QR)^G_=3Men)lxg#8&_{SEuaVH1CaeFR(oMEw~g`b8`9@ z4gEvv{^$Sv5A9>B`fuR>J_P^9{qtl2z5x^;`33(B$nsKP;J?54^zn)S0RSqg03SoN V2s68!39FH{v$Lg{xTwAO{{trm`WpZM diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_sim_netlist.v deleted file mode 100644 index ef1efda7..00000000 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_sim_netlist.v +++ /dev/null @@ -1,230 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:48 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode funcsim -rename_top audio_audio_prefilter_1_0 -prefix -// audio_audio_prefilter_1_0_ audio_audio_prefilter_0_0_sim_netlist.v -// Design : audio_audio_prefilter_0_0 -// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified -// or synthesized. This netlist cannot be used for SDF annotated simulation. -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- -`timescale 1 ps / 1 ps - -(* CHECK_LICENSE_TYPE = "audio_audio_prefilter_0_0,audio_prefilter,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) -(* X_CORE_INFO = "audio_prefilter,Vivado 2021.2" *) -(* NotValidForBitStream *) -module audio_audio_prefilter_1_0 - (din, - dout); - input [15:0]din; - output [15:0]dout; - - wire [15:0]din; - wire [14:0]\^dout ; - - assign dout[15] = din[15]; - assign dout[14:0] = \^dout [14:0]; - audio_audio_prefilter_1_0_audio_prefilter inst - (.din(din), - .dout(\^dout )); -endmodule - -module audio_audio_prefilter_1_0_audio_prefilter - (dout, - din); - output [14:0]dout; - input [15:0]din; - - wire [15:0]din; - wire [14:0]dout; - - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT2 #( - .INIT(4'hE)) - \dout[0]_INST_0 - (.I0(din[15]), - .I1(din[0]), - .O(dout[0])); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT2 #( - .INIT(4'hE)) - \dout[10]_INST_0 - (.I0(din[15]), - .I1(din[10]), - .O(dout[10])); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT2 #( - .INIT(4'hE)) - \dout[11]_INST_0 - (.I0(din[15]), - .I1(din[11]), - .O(dout[11])); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT2 #( - .INIT(4'hE)) - \dout[12]_INST_0 - (.I0(din[15]), - .I1(din[12]), - .O(dout[12])); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT2 #( - .INIT(4'hE)) - \dout[13]_INST_0 - (.I0(din[15]), - .I1(din[13]), - .O(dout[13])); - LUT2 #( - .INIT(4'hE)) - \dout[14]_INST_0 - (.I0(din[15]), - .I1(din[14]), - .O(dout[14])); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT2 #( - .INIT(4'hE)) - \dout[1]_INST_0 - (.I0(din[15]), - .I1(din[1]), - .O(dout[1])); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT2 #( - .INIT(4'hE)) - \dout[2]_INST_0 - (.I0(din[15]), - .I1(din[2]), - .O(dout[2])); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT2 #( - .INIT(4'hE)) - \dout[3]_INST_0 - (.I0(din[15]), - .I1(din[3]), - .O(dout[3])); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT2 #( - .INIT(4'hE)) - \dout[4]_INST_0 - (.I0(din[15]), - .I1(din[4]), - .O(dout[4])); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT2 #( - .INIT(4'hE)) - \dout[5]_INST_0 - (.I0(din[15]), - .I1(din[5]), - .O(dout[5])); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT2 #( - .INIT(4'hE)) - \dout[6]_INST_0 - (.I0(din[15]), - .I1(din[6]), - .O(dout[6])); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT2 #( - .INIT(4'hE)) - \dout[7]_INST_0 - (.I0(din[15]), - .I1(din[7]), - .O(dout[7])); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT2 #( - .INIT(4'hE)) - \dout[8]_INST_0 - (.I0(din[15]), - .I1(din[8]), - .O(dout[8])); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT2 #( - .INIT(4'hE)) - \dout[9]_INST_0 - (.I0(din[15]), - .I1(din[9]), - .O(dout[9])); -endmodule -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - parameter GRES_WIDTH = 10000; - parameter GRES_START = 10000; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - wire GRESTORE; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - reg GRESTORE_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (strong1, weak0) GSR = GSR_int; - assign (strong1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - assign (strong1, weak0) GRESTORE = GRESTORE_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - - initial begin - GRESTORE_int = 1'b0; - #(GRES_START); - GRESTORE_int = 1'b1; - #(GRES_WIDTH); - GRESTORE_int = 1'b0; - end - -endmodule -`endif diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_sim_netlist.vhdl deleted file mode 100644 index 45de68cb..00000000 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_sim_netlist.vhdl +++ /dev/null @@ -1,210 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:48 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode funcsim -rename_top audio_audio_prefilter_1_0 -prefix --- audio_audio_prefilter_1_0_ audio_audio_prefilter_0_0_sim_netlist.vhdl --- Design : audio_audio_prefilter_0_0 --- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or --- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_audio_prefilter_1_0_audio_prefilter is - port ( - dout : out STD_LOGIC_VECTOR ( 14 downto 0 ); - din : in STD_LOGIC_VECTOR ( 15 downto 0 ) - ); -end audio_audio_prefilter_1_0_audio_prefilter; - -architecture STRUCTURE of audio_audio_prefilter_1_0_audio_prefilter is - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \dout[0]_INST_0\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \dout[10]_INST_0\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \dout[11]_INST_0\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \dout[12]_INST_0\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \dout[13]_INST_0\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \dout[1]_INST_0\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \dout[2]_INST_0\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \dout[3]_INST_0\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \dout[4]_INST_0\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \dout[5]_INST_0\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \dout[6]_INST_0\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \dout[7]_INST_0\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \dout[8]_INST_0\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \dout[9]_INST_0\ : label is "soft_lutpair4"; -begin -\dout[0]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(0), - O => dout(0) - ); -\dout[10]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(10), - O => dout(10) - ); -\dout[11]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(11), - O => dout(11) - ); -\dout[12]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(12), - O => dout(12) - ); -\dout[13]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(13), - O => dout(13) - ); -\dout[14]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(14), - O => dout(14) - ); -\dout[1]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(1), - O => dout(1) - ); -\dout[2]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(2), - O => dout(2) - ); -\dout[3]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(3), - O => dout(3) - ); -\dout[4]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(4), - O => dout(4) - ); -\dout[5]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(5), - O => dout(5) - ); -\dout[6]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(6), - O => dout(6) - ); -\dout[7]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(7), - O => dout(7) - ); -\dout[8]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(8), - O => dout(8) - ); -\dout[9]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => din(15), - I1 => din(9), - O => dout(9) - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_audio_prefilter_1_0 is - port ( - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of audio_audio_prefilter_1_0 : entity is true; - attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of audio_audio_prefilter_1_0 : entity is "audio_audio_prefilter_0_0,audio_prefilter,{}"; - attribute DowngradeIPIdentifiedWarnings : string; - attribute DowngradeIPIdentifiedWarnings of audio_audio_prefilter_1_0 : entity is "yes"; - attribute IP_DEFINITION_SOURCE : string; - attribute IP_DEFINITION_SOURCE of audio_audio_prefilter_1_0 : entity is "module_ref"; - attribute X_CORE_INFO : string; - attribute X_CORE_INFO of audio_audio_prefilter_1_0 : entity is "audio_prefilter,Vivado 2021.2"; -end audio_audio_prefilter_1_0; - -architecture STRUCTURE of audio_audio_prefilter_1_0 is - signal \^din\ : STD_LOGIC_VECTOR ( 15 downto 0 ); - signal \^dout\ : STD_LOGIC_VECTOR ( 14 downto 0 ); -begin - \^din\(15 downto 0) <= din(15 downto 0); - dout(15) <= \^din\(15); - dout(14 downto 0) <= \^dout\(14 downto 0); -inst: entity work.audio_audio_prefilter_1_0_audio_prefilter - port map ( - din(15 downto 0) => \^din\(15 downto 0), - dout(14 downto 0) => \^dout\(14 downto 0) - ); -end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_stub.v deleted file mode 100644 index 9a78f422..00000000 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_stub.v +++ /dev/null @@ -1,21 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:48 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode synth_stub -rename_top audio_audio_prefilter_1_0 -prefix -// audio_audio_prefilter_1_0_ audio_audio_prefilter_0_0_stub.v -// Design : audio_audio_prefilter_0_0 -// Purpose : Stub declaration of top-level module interface -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- - -// This empty module with port declaration file causes synthesis tools to infer a black box for IP. -// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. -// Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "audio_prefilter,Vivado 2021.2" *) -module audio_audio_prefilter_1_0(din, dout) -/* synthesis syn_black_box black_box_pad_pin="din[15:0],dout[15:0]" */; - input [15:0]din; - output [15:0]dout; -endmodule diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_stub.vhdl deleted file mode 100644 index b8fd1c7e..00000000 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0_stub.vhdl +++ /dev/null @@ -1,31 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:48 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode synth_stub -rename_top audio_audio_prefilter_1_0 -prefix --- audio_audio_prefilter_1_0_ audio_audio_prefilter_0_0_stub.vhdl --- Design : audio_audio_prefilter_0_0 --- Purpose : Stub declaration of top-level module interface --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity audio_audio_prefilter_1_0 is - Port ( - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - -end audio_audio_prefilter_1_0; - -architecture stub of audio_audio_prefilter_1_0 is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "din[15:0],dout[15:0]"; -attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "audio_prefilter,Vivado 2021.2"; -begin -end; diff --git a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0.dcp index 9dab817ef4eecd030555654a1be55a8cdff358de..63c9302ee13736c8a4e7e1267f638e6506279bdb 100644 GIT binary patch delta 8336 zcmZ8mWl)?;vtHbm#ogUygFC^UAi>?;A^74>2rL>jxVyV0!CewGxD(vv=H$Co=YDsp z=1;#*%e*sF^K|#CMzAL2ogy4OE&u>P2E=$~X=o-bf#zTU07e7=0O^m^!rX=3+tDF@ zZZaXC6Bp_1@kkCv_eT2?8AdR?cUI3NIvm*sot-1K$cg()^?{;6LmB zJ#ZAt8OS_|(mKUz9irNp18ORpTxD*S4x8j*Zzd7~%%|R`(z(dI zC}4S6pzI`_>p&8|`V89ojCcGvUZ^T)MD17Wg6g}|s?SHD^~1Lt&pt&_s$)g&c;`;( zQiUJsf8BV;rg~k9@+9$qr0*g>dP}utttj<`kNcG2_SsZ^xM!!RLB3Wv>bUZ>LYBQ8 zE@=PrLPrMD=?Fx|w6Uo%K~}nr_2!|-H#0=)_$F!t@EI~i2zoVV&wj6XCY9v1J5iT- zM74aIf6s0gLL_@0pzSNa>E+v+<+zJpnv?6Iu&nF;-H=-?nRMx`P)#(GkI>iab`nMw-^_OSUVKxwtQ=vykol9U|lGZ}G1V9p`5q1Zh%x8dS8=!YjvNnD zV=J#*A1mRUCc{v6Vi@ZU>UAr~-o}qqhXY^`afW_BrBpW(E+tGEd4kslwhG_#q|5U$ z(&<0nW9%Bgkbkr0OL~YHB6jL}Pt`+2lzKsa6;SQpn<=G1s z17#7F3g;DVJ>b2Ib=8a-X;UxLX!|0T`IZAV#hAc`7)IE*2_G|k0C~tcxR9GsD*xwP6#u`D;R~rh5prCXHGLP;`69NZfr;Onx35|r?2$HMO zxUJ(IZ`k{r2$W;2Cek4AzE(H_tJ_p9(MY*`9khy^p6|p8B0Q!we!<&wx+aQXOaY~B zP{2P;7L~)i__MrU<|u03j_Z%*m`p{`Y@5=Jk?i1Tz?EpY*f;93=uJ|!&I7AzT7FRf zz(N*&0&&Z=%TcsuE4tfJkNkkKOmiOgASD|~_`kkyl1p;oFAQRfGXMZRrBOfcME`6tMf3((9vugaMLuN?HTb7QY@y)>^tyl#Yaq(u#+1q`HDwU|^X2k=5oX6g7;olw#pwqZyQ?KB<+eQhfj6%QLWBYF8eG1g0Os?{E! zjCvt(YNmTF^@$mhN-RVX>3CpSs@-rhB(P8%S#)|T9S~e0_fcXj))!>vB`k;yt^yO9 zX&I4*r+=7s#ca47>5H00hsIKo3GT=IK;6&hg2_d=*l!vt4v|~0(7?3!^F-}ro5Ql8 zxd`Lum~d6izx<+8M9D+Ct2R-$<02N-dkF#JKLi)hT!pq*(42(^%^F+?ewy9XND7*T z)kkO@UJmWNGqU3sq;5gE8!j4wyJr$LLAhfBaBP-P0#$08q%sV|Mv;TAhRklR^*d@j z9ky1pQ*%BfF&sqZdN$|C&Qd%?K6>=baXn{!Bk*o_K8h&17W%D6?BqE%rse{QB!?JC z?@2-y;)Ik@YngHtDap;!`5wuY3i6GfJ$$mK75-dw|Ej{jB)d7|SuKEhs&Ry(7+f5RiH4)>p9l z=JDP|HR~!O07*)0Gg|D0NvH7I$R6_i(Qs}iF4#&Ra^qD$qJ`NhzxcXm{E`n(Fb?|7 zy2_18<(6jn(`F6L{{uMAsoB9>(uzE9@1buTRXMSRdXbxKwR5I3!?cNMP~J-_65T(y zRX)w?;i{mN#Q$J6a1_IYf*p&*B359{dn0aR9B#tN@G=zynqnpDnWbprU5EH~S+_KS zeBz`XDAI2OE_5iHMZ<>-^G?M4wt8K^tj!wwqZD9;oKmR#+Cb>{DB=OODY|fURt>&| z4COxPK7?yie02Gd#A@I({5tJq_I*&kzxpTX zbQQBf!^Orr48$;*t(Q~ns$41JNs0bI60T2NU5k8>hGk!wV1Fi=9?nNUY13oo39_sb zocsB+kwiKs;t2Eiwok1-2vXhDj~M^OWuTA95ukrK&EmULOW{9!h5-wT2}=wuLm`11 zSIL47p)f&|SEQ~fmM_Nq_k`B7mK&pJy@a-J^5$*)HV%?6^rU4g-oz=8XRFuTtrP4^ zVt24M&Q8k3Ij%0mewdae!xwf|>-!emwS~2J&zPmA_Ozwpq2GzTT{tehL?eoK$IxiD z-#Wjtki(gPz;%L^iOn~sw93s~ybFusDCjDp>>>+^h14_06;Pqh2IY9vtA!`6*uT=jW}p>C@iRE0QYU578jqRv+csf?cB0!;~*x z6iefQx3%CQyLp6C;Dh;#F_6O;e$ zl6kjddXjXY%mpcr(MyibOc{s z4#jnElVB|u@bOB8lP`&~Omx3dSH>-c+)Hd}La;@P)u-Pas>Zcri)=L2A+sbb-n#{X z^^U&29OZYaSJA=PvjKm6HHeIHz!-AcL`n+y&w3iL3I=56?^%<_5Ddwp#_LO?Q3rYi8c~{jP5?lYq)l z3i5bnqFNV-Tv>5v;u~>2lnu3-&blR8)zLubbEZ?qazD~zN>Xlg)U0(mq5g!n;wB*$ z(g4ycJG|3%!;9Jb-7uGN{~`Q)@DPo2#YyuQv#F0JgOui&13#APaW#hh@#9Vs)j@GO zO>~4d@e-g%?p^WEPVBj9rqLpiTGpsr*h5fc zb`hAy2k@Ea&M~wJXt|h6Oq*Ta2}Zhkb!NAIp3qBNa*bw zFg@qhBcXF{%XVp|$O`)^=A73wXRFArB*};53YgFUvOU5z zdU0$)pTk2X^q05 zbXx^Kq8rIpuy4&JIw+j`eeKbj%C1~*R;9D(={3%u450U?&PMShsTPWpeTGDZe58Vm z83+XTl{?T5;CB{xSS--LB~-j#7x6$stlbKl1>;N+miiI8F<~1?dwiz~xsmw!QKW{T zSzULo?sl3v{j!tlR{dBN6aVZH428nrX?pd8-RDNE_p8%;7tU}`#dMwJC`DrE-jC*e z4O3flyv4~p+TV?eH#Ltz#fA84GS9JRs`1g~RQJU_iNbsRCk6OF8Nj5W zR5=aw2}BDM52eDug@{8W4ie~uKEgTf+cnio(N=YIC>$r(^G8P^eDgHtb3_(HSW3Fc zoPwR*sNxvAiY8L<&O6bdc4|1oL|P8bE*V{Y(XfQrySEruJD)n|EUz4`L-d;|*1OznU0m&Z3=B(I zQ>so*>cjkY6ra0ZibkK&ZYeTmxKC6bYF5(xVFV5nyT%Hi+GBnQc zpQ-w2`cA915PcFKxqSAzFog7vbKP6>WIX_;v37-GDju8}9YV8fUYJ_UVH$R>`q(x4 zeT$Of_!G?fbZw1w6Bk|Sb zl08WE@%p4_@^Re>tz0IW{g=yyu1gIh=a18wL5AH*kn{raMOI?9Bc8(-yD5XoJ}UU( zDnxh%%lF14;6?gpG@45RPG4>$BybS|@DTIPPN8Cfd1h=G%B z_mDfv-EV9c?_>hf1FHZO*dmyg8w(d_rs@fO2~uP9pH^Egn_KWBczSSjx6QyUS z0xmvnak+3@sAXN3>o4mF!QXxnn3j*p4SmF7h$BJI-`jx660u?Di8O&3SFlvwCf*ed8t1T)D$B!^lQ4NI5RRNV(#go$JjiLRf}jNM!~2yM~zYx?zzr zO44A4>AVk&5sDqu{%%QS>1VY%byhZfRZfexk&P0XpoT zgsK+gOZ-9;=v4w9oQstS&D!McrH>?m-%nx^eO%O>AGETQv8z#ZTFma`fYQd9zoN^8 zzU*-y-!R#lg^2Z)xzl2PNA#4OOgi7mgUHH$+;@&)K`Oz+e2f1J=&6p&mXZfJN~dE| zp+qA|cWT>s(1WtaHkA6st5qhT^2c1yf^ot7XN1K=iNJF%XiMsBl{Mwyfft7j_nR|a zCQl(!UNA$^6h>#Na$nX!rK3*{t3yR*@FjoOsqc*pBKt3ol9n}{VR2*m?_6gB5V~x+ z0qLxZE-(CMPRAC%{80g5p}Q;3!csL};l?GuG=Vv&J4oEY(x+>4&%_V8)Xq5WZ3?## zScm$|8>oeRtWc|y0?HwTb#q}t?C&!IhJ`*8R^AB;M>C-a3bc}*Zwn!*%GY+%l8K4H zZ{H~Z%ekKv-R>^b8PK%BRfBjCxNg=SH0F6cVy9khnW&E=H42&{^hvzG>}jNq?tsuU z9cfyN-bJGEo$^FPe@QCa(Tz-CgWaqr>vF;9zAnA5;uj+CkD}U|3}srhV6;gtr84xO zGdA9(U}e{2(g^#wi4~h3@5cCSPMaCOCfyJM!fb={=V`AKjZJzld-pSlV}vx%IAMU0 zf8>U6MvKkvD_KhL?>9=mQn(v_SJRpW$pfXj9(SSx2gIxUhB$$}oX+1v4uNfNb0$EhWAVCc^YBQh_U&TbsWV;4Od_i$&>Uq=Ql4V@``;;B+fC@ zD1dl-x~fX1;FPAqoT;_jL_qwmO5bmz07;PYz~t-wV{W&GV&5pFhtgWt`*xCV{a!=a zo9aGI-3s?uO=e)Ged!8i!m155-O5t-C#=4_XqJtgZR<-v#ka2K-PObv>z9XD?^&5O zxx^6dwIn=+Vj5%3N^ks`5}Fz$-IQ>P_kl4f_e%Af7*e|GXK5{#%E(Hyvr%J;@>o!; zHdbYp!eX`}WV$#AW25@#DNVEB{JBJu2n_-~&g)z- zweCC)PD4MJ&lD=iV%RY&K-pJQX8S0PZ$nu+0wz2}=rH2ALDmQ&9U}bJw=FG2cBogh zY-T+8_&yRSidoMD|NU~_r+QVm|0$Su0H81!B+w7|AowA+Y#eAbzBAmPB&6XHzXKNe zpKOG9pGFVbO(X_MvzXeDR5@Y}aZCe&1K#dQZX8Bz+L7WZVl<7S089w94d$;#l*8nc z@}a3xbXLwnf<)vW>D@lsB)zWZ=Vq|PhaD|lBz%82Oj^Z(eAh!m%Eum#*zq&W%D+*P z^;K_dIQ&2Z)og2GmZcM32_WqgFO|~NeY5eJ#O@6^%VdVkskqhl&wlSYPm*$nSn$eS zxWgcn^}Wj?s_j&;*P*zAHTUL_FmMf^chSX8yFVd4`a@2^DhPA%3beN95|2Io+s;1E zPsqoOU6))^fMhJH%6b{ktly=!LDX0A#2*TVF|o%4t3>?;7u^p1+L*hFII%v6Z&SnZ z^@#&`Gr*8=Pc-Qs+0DD{qKq$eR9!PW{utx|p6Cu^Iu2DL9zeLkhGzI<2cw5Ev9QsS zaOlvGy@n1tNUqjVS^vU0Wk8FQ_%Nj2N!4^Ru+Kr$+CWjL)u44*^}YUwP;e`H_a{Tu z(-e!(r7icL@bZD9GEkw@5*e9-`14Vaiv10%gQS1)&xrigFmt@nSfhYbiAg8 zo9eup7rJ;OBaHj9?fa;t9u)CE9|VM=h%{GhQ$9O#R-572 zB$7tUqvO5|@T;uSexTn}Y?3=n3A>n{v}t~}`j9@k4Z1ssrSo_%X?-ea;vR11YU>^@?^D>uXH9#$ zMFmxLyuZxTu~Fry@$l)}RRJniF0}ice1;K3=mp5OKF*EYbc1!|EPbPgL{7i-&2M7` zc*EFgjR+Zx+QL1;P<|(a+#h#V248EiI~;R5k$n+IekVWuvKoTp5kbG`qtcR^Nt_Nb zwifPbs9!Df>1C9qNdcb|s8)`{h1fofJEwV$-Zm|tMSHqGgQ<;a zqZn?z8Lo4McZBsFoz{wGGP978ZL#8r0>(h+$;XQCO%TTUF3dt1tnyZ*KfhoodWT8S z$hF|c%%y4hjq%>T{1)BsE9fux^K@LdzE=ZS z`GG1n;A_{zgSsTjf*2bT*j+CE%jtL2OIBOSoStDfU`D~bLY>gUDT3k1~GL75~ zM~*qeJ=fHK9aLt*FB=|Z3s9a6^VysV=OJpQvaebRXx=Y5a!L5~(mB(|!Tbb)%V2~` zOPSN_h(G71w4dw+6ljEhRzl2!;PxI4Mr>< zEU91LUWSoh`QQZ%otJ{W=mQ~!#vz_c*JGm$?lf6=-A0Y5#rH0+l?wY`!t_caQ`D)X z-%^@HZ_o$ApWg|1iM2KJX9wG|nPi8kNb)ap0hOBcdZ6EmKUR#g`rbSX>8M5!1R{kr z&_$tNbiTL$T)O$ubi(`a3GVwwu9Jrm_(mC z;zJpavvk~zj0!HR{~73-n>6hNxQjB=B35i*oSVGCdGmb(w#-eLuDPhk6x}~L2G<(& zi&%qB;F~gBONyAwx8u2PZ=K*n33lq+UpC5h-127!#P)4443fJkr6-X(t#iWc zV}jc;4MHU-J;JOC*)7E(s0-@NYNkDP@j%EzL7ifxEian0q+$qV1&r#S;w$W>HK`G} zZ(B_pGx7J~Am(vJ7gyJPw%xF9W>*X&A65k-6JAn}NxGNwPv|Qg<2&>R5*xj89;hg{ zujZ(~cU|5>qe-J=F(j$bXgGQmhBepQU2Cpp@|_ZgP8JHW&OkT>(b3A6QA#2^Q9Yyw zFU3}#yXIB^4#*$@q?nTXrQa}+WgJ5CQS%Kd-84oU=%!&Qp5R4Lu@6G z&u_JMC~dmU7A68>uy7+z(3+M8UuExl{HqM@6T_RXgSfT+Q}kt@DQJ{`{?qp>YK)qg z>&3ZjMlVKJm&;XE*H+f6v^$rb>AelqG-G}HcKqKbdLo)ulmr=YyetXduLH}3s54N7 z@uAZE;L~rCIF$!8J+g(m1vvb*sp?MMeb&CHrUjhTYIu;Vi1 zyOSr{IZ{0N)WK;1#D-&(i=wWl|KVtOx}r`+2vLgGRL)vRUO+}GH8kIemEE7z|;v8j)7sjtwFyAuao&Mc-C`^r6l}3t+NhrE;m!5rHJxl zOiQA4P~~=b7>PUi9eaZIUwo_K7mXCmUt+~Trw#M>?EGzALnG-~DgQZ1e|wpT000al z06_4Em;E#T^tA!a!S^swFe5(nfF9!?edr@S3G5~EUlAn=JnK6}7+3({--h_V#p8eN z{9~&**dOH|B@Q9Yd;481Z3ii2k~WV+8$OUN$2M>>1@B5gv4s zk>u~M`FqL!nEMG20FeG~X#X)6OZ68>!G!l0$dLlqf$`@{+Mh}MU%+{qzd&0ioWD*W zOeC*1byVm=?->fzNJhS)A zGi!d>^Xx#?4;7%I93&JL7#J8FSb%Ygif^(V(;PS$7%emy80?>@sfi=Ar=4x=yh3~- zD;C)G-7h+P`7YRuC`qY8c_>%YLPIq3brlt>g-b)Z{PyPy+?FO_BGEu7!4^eK z?wAV1Bwn^OK++Ar&ouHej2Sk@ngIo>vSEx#`JrfJ_rW#+pCV z!Ex5_LC&Z8oq^AUy#ED%3HiEZ%jPQmcd4=ywVFO2sw1o@-cfk%j19v$1`h?$4Bg!j z{vh#26Bs}IW52n3-;UqXK<;O=Y{;P-b=$6DaU~LN2)rw>^aWg`SeY<&PfxTg6sy(& z`_+$&U#*Du8u8@H4n)Nyl=*zjgnr|rcx^Fe6-Gt%5r#X-3vy;@O!BUa%htdJ+o9^2 zG{bPJ~^_^3JN)Q7w?1J0q#u)e6Zn8Hj;T#%5b=xEss8YyYShu zC0GQ-6g>+v^iVo+_#L+2;j5IHZXvBQmY!+lB$GJFW@+ivq0oDA3_qObPgV%-RcB!F z%<lWq~yMigph2J?X1#S6cn{~kT+ zf6o5!6KfPG2?;^~7zUYG%A3PDqHpM9NU?@yx$v>+2deYLh5T`H={=j^m$Bk?nDOAb z=#I>5iBw$@%%Ake927~HF^dPr#oiX=AHa`GD-5A5fPXQ7oo)A;w{y61m($S_vDS3q z`c{n-qTY@)DSWXaWdx^Jh+YUF3|sUC$C611tPZ$f`Ajwkf|^P!E@{4Qqk_u(MBBti#Z9FzMe3(+r*bwSeHnjSwU8w z(X6|U`r4t0*&y?JYb1e%T~T;I&{rKwY_EYNwwe40U~m;Cp-avnm^3NIHzn$w2CZf$ zUZR8*@DebA6sQ0SX5UTi$TY*#e1A!zlR}!UTW-TsQkR_~0KyD{aU;k+9qwW}w{vqM z%U1ZO-eg|>R+!1YG1UaY0j3J11&5jGH=TkeRQP{ zIPs0wgx^u$yve0?@bqL6Pb6iQ$Ci-z5)+`=eV67PHd>@5(MPX09Db9m$D67KB83;q z`{ehKOOVJa&ST`qi8sHoU%Zh+2Ev7tY5ww>3C_K! z*!w~QpU#Tg!ZVX~;hk@N!Zm~K1E1i<#OI%Irct@K8*KP9^){xzD2vv+(M-cO7IQkn zr&v-knUCc9eZIl4WDD)(T8kZ(%aGG;6<|CMtEM*+8y!|Fh?dC@g)tfr-!pYU&-?+F zlhg2=_|BS(l%h@yb@{kvS?)0_f75l>r++B;CA!xH&JM#Y{Xc ze{)K+gxcicv>10ZBKwHGDcXNllD#R)ot$!W^LG3BaC=53{S&=7mAu@ESLBw;yxfHe z=tQ(Jj&AS}3b-Zf7#|``5S*kIjnl+-VV7DcqsUe@JCPL_lK~tR{}$k_*w0q&m7-b5 zPC9M7q;!JmETx0*_Uss%|3=wMQXy z^`~=_=MVxKrizB0D;Y6%?Yh(a5*8uN^1bEDQcf~s9SGJWFk&VioBEyMr>j%x(Mx#nHR;_T_5nt z$(XhGQ({4IZNx+vGcV?~7HPbGv@iYgiH{q?&L9~Vb! z3*qlvaVzW}g{leRkI*0eOt%a}^Q>L?r8chwO4!--1o>XaCExAK-bPMpw#P2bNqC+c z`&7{h$*)1bPJ`17fB8K`{ta$7lVW7vD{XvxH}8s~ZHQH7-SDGUZlHr?4mI?0@)Q*s zGTVes7wlYlxrUIQepz!O&K-?GRo){`paXM(`aMn{XJV1hrQcWfA-|d=-i4$#>GdXl z+z+*)0(z?&)w}+tulnqM3kmKw2QRW^5z>W(aMV3hJS@2UnKhfFeH(kEJw{vLGx45< z@jwI|tDYt8zCE-SPM}G7Mv>4fpB7aU{3hku#~lk$DJa@J+=HUW0!wtCx$E;x;g!$i zEQ$lWU^f{D>a-jGhk2~|s9usyW}Ll&)#gOQD$*_`U+ExBufjT|9Eaa8rFrDud#k>K z7e_FS2;|0LMvum3>gz*ri#GeKR1}mMe&W74l9ta~K0OYtEkG2n7ztmJ$4l7#2H|}_ zh=eZTH6M4H-UH#DI=*KNNfW3XA;zs?M5rIK1fLw!w)H(ThD1KT<^9sS4P63@yJ@A; zGTslI!3x6Zz`XyyEs*(zY?9#qt5fLZSSBPSr&IJSbPMSi4YXFs$&mcIn-6sy=-agA z`qo<|GRDzLDy#68B+|a_6{>e%hMho(a4%MoLHb)yDXTj+F3#j!!|&DJMpGGt3&TSC zSdzB7fKd(85AgqzF^TYD@PF0Ju6%5>KvFzBFI4SPTu#yiJRQ(&UHpM$?RLWVh;J)< ztvP~Hoo{ApTF{;9i4L5%%O(`xlBzVLXgwl4x4rx~Jd!ZJc~*9N<-^U8t~K`7!~ z>7kde2%QjJAy>=y&Bz84fu%=gEkW_w=LT2m;#pr6ES!79;|DjZ>jhNqzA=clpsxB= zxy>GP^1U4zA=Jh$j`6xaBXnE5 z!ev2gX~Cv5KgK?#46lth@*pCM(lPPc7jUVJY#9*IUg+!Qk1d!%(<2@j!;EN_HXt#S zv>N74^8iyq;ww~}V}4;4)r!Y^*)a`{?YcD(8peF~PI&61ty8OX>d%yebO$UIkgq{D za{b7$tJiKUgT#-Rz(Zrw41@|!&%rhtIymtJ=0@NZCONe++AVB`zAig?C5k-g z4gdWhhv0?+HF2nwl=yH?(cVT2wrg6|;9Yv5LKDjcy0E`df+uMV4qWN<`Gk*~{N&5P z&GHcO*g`6DIPN{q(&Uk|syZbsp;?`>sSglZ@(`Nt#~{ww!VRhhE+rQ|jZv$sVrPJ} ze|HYg3vvk7O97?wP+glqS`36drQ19|SbRTd(CGd1>Zf6cjtxWi?L3$lA&30fAuFk# zd&8Jz)7}0yz(YApWV8$KrZ6{b0_vm+64NVWvlIVLGEX5I{HdX3_~%Y=ir{{Q=sTb! zq-EJe8T2!G0)vNp?ytaRacMjEvGTiW#TbM9&=>|cvs zsZvy?efppgs&JERNA*&reW!=$b9`fD5}BO9EY+-`jL8*%?^|y_^;z z0H;Lgd&n$_oI4@x>hyxOS){bKheygXotYB`I%3S(cl%YecRIUS5=+a#6M{KhbKXHD z4%abAyS!hT=AHpNEeidZ-QRwQWdyohZ7jr}AmLd&`ECK8)?FqAo;DYf!b;!n1o%4y zmx<5$Re+a|dCadmSzT|=elEbf^+WxS-p03~%M`ibXr0%CQ&F=DTRVp|s&xi~)2jy- zy-Nen?a|~?D~}oA#XF?{{nKGcwG~Zn6x3N|K?t=Rs0P^W!{9^ko92C_Ua<{=q1vZr zPM*6OPz7U|ep4;)>2KpwKNx6KOS5yh`SKId4+x2oUh!%ps~12w(Ymg^?0hD_wmK?? z;TB27*za2WNK|+E3@vT6sK$<5k@4;O09sWWd_br)u~SBZ-u-708a^&N+wgD0-I?Cv z2N6*}S1+x{nS%l)ZK?1uZz&8CfFTfvG<2BHn(eaTij++}%@Lx7#e2(@aMbwdCFz5# z!9bVRgEjSy3aJD9O7js_r{i0*0Yd0b^O*?kI;AI#;6rZ|6f$XhE>cC&e2-%ckP)#< zLg|a80$Mm5?vL3*xV(I2@tLHNRGWUgbaXjtCG@y&f(Rn)g!VLfm_syd-1Z6K?5BhG zHqh;2_rl4cI^%<7(gH9-L~b#JfsSRGwLopp)|1w=*-9vnotW8H{8+Y7c#nAA(9%oK zR+RuB)e(tLJID1D+y%;G<5zb#KPIE_M8#9;jm7R`5p6wLC~C18KG=Kh}ewsx3hjJ>k2$@6+lk7 z=wzF;+2jo)SbZOqRJ60=tYSpRFX|PT^m^c_RF4!BJ3LIz)gC_J;n*^?kmT%+5M`0a zTqvNUX)IARcSa${?$HrKUt*;j|25tVaaVNW!nmkR4-rmtbYfyQ?BQ(j;LUE9ek~y|X zNib&W`8ms-bT;PLBl6*@k|L+69ptG+zsQC3u;q^8(%{MNCrg`}@F#d#?w#{+Hy=)e zbejZM^}UV@FCCtV+887cK@vSDI&R9c?bL=FQ9DI!SblavG^LjzxpVbvWx(P{_;;wX z$pm3Sf_Y>Cx$kMg#6*C?KDt`MY;|EMtpJXB8Kq{`Ac$&{)MB1MMq9(qgRnE3ubqsO zg_m5C4T99$w2LgmibMH>d+Fp->_aRHo7(bixq)b9Yd!fhqx~Ai^B0cGL)poqLf6`# zpuc;`Cim_;&wwigcCWjX^ZMxLIh1Km~>BRt^c_A874j!WNf-lJOEBz>7)Mn3z3?ut7*)+-O89>Hr zyq?Z*qo9&caIijXXef^+^}A=+Sf!N5``gY#NmqpYOrYCD*WVLp-++4zY~{1p4r4px zayg8;!_62PNYAOinafo*EZf1ih{<^A`zVwi(xq1euHw*Y_MXy1M(D}OAbY1QnKfcL zw_y=vbWns$)77$SO;(8YB&XPCbP#^_#~-^$xFuRkU^HD54koXH!A&*Ipx8zg?X&8d zxE@Nr4j0*-hlnI?Rsnxv=vufs4?jt*wJ1bpE!X@6AtFUvXR`fr_e|K~&uFte{2)pR zO#4JFKpu6??#H=6d%$XqL*zf<*eS{Fy%dtiI-&C}x%8N=_JExds9|}Wx#4{4D25%a zZagf+fhuIivsVjkR3*m&!-?6VOJ&Q+(=A(~%%HDT>%-7=jSm#FZ^?G7A#xYB={Zmd zwb-$sSd7;~5h?@i)5Y|%J6|J)o#;QQE(y$iP1c0KpliSR&ezrmZy0?&*606LhU+Id zm1p+*D|Idj+dKZA#*0qON7N0)Z=&xC&cS_S&ucM4sm4cJ>!6!~=Z^u0+}Eyec+ft{ zGxg22pZ$WGv}z$rwN{i``_&WUDKoY41-l;y+S`N#Sp;YuK2mc(;<@eiW3lKw_x!X9 zOnGl5hNCRV{CID@zo}_Ss~?ovnh7RVKSL^|V*P%A)WQTp%-wU6)07lP{D`2Y|B5O5 zDWOVP_C(dPgtMSx2twlRoy(aj2zXX{Q?DQC@3)+F)Q0kVyPVng95qf$oBkr=StKC{ zRsUn-s~F7ltBwOA9iT2NIH%_g*5ipe3>PMiM8}t(Fa$f7al=JXMJ@AWb_P#CX#U<~ zz%j5))`M7_vyS%8_2e=e<=wE4M0e%FrSy!>%g~jF;dtc&1nBDPVNz4cr?En>YN#K@ zl-1ll@B8l`DYD@2tt2fR7AR@iO#Gx690$lhH;|@xoGu8szgLk&6cWQE5S|e53z;e} z4#Lt2?$H^h0ZOAN5kw;^mOq1c72Me2i1c?!C?T4R;`L8Gsl$2diTs(xC9hGV&0+KB zn=6+toNx651#;WI?c}fkG|$E>s*lXvbErf?29rkZ_$!6+$9vZVhnAE10M?zxhW_eP zZI)5ws)}rUu7nxdEG)vJ&Q?jFU8v=;LVJEQi=q*K`6kZz?SqR=?C|35JMDXpVV?+v z9Oyn6%A3rXDUL*i9y*vuLdMNnJVh)eD$|@g{OQHOAF`)- zR9`FbbRi=-g5N&@f((5__nu1B$Q54*pOu76VUx4n%;;qLcPX)fF#0sP?E7y4?ec68 zS0Lw7`IKMi{_3>IKRRWDD}*>Is*e46TzWj^@Qk0W4TIqIX9=W$cofHruxwR_=^Q@u zj1Q;`+F7RTTJinGB+_X|PFYh4F7{+1eCmVM=gSF9cozN5tk|cx?ioRu%WO)DJS!28 zFV~MugZ4i|KHJ{-h`A61C?oMlK#3DKg5GPE3I}m^vNH<@r7OU5jcbn+MoXo|#pQKC2n6e`k3*G8bHQ6{$l1Zn2!IpFZGslh1@8F+e;meqc=@@uknT{%nI) z34E}|Eb;aQ7z0gQWD>f^2!5bx3#~0&M6k;Txn5i)&>Aj)W5}+Tz=`h;_-rM%Xp>QG z{_8opFjv*M^O3V*=X0}mZ z6{Pgk-T1P;PiI5>C4?`*_q48(K@C`1)u{K|6;hKT{`(*@M)H~<)~J{C&a}xzG|bA^ z6UKPc5=tvSShpMEvsD)yZzz-Pp8WgTJ0o`?;9J2b(Y5-Srs%R`5d^3=YjQ0ulwsHf z^xeYKjyi+Zo9LFO(gJ@3hlEXBj_+jJwVy~}-r+wAr_PU3daFw2O$FVCi?u_l30%-H zc%=?JO5!lrf(4Kk0GOo4XFeZyUb7xwHS+r_l*&%j7k|T+n)}R1uzr2dyP-9$mAb1Q z22RlpnOaBm1hD(vKRb-k-C@Oy`O*Mj}*2W$p<0{YZ2B znrrDTr@YD$V`pqN_u!Coo4hJ#U0-Ngw9|P0Ao&?a;a~{S_%di5&&VEA9C}2l_iNLG z)>WELBj$1R((A*c29!lN*zlqFY?Nv725|bcix#d$DnsL=tcp7&)z_S~NtiKyh8_)9 zqW{;`kqYd}E(hO!7=y!SU=J7C>q z0qltpLYG2td1t&LB_^4oRpF{Rgf)>2-NL6pm-ZW=oNmw9&s&|1%4UIr;237|V_^v_ zuBd=DNa}RJFOCKiPeqAZyYfA1MSF73Xd|tCQJpn3rM;U6b~bHIG9)Cgc3dq$>`z#Y zr@02!V}bbDFN^~&yQ<8Xcd}E8a)|$r+nDr5n*Og&m-7soLQl#iqXXJGtg@nwY(1%D zf1?hZ51}L_zKsJle?8Ua5@)r_Bu~-><(P2r$rNC3`L)g=tPYe@9DqLZK0E~TZe78> zImvYqwfa5$X-wr2=shVyXsWdQ7#DS9(yBxiu7vtYP`u^0p#^^z$K3ubahKNn#0HZ9 zjwN2MsGi;tJKm$i2n7trlT{nBny{2$2T!r7UI)uWO@8WRde&7=6)BrLgY}2q#n_?d z5&S2+fUj?7SMRh3us>lx}Wa-Rvvv43d8Js*exNr43{DU7T`Q zE|s|c+Ia7GIn`@va=jqYqvP1k%&)7oP~r9gH12X)Hga!MUtn(CMLm6HWy(c0u}++K ziBIQZY^mD8y#}g_v(_LM-x$0uHYt9YCuyhdXsLYCNqZ(OhIUvi^+*A2%3{*9FUSQmJ$hV#ER3YQ(G?zvowio zaXK*tVl#U_0bZvR2p)DxO?Ap^9G2a(twBCkU%6$lD3S)X2OCo*m_CXJch*fTzx6J^ zLH?J_YTBg`2mhz6=%_Tn|2;haEbF95YDUt(I_sZ_2?GWOP74Nx`^Uik+y403V6B1A z;7K>Hv;Qs;LXwd!v{}oOC z*yBFPU|@KE5&zd(15Z%?0f|vzlKN?o{(=7rLzh2*u0Q?1!Qwc7!R*W~UiPk*igMr( zU||1oV`E#;5hMRZI4S>=%>QoW@*gcpnilOp%F>V)2cjD9-`cUXsQ)&YM@s;4LHZ|z zlQc_<|1Xt)@7bR@SD?Va2>&nV|D7{}>>sd)3@(YD4(T5sKt}*ENAV|2i2DEKmK6AB z2%qZjP*NuyCZ{ts7#K5{1Sc1lrlXRQ@mFon&sI`aGOp5gfUhdn&K}%u_6pWUW}>X@ PEUJ#?EY1p=4g&uJkPwnp diff --git a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0.xml b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0.xml index cc7b5ebe..99998b67 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0.xml +++ b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0.xml @@ -109,7 +109,7 @@ GENtimestamp - Tue Dec 21 12:20:01 UTC 2021 + Tue Dec 28 14:44:21 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.v index a053d4dc..d92ff801 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:20:01 2021 +// Date : Tue Dec 28 14:44:21 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.vhdl index 440f2aca..4576daa1 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:20:01 2021 +-- Date : Tue Dec 28 14:44:21 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.v index a998affa..25d0e381 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:20:01 2021 +// Date : Tue Dec 28 14:44:21 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.vhdl index 07ff40d8..186a7372 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:20:01 2021 +-- Date : Tue Dec 28 14:44:21 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_audio_psg_0_0/audio_audio_psg_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.dcp index 468fa0f730f37bbde90058e4e9230fc06ed3de4a..4e6fb968b5e31f26892832a0b59648e1f5a9483b 100644 GIT binary patch delta 6883 zcmY*e1yCGovt5F_ySrO(2)1|@TUcC!yE}wMgD&pw5C|kVfuITQ!JPns;1*!<*!okW<7fq5$IUz$72s)`UD#$9tc^Q%hEW-VcpTJw6&W=fY)@bcP zms_ZXp3ttF#fx8c%eI?C7hxcN;Q$uF6PEnsR?GbrS&!nLOWtweo)jo%b|SW&s(7KI z3jtRU6${9hB0TA6Y4O)hRLk5UrHJj@3@#G5#rJ~)wkcscWEo~eaJ9@SiOeW@ zkoP9H{vDeB)PV`VhWidH&G&fQU?xb|wt)!unZM{Sm$ti-S|+_^y>j7w*{bhb&92z( zIX_>g%t#xjbzj7z_bWghDm+fnbn|0*>O1zd_B1JrJtuaw;&)^-lT$omJ~~cdRPcRU z-nk5Odg)`vK`!s|d2RlDpJPo9Qf+srQmlWqJ`m`et-7(L^@^|8qlE0ysNi0M9Bh<* zdetT6p_>Sp+ILg8r9h4Qh44{xi$ZOD3z$xMslQQG7Hx(@j#C81<{o`Q^*-S>Wb_qu zR5H1z!2upA(C5^F1#&R+ObG=zb6U1F9?j>Kg~-=hw@D zL^uq#;)p49F*0c(UfAC--GY&MEDHn(RHFruVf2Qgrc;3NbQ)~opAd&8(R@nPN<5{a z^EU|(XjA8wjyRz|6lK03gw1xfxnPQH7CX9w2Vo|SiXgWK;S#k(m`Ej`$GVKh91()% z6?z}xlz!7BaO)k^_6;8^57wmOIyveQ*7(+daIozU0;S20L3L8q$9Ot^V!>5{h#!s& z>|dE|0ESAdRM@a+xs1&vx$F2M_SmTxT0^qI^LtJ2 zA?z|!H@*4mFr#S?l-~Rj&I*n})n6WTa16fqAR@(c%F6FhVvshfE>K|~FM*C+f(NOF zr@aj!ria=tAX+9fPKc)VsgxMg7OE01{gMLP`Zk2(YNHtZ(UAicvag-}8XcBb4!+7~ z8VSxh2_|TaC`S?j<;0!cFSfQ?HF>;dHLN-ybkU%^dvxfc zsr>F|R>*f5e(u1kYgrYPiD<}GLGtTBIjKI^N*6xoD7!G~?(y>Ger@{t5i0%uAk6vK z;@#~J`{Dwe&decmOCxgwoDXJG7`Rb7FzS7Yo<9F3yAV-9eK?=p+|uQIf9!=+vCvgd z(p*BhmwgB&8%bk1b?Kr&y2kaRs|+-)%<;!-ycC+zDWb+wZFaACpX*ZzL}aweCU!^K z3j6y(cGS)17cc{2;4{$7#s8}5aFB=35r7daId)D@i zt0oigZH@PP&&L|$Y-lfC{BR<$J;vr<2iKg`;t+;8c=!?pb>!$c2uc})51>9VEj0%3 zU&jt|g4Q@vF2+lMKZi50J@03R{Z>?h7QXSu>XNETEDqhoJ|y2!U=tzsw{-pXRG7?A zDzvhGc+}vxtf1yK70+<^B|*$>{b*BaP>8g?3Ud*05_`ia7u^}MT2UW%!%{iWsx3Yq zl{S;*d+2o;kZVojxvA&YDhT~><|{@w6@f|QJ8(qxDqDikPoj)D%Vza_0wJgFo_3bC z+$cVDxwYeUBDE+V63Rg7uumH&mKHN6xmUQ}EBXY~xvqoh#fSm4fI{jp_He$R5T8{r z5lEPk0s5(M9vo0;*T?T|PV)2tpg)N>^ub(cV3S6zfc~)!?j95#Xa|}J=h8n-2s2jn zFIvT?+BR^MqY?aCamZ(`d60-p+d$6igLQ8c*_Uzqb_PCoQk{){WSX*J_jENtJgku? zCdgVTHr(kUFB`q;=8wvpPDbScO8s5We8j8A!;D@^& z(b%Zo!F1S$eo<&LDsY*98WiXSOzk{E$JOSgxc1;$SbERo zp>NKtp^luM;j({=`U@Hyb^Fl}@+rpkxZ_)}5Y8@S=lKC?jrikRF=v6y>u4q9Cj@6Q zaTlSRroA!iC*!~on{Vh%4W`1ct;Z24mYojTayDB?ZpRpfaho1xOC7Nj1ETT~YgX)V z?wA+DaS=v)V7cb`E$Uh_g8;|?>H?C(wNmFyc<9`|h#~tYMgUa6{qmi8Z5srgC%HuJpt9>Bo1ad7pcCx}#ybj-t!b zN_~l;y4a^?uv9fixFv5bFt{NBbKV3L zXmV?_zSs)+zzN+rSh#VX6;r#NM-V4o?V@0cQ`a4+;#xOJ?>Df+du>1Mj_I5w{&amO zV}G;tLZ_~dL(_mQQ$Td!B}_-3y&3s3V&FYf5U{+&dbW_#n>k>trUipDZsDg#2>o89#J^{2syN^3rl@5FOpz!2ubXXtt4zs z*DH22H>NwNos^O=2aUl+yZH9Es&*%rKMOVX2iYa=1-Jzb;owra_-jaxr7>SSZ&@m3s zI+3aSdob>H05z}JtwoukNBQC)}3mue)wKy z$q9d=?4@NmpZ9|WwhdE02oMdUDq5YWPCidzAF!Yd@;%A1je(Hdq3F z7JoXqD=B;Ti)mFiK{Hd62d7J$wL3D2K{`!77H;ahnd06PQ7Jq_i}7Q!Dt(v z(F&y`f1^4M7z%lcsJ%TBd$Itb3jbJ)%e68G0t66_A+d%6Ka94?qNUqPi zn6K40y7=%r31Oo#I|cFseLG@@MGI@%3KX7U`knZ*4OxC_AbeiB*|zZ);WOv1gxR)p z(>|ZN^RNw3cjmJt;u#UBEs#)D)2&K`_VDw8Z%|LXp3x{X##^X2Ab7Gou!7ctBa zFTBGib8O`sF2nn$!03}+H{lDhd_IKQFmYCdOoj};#FHiYDO0+YX-g0BXq|l|LYta&jcG*w$l6|Vd6_TkNiqRcD%BaXaqOjER!#AcvYc&* zd97SiMeD^rk662M5JAz~m>DJ+A0XbvzxZSacp^;%Y_t#K-DqFF*L*NQ3jXnI<$!)+ zlPsvvSV7rrv7P+}M|Hej?(ML_Ns^Y6XgBOcMoXXWWf0~$@4uA1_VrB*O{zZ*KT?+F zOV-pn9Cw_*|J++n``4~7001%izw$m+1s5AFnJcF0w=j%5)fAT+dOvBlVFqo2tI5ZC zy;8`qDbz`Y7>rJRNR{7bi^B@~NFY?|tjrsSGE1cV*s3l^oC^YJVl@vo@IFm<6!;QZU=Q|6Q61+F#s)!TW)7)didt6oR z?Y8(}S<=sz?KT!Y+1r`jufj#mAgBnk$;#d@3v6>y=6Hu%H%QKxl z&DO{56F?E&PX)t7AQnkTX|&=6VRQP-Odw8?zeWZ(jAY))o&No$$?TT!g2u~5henvg z@MD4ebZ`~%x3Hf}C%&bjjD3EigC)X=g^f4F%p}}9fX{f5eu|PKLo(K*$6>BCFEMjF zC+j@tZ7lAgwV~Nn@rxsm-VG}Sh~G}2^^y}DgmFOwwwgnmGZ~gc!;&2}+rRSD3r=;M zcjSlbj{FII5ggCQlM_k;-Z@U2vu*@o!9BFy$BZ2+j6wzt@Ey30ZG!}WKbAz~%2#%N z1$p!1yvTF^_Bad{n|XIpM};T6QuT1ch0d^w3Q$}!tKoz(2Q|tS#B7kTN?CX>&DHU} z#x*Z-f_Y)a@~z!0>KbBbYak@5hjuzF@Z$cqJ!}{+zwUiIf4gz;ByPwfgIzyD`|mnL zY-Y*q{L@gj{W-`|@zKelel7@YC`z~bPJX)lq6$E|KZ~DFnD6hIPWTPqnpKkuy%gaE zXgVKU$)`hSg?WA0kJr=XZI+Z4#yTYOHX~UO)M@z9OM9rxHHv<MQ$l!O}>`@feAShP(>kq?l$pbkr)pHWySXqdXq;WQ9Qv?Be_lcTYA4G zR>;cb{aw^#69)>S2ynOrT8b-%GnbUcNhE0>q7&## zxkUp$9)1pPu1mt$ddx+f6{gh*J1BS}IhVEOmmg=5m zv0$NQabNI*P7z9h4k3K|8!j}~JoY#HnI;H0)krY{wP?VYT$ap{h`~km`64lV0g)m` z%3BrIS`kw8krG?+;;Nnp*nh#SC;A?Kz&c-uS<)cmj*0=wIsZA5Ahydfrlg>?fswKT zwC1os7n&0_R6c#&?Z}Z_r)_Beaw0KAg65hiJRn0u?C_Q5N5I(IiB(j8l^|RR#w>tX zPh8Lr^p|=CvCKOHJuAf1;?>gl7+Ev+A%oj%Zw+$z;r-}bg}&83i=F{#XqJ1Gi8vy) zt_)OGPgPW4qFGaomDRWpLzDCIV~b*4vU+31h+6;qccF&5yPZCM$Y+839z^QYO+`%h z`k-oxn;0=0-_0+d{MU;gg;rt><(NO>NKy`*PQok zd*#|*`t6E0&@f*-?_Z4wj;KWM>ZX?^hX~tLiKE}GSNVtl47-<{&~_9bIL5o5K6wOK zK%ED&kPRiLC(PO^+BL@j^=3Y`08g{GS@?k5OybnS5QwZnnZNQ(`VnoL$=mVd{Kl?_ zsc;^O{Z!_F5s-=c`?m{%(l~8}ezfHmwv2RjwQZ98%V)VxSHGvnC@~QlW*ayAGo}TU zbL8q8Jhro?bygr-_4m}*B~43fBSgzL&}_oaYnPl)H%Pn4HxQeTNz?-G@tHHiw8E!I z8A1`NsMhf#OtzteoptM2RyvwTS!u#jMf1bH2`(v#X!Qi3mXF65{egl(F=vc}GyBzV zzx%m|r3m4|zTE;^Nq&zT>uu;EfG1@|Z&-_tk_JELf}NK8sGWfDD+iNd1IA_-+DPxU@n*TBo62<`0Y#pnTs*J1c)~7fAzrz_W1cWu zyBiuVFpx5^=piJG(cW|t&7@H^-JQ%N%q~N#$L7lhN;OBM`25v~^kM)CRiEs z(Och>1EC^DP!*U*6ve`u^Y=00hCUg1sRqWuSZK7!)48mzA;gf~ z#nOeSayMtCLHYyMUTk-2R|cJ&C(?bscV7qvqrU?4Hy83^bv+@I^)j?SdDt*Y>3FOp zV$5sIO^*00?Os`$FbY@4NIKL{kAvyA4CCUXvi-htkLwE;DhgeL?_Btgp<>Spo1-|C zn1SxT9?rY_`TXAB7V^SAgnQ7FYi>QF9`0dwN9L0L2r*sGQ|`|YlRxh9!t{=he}&RB zS&!a3WW4X|5U6ED{(CPzoTGl8f?h<3mCV(`ulGj)yZ9FG8eTP#hz-WzgV{$t<#z=; zL`O95H;|7K#}l^cdw=wg*g!SU_DAP|+xC0J&#C<8R&kciLS39bx)%p+iwOa6bC1yy z`EPgiyNw&#@FeL+Ep>5Gnz?**HlF3{t(2^f-k~MX`|$FdONSZQqk`q3a#JG@s?p8+ zB@;euf0Rms(-pxy^|aH<^H&QqpI4m5gH-3v>09!J8Z z$29f4tKwe~Pdk;GSwwJ@+o|;sjJVfCCBBb1tg&TeP-35|H712oxNv}`2lc?Uq{Q++ zdtSt@(XuIzRcnc!Nyn3sTgA7Y4dclkzjAy>gM$^lr_4LVg$LW+)}8ih z0MS>B=W(*J`>d7^nQXWm; zo-QADl^F-g5MpUD{|S{ZLGBmQNye(gRn97!@7%$%NoH(St<4+6=J4z@EAZ(Q+0R;N z`W)BZ#NmB7%B3thSf|lzA8U_Xd>E;lnwe_rc>2vSnb8O}SVy+;ZT{r6&_{57xT1&w zu76$4I%O|Jl6Dy4+Eftu&3{z010@*2)gNo7LRIL=aU%rfbrSRekq|;w!?IT~g-Va@ z*EmnjC3LMzK^Q8az!3z2fhv?kSCHQ{l!kt_;s2I5eBF4h`&u1zIj6!d#@*lg)pEv+ z-HZ-{(e!3asE#i(=Bhu(@Y2%_$oBMG8g8gfd%0F>Yf{Pi=y;oTj1V~Qt3`sz6|xa( zh#v3ZSrR;>7eIIvY>Z0|4ZIrZxY!T0-Hec(iQ)u>aE) z$k39!u*dn!lj=r`Py6>q|LqI@oBL<*184~)O)a8jqx&24FD3VXC z2VSH4e^`*7P*8{l0N?>A2s%1D7%Ivu$Xi&exW0L#B&+Tw@9M40YYEX&(DLU~eXRo0 O^RlyY(l&s2O8yTyue{0t delta 6923 zcmY+J1yqzx+sAidL0UjodI1Rqq>zcXZC2u5PYKo{JA^-q@0U$9;)~rUO+ZY4_0B0Zo;K}1% z3v*{yZwLF>Byp!jc9QV1OpeuT(UeGAM z*wE4PqBBMc1m$@hRCm~%$k&eeUGFnd0;Z1ANo8#R!^=g3%C>jR^2rzA(?EA>EtiYQ zdS8bTS33FKC&WM5giIw|2w)l2Li54k?Q&)G!%x#9DKa*?9}VG&LVKJaG+9qQRNnLw zh3jPqWhXjx9=XxqjSqOWaEcfe`C+($j|>@!m{%6P_gNE9?UJIOFz6`|6~KnvjyY&- zUrvP>&l!vk(gNH?bas_!VFV&;V0}RuqgsB=KeIx~d`yfRsmj;F7%-=ZpI52S%jdC) ze(Br=jzYvYK+i6KG9MB$f)-a5^${&L9HGS_WbsJEnesrVW^mO z`qv-F)}*`=Ig~~R06ZWFd`t?MrG?eV8wa-nUi|y)w|S=#z1i~5sGC~4r`&sc=<;O0 zMMSa9sYG;83)+4@!8qtXJa5+}oHzMpCO0-Wr1UvK=jP02!ARa=ClAy4IRxwAO5Oy6 z*5Gp(Env~!9aBCM1&59rkXL&&vqpG5aKmNz71W&}@Jo3~HC#~kkli&OChZMcWCKYP zblb~>S~-&l)Cwek{^_Mt+=ubZsxQ^DVSkIX?D_HM^^EN9-p? zl-#{%p(VNX2ialWxMQ6#6LCY5?AWhdc=M#`eX&Njqn+TmYl@{=S;zYCNDbh)PK}HS!WOTiBA>aKsZVLgmHG;WJ;t9%=9Ii-608W*jL?yg-o=lj{>aY? z+GlNU1V(*hvGI!(OO57%ly`)Hv8`e9ArBVZWCLeF9Qjbk;M>t2tHK-y2z(-LkDfD0O<-otO}k_YRDAJ7X8`>Wun~E%a8F7 zsZQ2p~FO^+U{JZ>v!7$`E>ohFjh zW@=Uj;~rhZ4Bu1tmRZr|ZX00;B89!&z3liN>T#kjdZB*NmQ@bTs!%oQLdf1~Wc$}~ zs5T!=bCrPoH<89Yji5IMGb}x=y903HosVDr!rF>T159j$HS5~~v+YZ1d)hRejyIsi zfx1pt(y0!bc|NWbAQ5+DnT!QcF$|`aB+g`q)}=A~sZyV@$Xn>Sg1lnDPnbqRq1VD2 zOEq)y1v9i+mvEc7-wgzlr-&+G0!*SC+jz`+ z$z0-j%Js@Btbi`YW4OOfGGJ-pW6c*Q=Nfr#D$%a=XLVpQ%_bh6%&BY8IurekGKd_l zn>%5qhgtqwIf-#DO#8E6@`9S%#FDL*am}i2?HXc8MFuO_ zwRY4Q)je`PV|p?E$5yMQ^&~R0%D7BcTM?7rKl282-biYz>7~}B8V8GCA)c5t`e>xq zZ*tn?3%GyiTh(QgS=(K^loXkQs z%HT4)GP!|Uzqhi-PJ?{;C3lV4cD8il%2~OzgP(+0)R5<0?HCC!1qod3&cSU|vkgX6&0{yAuZ2 zsd-;N;V*{bv<{P*Gib>CoJi1ZELJ(C*WriI+}tP4+L9c6*V*FH?DKV$GhX0?xzUbH z=couE@&JmnnfyT&UQ|7bs(rI6Qp#IoY71yHr;pg!-}-UPpq&%00@(xmII zJGO-wV!`l$dKuHc+n{&1wwE)*AWyadC+-K|pYR_qM=8@0Q<*>BJ4=a2es}g|HM&j9 z9IYlFf&p>=QygdnktOUE1JfzprKpq zTrsN3;wM0(5LA(*5Uk&9z|bx@J(`9z!-j{Utj9r(8{o!mp!ZWqSZ%Fii}FFE{Lc)d zA+BFp$Nqlz#qwbK3bh2|+Lg1;TFhrNAEIWpNx(w9rpEX2Y!gOgEkbm=n(PBa98n+J zBaUDVJ}3DDbW~^-V+Etpru>$i50}V zJ|BQklw8i0_7`def;*W~mNeZ&dtFMWIwNk`k9)%TrA=n?Wf_=Wk!W0GR8+aePX8x(W z7WJyp2Wl+nhjYiPlNmT|0Qmx^c;7n&)}s2CF8n)sN?0gd)bu{;&x#waS^X^fh+e+GkjLGDrgm%__vxeYHANCiL6;x@}Wy~D3$%?P-%JN6V+;vuj zp+3237)V))Egx5g@Or|O#FH7R4?FcF29F#V*O1B3p;xAfiS=t4iC@UiGq5bAM)-b60Ddr}ABrJNtKWRySc8axbL06PBnVF#1IEwwV5^_x;!$mBO?0o|Y z7hD+(pp&^!cl2B6_ZCOEDZgisx3v85&Ga5>2p1wQA{dhn+}^Lsu2cS^PkFZ`-LL*3@-q35Hz~(eaD@VH>wD z5qh{MNR301VF*EwGZDci`t3a0O}=BrhWE`UJnthclvkxMSY7wI*?=LA!-qU_b|tyn za(+9Jz>CZ$&sE|o%eh!$tzO$%kSFeB+YOg&d3gN$+BJ)OmALlRm}f_nsR|VCu#=6Ec7{H@#S3_$2f}M5jFi~-V{Gbv*cw;v^P({(y?@CE z)6Bavcoi8FkfQ}p4a*;*r}V|~RBr6*Cj|p7H1e*>a6&q7ENn5)tWvn8m$*mF?xHL& z?Ylf)xOJ$nPimO)wMRLMtKg55h=koz{cDxg92bLZBmD3}T%GLg zI%gCBK!E0NV2@CIii=UL6qWRwH`W1R@{|%bm($+Xes8mz@d<2nN|coWQ(=JaYBXDt z^X$TFZ2KG++-eZwegMA zZ&>b?W5(54^0SON1}vN2ZLHt+n$9qp;RyUZBP6PGu&WvA7DKUG;zCCU3JL8RJtNM@ zD}rfCmJBQi$UcWkDwp{IYw{Vo+iaRiE^Tznmb11jf@RJC485~bUve>~_906O z2vbALZ-~C2uX}TBPtUFQYetGLIs#_@tnU4;|H8zCp)PO-{P#f2hOXgMJnkitk46GO ztWgsqP6$9SB)aY&J$r8*4t{9~>c_&t&ddI{rsfr3U$&@|rxAH}rZ|zMUc#< zttePrz^>}OL*FH0bmT$3xCV)%VEbyo!9ZG97 zln)OhQL5fj$2W>W=1R%O9PY#K$u>;~VLgm#n5`(a@UwGivawhMHSy$XfhZX%;k(az zYON)iT)SBEnLK;gS_dNLrGW>wQgQd^BD|%loQ6(RVuryBRM8K}@-~cx;S`H$XMAGi z#x_4$8$P5U**a#-ujtzo=Xxp(;V`f*CH(yRO%+~p0A@rb%=d05 zHuME#6Jb^p`%YiH%Jo+8k~*_EOPNMS^h!!yA{OuUAs?5!j4W-f3eixj6Ry@#(wpqM z${d5S9nQ+7>}$+z+3g}If3&8(0OkVIYQ$ zun%uV8)YV#t^BP@uA%mbSfH`zGGlq*vi)R*P(7lYgW&}!%Eztx_sZOac=Ara$?6$@ z8b*T0;b}*HjO#u|O?D$)Y(#wnX`EgqYK4KEuutlbd98#j8$-$||bk2Tl zObQjm-tD@;AMC`f55`C*q?HPLGIyyxM7r0S>pHUFv>UkyS@V^GeqdO8@1kzY zNR?M_de89fb2U&YEL`WD+c%4zL3_}36Vi<$g8j^OW$)mA$(ar)#~JJ;+;e*2=8((Ut^ z?A_9Zko4M#N+p|iSdJZkbR6Fu(X_BbMDj2Q&B{%oF$c3Qwf$of@@f)B5euJ(uBYJ7YXtB;BVV%vbdLFwPzC|vYKI4S~ zzs@*xE8FbLZ~2Oz*MC?Up@?-M#w-*ZNcvOR=@#55E zd!bQ?{oPc!Bdh?03U{r5``mXC5{$QV1yeUX#%Rf4zZh$*^4v(aA{MSEwNfsoqo04uJAV zpm7)Y=SlM0r9Tn7Y7q8F^lEkR1S)Q3(sTifwv{I-jA=acFdz8ouy-Vr{mB+K-pffO z=C|;&dYM!_Qw-?BNPf(xgB4HJO5!`USbmbR@6-xbQKH~++kKzp-dA9+eEnB&g3BC( zv=d?phOSTjQcLUi;+R5iB~3k8ZRhka z<8DU{f#UBcfPVk-ZiufW350(GD<2(xzo(CZ)ym@`IpnXGBSDl?V<2Fp_%QiQ&_pL6 z`V?=e-3)$5;XAm}MzCO?RRcnT`ToYf1Y8$3LG5ySlX+?A-#tZ|R+5~MoIuw0OOQsf zymYMsC?qhlOIy7t*Q{sj)_MapeW=-%eucIE(lV)PELQTUD}h7qbuKjxyg!}j{l5Qp z3RZ`SLZR(hU2$D+Sm5Fp9oT!&;gdnXWjz1NSIpFLe#Gh|lKKRV0}dKu6S;l@VgXki zDjn#DE%DV1vomMrA3{o5W6({|uL&fw0uYI>6o;|*X_qN#gI_hTk#40q5NWU7fjKpkJAR3((CHW{{?ZZMhfcpoGgdhSP?wzEzCaUMFdK+C8fx5#^f?afS> z#;wVDRkeIC@TzC;sUd80@(m9QpWBM!?zfC&BNNN&FOz8sAMErIA+{PW%8HY$jJlNA z2gh()E5rJn`Y#&3hUqNv3cD_hO_UJm{a`l*7YSx9r*0twPUTm&mF|qJV9mk)l7|l6 zlYO~4ZfvAsz zrgo1)7l`q9^!!b+Amkw|n13(+IebUJAR>Pm#5V{ziXFy3VN)&!8sZNGhx#w{|A!|8 z008M@Qs)0kL(s*4U?D2}f55-{0FTGk_D3WCKkBh=2(rfeM>T|s@E`EMTZ8{9K4yI$ zLGaUmz~TQ@{C7b2U&)4`R)W7EE33Donj-LVGW<7(^uo7)Nc|Btd^EcM?eZV-9E3PE z*FO*N?j=syf6>7(x72}MNp_ub#F``QvdwtRXtihqd(AXcfF|M_gVG~_4)6p!5? z2sxT(+%ymXfE6IkZQ<_Asj9B(%dD%as%xn)!>yyi=EkLAt}Us_=cHmSt!}GrCZMQj J@1ZX){6EKGw7UQR diff --git a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.xci b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.xci index 6d5fba22..a1d55cfc 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.xci +++ b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.xci @@ -53,11 +53,11 @@ - + diff --git a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.xml b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.xml index 31d5a7d8..8ff1cea8 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.xml +++ b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0.xml @@ -271,7 +271,7 @@ GENtimestamp - Tue Dec 21 12:16:48 UTC 2021 + Tue Dec 28 14:37:51 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.v index 6ba79773..0c818447 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:48 2021 +// Date : Tue Dec 28 14:37:51 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.vhdl index 63a68573..95639a05 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:48 2021 +-- Date : Tue Dec 28 14:37:51 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.v index e4c38072..0a461ae0 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:48 2021 +// Date : Tue Dec 28 14:37:51 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.vhdl index 7d441942..04a668ad 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:48 2021 +-- Date : Tue Dec 28 14:37:51 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_audio_reset_0_0/audio_audio_reset_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0.dcp index 9bf1faac005054661988a0580d45e2eca8bfd0f6..c2ecf6f9abd4a5f50b56509e51afe3253e4a4a3c 100644 GIT binary patch delta 6179 zcmY*d1yqz#(_TVi=@OO(X%Oj_L5BVHg5(@;S}Cj|fiSb$nj1SB8Ib~J{fd6qc?l)7=haqU)loisl0L_Bx=fB@ns(%Mqi?)ACKp($k9cbA z-hUG~cDbd-vXN<@9sFbjhviC+-?L~*)gS7kI5iXc$~dGCmQfH)wgF?zw$;eIXp8-T zH1lIq(jDbOMOmJT5~7IVnxe4Piqd)=ZVlC03#s0gW%%#X}Dg5B_P>z=kJ`r3YjMYu z`NAg_w185cgNOB_;FMy9F0F7QJy+$@2GQl-A-P|aDZk^~GoZ=?Hm}ztEcKn`+w^Wq zwpt|7BEbvJB9UDnhE@5j%hKhjX4o5}u+_CXsFhb@QXSuVtGVLE^9Dp`Rp<2`=e z@U#rE>Rfc=MUkURTk`tXv5Y6~(SXCyA$VqSx;?~q0+mzU_~`B(m02syamw`g+5bOs z0tBR?KsmMj;k=&^0|1n8X)+L;36%`CE`42;3ioC>+>T?xvTZ1}e+G;~G5ZlrExV?B z)1G~ZE(3J@uq;7M&{@^Du@ZAQp{l*P!S4EAGVa59y03FkWWMM;2*5#S%8;}wg8FM5tO>`vhq%;h#=v5^j7NYf%c1(8PB$}PE~c&fo70DICd zDEgRnEJd=p9xv8GZn8Pzt10_^K#c}!V77ZPCmF*|k9i~`Fd3{ut_!O zkr8O(V&dTBIz#oYU^E3cc`w)*7&F-9{UVgeR1pSDy2@o(_RK+xVwl{-CvVp znn$sc+m=kUBMYkM^9Jp8ZC85Sa`oPiq*6=axm<02Wx^(JT^9JpjI>HXmv@c~ik}O! zh-WP_b680R2GtGbl6cU$3o@C~%LuQ&KK5=I-T6DKTiM%+U_GH0$m0PD<>}%D*!$y6dqu(Dm|JWgE89cBjJs1?a6B)SgtbD`S znl=(^ONlqhOtw0DZCz8vx)MFoS>Iz{oP7o!jaOo^{U3_?xx559gDU{ z(AoK+?EGD9=8ay=lg;CF_Qhw@gT>VaI7hPsRjY$8icRt-FBOVl+)uPQu@39NbNygR zelWs+dh~N?zp7WG%T+5;#6D}_oM!b_4mVU;Q)!eK(^{kw7eBd^9jLs%3yL$vh_Jzt zf)k0zGbker!y%l(Q>i)ZgGyar`P2Nc(^An@H5G`rIYPg1piCtP{h1KpOH;s33;#P& znBFO%(gEv#Tay>Vq{f?;zohsjzut1Z%Z&N1}{kC4#`=hOaE>Y zX~97jFjk?DHm~z6Vp!<25D%fRH*C0WIf4P3-^cT(>LS9ShQi)i)`vUw)leuwYpBd@MEn)+Ke@vx~9(HteDRGiwv^TA)?XLX_EXv8-v z@!r4-8(re!DWo|+FKbcDv;4Qjc)GX!YeM8eHUeup*l8#C}Zdn!IT_POqQ3=^8%hFuWUd-^6!BTqKFQ z`oVf*?b5pxpWFn_E-i#CM#6!9T-es~ZSljw-)l(8!MtbfjZ7B6B;zRzW>y_i$XTek zSSIt`RVdkI^CT>?kV|LF;9LY_Llo7-Gazfl}pc=3!l0I(d&x>h#r^d1?P$WjtENO6!ol=Pxp>dyCjR{D)WTIY0GFxT+rbNQ5baRb$%^9DWIj0~q0 z2@EDkO|pm+aW7vcoOuiXAgpwSJwD$drnfO%`0c(5{tcKgIL-LcAZBvbkDQlwSo)?OZ}AkLOM2CII39TsPP{brj0Iz$dPeX_P} z-lMco9_;JH)s@k+?=IRw@!8Ab-bB;1SQ{QxM~-n|Q68XHk7g-+3NP)nh9!P5aXo=1 z*SI>-B0L|(lU8c7yAO`OPHp`BJ-I`eO3JVP0|h)B7rMr0hkmd)KEf5CZbX?D+GJGz zw4~YNyA2M>9mdw6uLtd<__iXZf5sI%Va4jF4ICt<=U}EHWRKjxI(Oj+(IcQYXe2zC z;@InykBBY(A-yfK6CQ|e0~;HE*@J9nT9Jd?1|&5J1iY7{?ic+{6VO%VDgK-&hRPDF zMBm7$o~5*LEHsyYoH&H0xrtg#OS7+9h+`iAt?kyWY%*qaRFH4nkT_U&+o8m9PPim~ieT?x%enqfngBuL4RvHQ}F zs+a|fy?NW-#Vc*siR|W40vGNdgRLU4%4=zWlV4BXS(`6BWt-Zl`AL9MMKGHHO> zW#&n!24PPTE!Aq%tUqjiY(?H7baSwQMExSPhUdQTX>ICrwVz>xg|S}TmuPxC*^i=q zMQqjuJ)6lfSH-)>=cHsD`kr)RrG)A8Mdw;&h3;G9+&Xzo5TY_$W+=$Gm0;kbdA{-=bj>6(m9stMe*?368WMEo~=S2VkPqlbhsH&3NU)`L%>*zb%h*>9|)8 zzW59YWiw<6w8-f1R^t}PbP-1{lFa?xiy#7XXf%-YxvJe)SxIvN=B)BgMW>7S^LZ2~ z5n}WnE_?atT}QAmD$#Cm1=IVhW9p{EU!7^SvzJl6I&50p10B#x zhhdX#1PPHe2U&FcAP?K`3gf3QwpFJJ^%qKCZSKkUPA;a&!$lzT57S7*nDsZ%mmoW%C2su=G5(`zSzK_{? z-}h-n;*NNN5e=MJXH;XC%3_q>WShi>7LXWq!JRpx4k=+zX) zs{{?S17z3^QJJpEnQR~%`K}r?!@M2qGw|+F zG6FrNp4*Vo-7)yOE_4YNnzb&CwVw-6&)XIGhHufg=Xg11yB^82a#mF|vSIVHEgzW| zhRAuGM>tkwbIqqQ=g_>G8KJ!fb2u*Due0Z`+L-Q86;N=r3RLr6@Ccc`1ugtI;H&Dl zdBYNhD|sv!ZoF92d`;6@Gdbh0bK{<8sTbE2WzeyFwXLMcYrJMCFuR!0Wq z)AYy}L6+OQ?A9y%gtWX3#IeMpxYPX3jc_&Q$q_hiFhwZEXDg`ko5GflosBY~IW2&~ z%H>GlVto7UB1yZ1`Wxh4#y4l4L8%V8YoW=fY+dIf7DnGiKPIAOC864UD>n-q&kh*$ ze*X*e(m<4kHulq;8!-~4(1Rg|bzR_ne#%6|8Tf_Xt zooVhxm!#Zg(ni`*FI2=zxjUsP*%l*Jh3S8P?s+wRV{`}6Y{T?bijOgQAumB zBlDaDO${CJ`n}L#bfYE~W|oRJeQRmkHyTX3ni$1&s6^5^>s8#Cufk9@ll|&#$rSh3b7`S?<{&q#sTd(!C?hNI%ZYjBceR{l8YAJ_2Ft$bL zr|nb%`Fj8D#~tdjG-husdFp9uW`3H_^nJw?I$&ew?xWvrdews~C=)cC zkuwo~mqb{%gMWGVxJy%G5c}=f9>RW>VOo{*$_{D0)H}F*@vR4hQ-8@6R3+HT=oUHB z#Bn*T#P(Knb0wR%+@;M$B+s;#uBYiB;N`s@QhyDG*(>EBRiTFdu`AtBTCT!LvWcL& zezx{RG$7WU`$rb0RLjZBkzzHaXN5fRkeABoIx#{^N6+7jG0s?6OU+UUwPN3zQxE;Z z2yM$f_00BIp>qFn&i2yzbXB{3eHH#gbbA?LfM)A6m~&uhI3J)O)(XVMgi_Hq=bpOn zs4tAdo@87&Lg-k*e)OXXwDN&Fo2(>;&xXDd5%JpclZ1))>5zsvN~BZ3M|Qc`1^1)Uv8bN*4oLJSCIE2zi+6PpioPB}?3rxdVDeRZiFZGi$<>_C|)+j}3dl6n+OstF? z*`-eT$;Fh)*?Xk62-M3s(aYB*S;Ex&ZGX9qx&2csS<#f~G?B>h(RloPw?N-v%RGyX z+T^d@O7}02ge+zts_8aqSUiztVz1N20L=Z^m6t>zIGr&8sHlC9g-Pon%BlBS*W>qX zEUFNj?J&O7By;TV;#X?d{-ib;(qCJy{rBTa+=83R?#!W3gHH$!?Ktmx^tq%j(ipcf z&2mxRg_L#$(F=;HXWHxD?u`EgJ2)R%^4|)pAXQSB;335>J_J3whoDCZdnmBD?LAza zW0M_R=6OiN+b{K~8PxK$JZy~5VmoWq_KGMO(*)EKmDM{xK>5X#&B^Wqs-}SRT}4l} z)-O-}-^9hA`J()^m2Z4p9pv&`y&plUeWHsMufYFHsjMN1TTfo1^&a3der(vb?|%}? zHz=%ECu`rGz#A!$LoX8stL_?hHaT3fV4xV*C}B}|P~c3$E^>6Tx)~45X<7L47R+n~ zLVwY)kXKbJw?3S|#H zc5^n8hPL5oq{qQ83PfRsF6^YrLGZPxLDPl$=M+$t*Csi$z|9OcjoEq9Hv?O5_(kMS zQ;!jA+GB78aa1*|qs%JEq+5c&fic7mlArPW%dsR{kKAoI_CTx(+y$}~YKzfguz#UL z>Hjpg7+IS`Myr#bL@v|JMq6nUz-rYdjlGT^og$Z% zPVzIeZ4!F9Z*fWR&lRd6rBFdZd#KWDEI`&MSpU<7hL2M|#r`w++n$7DP)X7M8EN(j z=0hH^W!wW%J~IDeO1L2zKBtBX%7csl>%af=jQ-K-c+lbgyRgF0*+Y_tmBc>~ z%|9}@6mT~X9XyT(_s@R9KhRL28iF2JjPOMoLV-?70Dv1HFJPypsUz$zs3<7n`0Sac jpS7r?jvK_rKueEPz{g2fSyj_l8R96f;Pz5SMB={yErMNQ delta 6178 zcmZXY1yCGYvw# zf4A;sB}p#v+0f^Juw0TQa*#NgY41=QDW&mdEE2(c;$ zqeBM1SfRf!CNsi&S!J!ig{&ag-7AVL|kuTzk8w7eFc-B59+j{+I=MZWEQ=0Fe{{M_<{b2A1E^)#97#ZoB6yDVHR58lw2+BECNi zyPBJz^(rC}<`!9FLkbNo zA~!#h6=z%uUD;Lj34;Fg+P?Ql=Vlnvt935|R)Q-wt2*TeYRtH4(@oi5#XN9*{bnIM ztyzrbU!wybp_TTK1Z`%7uQGspD{PO*b3oDjDbC|MZ8|E90mG9&WguX&z=;PkF{S98 zF$s-KGvT2x+3_pA@x@uC;o~TAHFEq|l)`Gf3af|imBV}06b_qn37Frow{G6O4&hj{ zSi~mHzoP`Hs_;)=>8)=X(sIAw`Km7Dcu6tCwXQ#fQWFyM_T~~;^I;HVzjJz@0=g~ zAh31j;irvQ&^qFq5^uagIhVuJYhept0U{DqTg*Ot=Q@Xo8<%Z$jYy@`9~3|nUIyd=M^qUCY62cZfE+)> zBbSQacV7eO?D`Q4Hdaf9u#HgFN&hOaeH%ERtR`3H@=_|2ft)DU&oDm$AQ~X~R(Aaw zdCw`AV(y~Cp$g~N$D(_>&CPMv5(z#>w1_?5N-6WbsxNj%SWx9p3Ta!uP{8;p;UBZK9Rb zeT2MNE^KI#wcZiI_W5RV9;r}7_JNJ$gLCuNwH^2~YYB@=>)u6i8SMaVSU>M213IES z53#@akAbF3jWR$N=%t7GhFmc!E7pf$RPmoHacS=fKMlY5O@y*WcXwH^)Z#d0rN4)! zSI)x2*Dr0xxX>k$|E|bto}a@GGHn>UGxH--;hT5JQoQA2<)h~P6W$0-k@YS22WNF-) zgySe9Q!RJ~cf!`kCKyp>wKgo?QYIC-BWa||baZ6Jw*g8>inV zLz$E4!x~wHcTlS?Q)=&fed^hkpw~P^kyePOORlYM&8&bZkG*e4qH5x)7#-C_oLUFPF3%2W z3d+%+d{6%sZgVPinfv8;^*P-qb`3oPQVGpoFs@A6j18RFPqE=;;d_Ca6XJlF89=cu zX%1WeHA6?`U29CjhX&ObHqX`D4#c=uN(tPH{UKMw)TG=)GI4QBtG62|NT_nV-Yqsq z8sJuVkK}#V4pRgX8Er*Y^Dl>y%eY)G(g|%R`XjvkC~4l6Xe-Njl>hAa+T#%pS*8FGz&->BxtapG+|KH=I>S0G_Si&ebHFZAqPX-2zUvidj*?V{zO zPv&|YDcTq-E?(10K$is`Zu{MlM2C*^xi9m4$Q8n9??sj=jd1)6=?H-3_bvxSK_AwY z&93g1)VQ8*N#JZ(p>#%y6^cFW$TqfSEvE$qNYSa!FVB?& zgYH$CEk)C=qDEx)$)aJnVEo*+TOi-!-oV3BekZ7!3BiF2XMWoVEy|H>2y_aq=-WyB zXaaFLu}J23aUy`Zh(t5hCbGMYzynfStM-z6g|Vc3nxW)GndlbBz&w<5Bb{+hf4CuK zdPuv&Z0gKP*m*-31|-alrJ7sTu>XF~#e_f#<-66rhg;C~#K%+XZ!x!DvMbA)J52e; zN!;%g+mgmxX_6aUtO$k>cVQFp>xUGE&mjlbC0ki+3r7yk3xN+pqs| zn#4hN`&&Kv*S>tv)Szz2Am2v$uyb2)$+9o7l?vBM19EHjSqS$8B``=O z$VY7@wd^LE4Mi4KQ&O$B&qG9q)*uR0pSMO@s5J3{8+ad=xEd2hkIJ#0sZ(XCQzPS+ zNa8S^x@Znk?RiPz_P&qGYk&j_#SOv;^@+`8jIp`SuvdbIW!K5R7*HWL@{>*=b}AnZ zfBZ-n3|wT$#}%`f{#^%DKQ0fuG06kQpr?g>nl2-hn=4{eOf}%9M7e*~{uxr1?Mg;AH7yz2p|6Bx)d3LONE{bywd+)6Y5)uCx#12}n=wl80S$FgcbsKD@Nw z);X7sF>?UpK1-;aV@{wQNGi9QFdt+4+2|8FuHoT3q*%+RfP!hvL1G`FQI6=0 zxO2W(0-4Ydwu#(5)<4nq&$fZ`R6QAbgZ%<>ohc$XFTH41C6K_1(XT2E%SbaKHp(c; zd7YV*L5KG&8cO7@9sM2WJI?v!nRcU=PpA)gKl|(@ou4eL`sUV+ORRghji#jENI(Ai z_)vdu;F1fzDe`xxSb9>k3dBx>1$rNH3dBGUfq7)Wzh40}ot{krT8_gC`DVYxi|yA_ z6Iu1T{oO@HRfJ8Hdm?$pX;G5RYx*@+e}^3sLA{rX6hHOGx&oj0K z)XKc9!*h>iR=4zWsRaz6gSkdz`BjlUl}4)(iK@~@SA21EeTYZjDn3`bY^5g^kM>#K zc_4^z>Rv2m*>ERRXwf;M_v(b>=ES1Lp>J~0#V?8n%0{cT68$V&$ z4a%&%Z%%I5-5um;2yhX?hb>zpyU$>KTyzsB-2m@YWP%-pK!aD8JDL`FPMSj!r%Rjt zN|n_HN2rW92rL6Fk?&*(idz_)Q@C|jEeR8oS>fd-J@>g%cgaWG7kI}FOmwtPS_LiI zf-TlZJpZ`YpE{!Qh#LXQiZ2V15L`a+b9)cG(>v)Yb5EO z7cfkPcBcKG=R}Sl!X3z;(?2$16n%A23^RgVYvbufXb<=u zRh1JG<0^z2Y!hXFlTqXUey|?VEI!ya`J`E77IJqC+HYcH++Vsaciu|zcVDOmIVc%* ztP$4Z_H!bl$jHyue5_c7$fSJ|Bo4E6Fgz5nd%wsNkl80tll%sD^`7w^OiSlo21v1b zSYc~DQ?#e-whQjn7tj}tg*K}+SEcf%l#RlFxiH!Hg;YFO^#QHkoxJ*8bD@wmCXg&J z5VwB^%ZP^2Rf~UFfCw!5Fk7bv4kG>`^{Cp7O9MVZ%HJGw?asUYe~~EA7Kf{fAj%HS2@5zuj_Gcr>&< z)Owms%MfJGP=;Z_UJZe9uBfLphbfEGAA)Lj8+WAWbEG>qN&1!^nz40Yrl=a!fm9Tf z$1k_geIE$pG%!c6FuWx@E-(_rfO@Me;Qivf6SdU~64JL23`8ypJ_PTZ(YoZ=o13;< zu^Ume?h3OE!iUPcVL56?<)SW320Uet?~G(}DV)is;=*eE#W#WQX&fu5Dd^^E^BuL6 zlRhLdJBW#~aSmYLF|0)IwvFt)cbXTX+x+FZPy~+TkAT*);8ave*%94cCT*nJ&D&~fQkCd8epy zn6DiNL##N{OaNEb9PHKyO{edEp~EdO1;KMLJF!+j?;I7M*5ZQA$I1Sw&KQnGHS|V? zaWhEjYKZ*$3Q~)YwLQgx(_}U%s}nclEQ1l!gze6Cb@Y0c4*Bev+OMVe6q>WF_F;Np zQ!3la{W*z^K6Ih!T)K>3qDwYwkPw=;QWLw)w1LgO36*Z3k?6xQ6Yw#YX#r1v7pvzq zvj2DtAY0oKKn&QdHUee`rG8GI>zpGe;|J|c4SF2}lXgGaYK;nFFhEk((?Z3%%yaUl zF!AB1g;Wi18kKWs;6)!?15D((kiUM}p2B;!(69txnj3D(m7bGKXqa5#S7uQ7SA2sC zkt7rR$>a`i0rt3v0Km#qLx>K_i%$WSqQQW~CObX#|L_Obu5@4tEJ4%a!C;?3(?u4~ zDoTcQ0gXhJ>UDTs#!=x!)X(mDI}|4~fy7_uk1LOUyE@t2xuTQ=)nU^oAJ;H4h%``~ zu{Vb8L3GgHWkj&s^@hYQvw+Qo-^6W|oDxuWlX>!%TuF81G>^lOBe5f819v`E z+u+{@986ep{(_y1T`MmQGRY~Vi9dtDYOC@wVLh@e<)yrBD69ohoa7)~6&-cj4~;4x zeVIkZ!m}6Mx_;3L7Y>zz+d5_zlWpjrx8>TOfGh!?iyxRymhGuJ+p{!z4qN0(xW`5( zJzY3nTlWi=nOsbensSKJo@WYLk$XWemDlfXyNJ{;&Z_0}C(;EuYb)Bwc0dq$-l{C! z#GcDdo4oW=DHjd518p*+mK*vk6z5s%fRw&fRU!_)na2JCdtDa|cgLONic|z#r7wi; z)tO%x->^$^jq9@(T{jZ1#KrkPjPwWdl1JN{SJ%p;&cVrS_Q$^V@mXE}fSjb{N$zwg zeQnp&-x>VHw}fDPXh(H8UoT&nryJ4 zutq@tyO9i?q2$8&lRW?GFha4Yr2a5a4Js{wP7msPO3jE;_xQp7uQn_21OfxjASH`!A4~n&2<+uhQ^o3_03= zEI#$PpTK0CzreTDc=UgU{*&bYIQ~;{3846wMFRXMkP2E#jr|wcHMW$X`vjOg3G@Ge z|Fy_W@&uAYjY;sJcTe#D`=$N`cm5Zaq9OUqYH`T?5z&*G_$Q(H7l=mwmsM$UG|vA! zT?Wyb{p?Q)Vox7P|1Tvyiocbht29Icolld=4NwpOIjDiG+;t6vEuEd*JrzXUEcESl cb@d%(fS%4y8mbOJu&suYjiR;JD-ntR0Hw`Qi2wiq diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0.xml b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0.xml index d38ceedc..d71c62da 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0.xml +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0.xml @@ -91,7 +91,7 @@ GENtimestamp - Tue Dec 21 12:16:48 UTC 2021 + Tue Dec 28 14:37:41 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.v index eee7bc38..2a997982 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:48 2021 +// Date : Tue Dec 28 14:37:41 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.vhdl index e8577eae..6875985e 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:48 2021 +-- Date : Tue Dec 28 14:37:41 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.v index 87f148de..bb378ea6 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:48 2021 +// Date : Tue Dec 28 14:37:41 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.vhdl index 04f888d8..708a47ad 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:48 2021 +-- Date : Tue Dec 28 14:37:41 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_audio_scaler_0_0/audio_audio_scaler_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0.dcp index 45cef29d0a21c3cf02b5fd0d686954fcbadfc3e4..9c30fbb80168d6206208cd99c58edac24a323cd8 100644 GIT binary patch delta 5989 zcmZ8l1yq#X)*d>Ap}}Ej=`QIKhDJg#032LJ%LfM&03y#ZOs^#B?GaDxc|;M|Ye z+PL%jzI2I8)^y9_r|umYDETP%GD4eyy@Fj;pTV&d_ZjBgZ(H#hVT&kmaNqX^dewy% z2b1NMH+OgIXJ3tT7eubmX;qDNhKr-Cocb4C_&t1B{3YG{F@0~}8{-@4^uPzo3OQ;z zN}>>#!8l!Bs6iWGp>zi4yRjL;<3vyB6qO^eJx2AzHWl(mZM)nYz@DKDFH#Wg*fgJ} z{$g^sDS2@;)qMphY0Zk@hXgM{SZ#-I!F;LTv(R@PDpe3FV z%5F@g{OGnTY?F%zmn`{(6TIh4lzFJ^A(OK&Q5|umPR)o=BPQE5Lzi|ON5phZzqY(u z>66Vvhp>9A#%ioH=91wf*lR%zN|H&Ye)C~W$E9swzG~#GsaXw9r%DuN2Yf(%uS||< z9c8gJkQ`Hw^ER7k{b0~WHj)l0p$bNIIaxj0s`PX5>Gx3JGwvz2gFA*>W!zb(S&Zg2 zb}7mJ?tvE2D?G+WT(E9h>S5PxkN&{6zabrzh93wRJQCaMoeKpQg`Z$t6o7p4=gy2y z-+WsN#3}DCrQh-ZhkZognC4!#tVm}C9yC$zzuQBf`q^nai&n0s@%uI=YvKBK#_mnW z)Bikj!fd_Wwa3rf?$4W<6jA*E41Zy3HCrTui;`!s)U63XqBJ0!R;c1M`!k50#)6CuLc4o2P3$soYbT~!`1kLE<;Ed z`R#;oqmk*pW2DrX1sIC}2x!Aw+c_BWX4`DVHogUyo!DUqD+>JwIHI%I45St|aG^@x zkq$tu;)h|59t`y1qxZ7O?E!|qlr^~35`Eczdaj$+UuHbP^JPK9QOI)D2{WnzEw3WD z3@tiAM+&F^vN+RPwz9Ynj(^2psr82Q%!q=grY8}jd{dM)M(EA7v#T5`S}8JLq(}y%cgb$)%`KBwr)?{i)7R)?;Mp?aH!o6=yyh?y+%Dk4+)ag2y*jR| z<~*%&iw52aTL>RRIEx}j-_n=lms;3UzDepj;^btJ_PN9zRWZ9-@DRK&SWZFw#}}W6 zZ)|;K`zY<(zINOZMRH#)%d*U2pcJPV6JHR>Nz#-M)_oK|Hj_O%fY&@KdD;b%5r3@O zsZ{+~^MwDDohAGel{}EauV7OC0(wCaF=GXddgKKX_LVycsAagB z$9{e)_K|)u_(c|PGhE?knXbsIC`N32P~Ywjp0_hkSFWDwyD2B(`E@qR$_axNd)o+-s zY0+cb&@Dj)5&4b$z+njNuakKtR{-16ecK{ej#h-V%^tYNUc^>ZtqM z=c|HZO@#66EKxSU#2_@ZsXW&z;j57fNn&-hB?jmv%<|0rDxE)|>3(?W^_a2j%y<*u ztsXwIG$G|LjD@pk2t_!i205y=M+zqKSJD>iqeED;qwe_~+G6v2-X;2;&!H{WLx&KK z^fD2yNi!}?`UD&Ckwv{gKe$@imjR|lcaw_kMR#5D0=HiXE>T$hD5m=woRS;^L4mmPP1(uVG)zA&yPDWg{ zqTpX{_ZZ?h{mY3+y6q)oqLwn29;TBpWm}iti8| zV~30VT#8l?%dxd_B8+7P3tjz2aTq_F{QL>yDgf0!leB$VF2U^ ztLT+*^*;IQ*hxUK16>|R_c_y5#Z_(W+eo7tH9>nWjYg0d?_#L{v^WrcHbzUq`#nB> ze)eKH1tXk!{c(dESi|>AUz^Nz%Q8zZSsGn=7VHqzhT6=8TzgpvZOLwDNL@)B5dWv4 z%SIewM-lz;aghEt1xYLbz=Y(lz4NrSsxzE-1u0SY=)C8gD2gno{ef%C$lD**!Y1j# zgf9lm4nKc8r>YmaA-I$?^W?01uwwO)Wx;*uNjt_q-B;YZVTm2lPphmcZZMva!87<> z!=2+pMbc&(&*te;k(PZIjaToB-s#gouoB|S((bm^z4E$w33iS-XjgPxLGb!qc#w?a zC*+Ga_@acr!3kn~ILo2Y*FWT+-PtgWDPe38yNj|xh>IZdKKdOA@BkC*+%0=b$_m@Np zGOvcJ7R9RSwkln37%-+4xr*c4+8)Mxu7!0qkT}Od?tIs2@nq3VK)&lv*|W8mvaru4 zXi1LT3Yp*7<0>B?1Z}rFlF7C{+$3ca%XyE%_=1Xc4T1LY{k>e?hj&BkCqb;>u>n9shH4?}egycXoRQsdiNWVEMRisUjBrOC-c z%$thn3f3>EP@+_t32Dz7NB2q!lhfGnv+b%8cFy9k;yO;vVeEeW1E1-%M?yL!j1BI& zO`)D1_;igIBBA6Ltt`4MX)8CdRUf;rbO+YM$tvT+W>9fNxWL*pD zemEMM<66xb*;~uHi=8+!L`6U)ES@y@djN*@2y{|1{{G880lt?A(r><^ybNDVqJr^J@Ihd*tiv>!{x%NeC9I4qEd-z{(Kuk!>j7w_9>6w6>?| zv?rzcpDBnk-WkX!6L;g*huZ_u`}{P~H|4p@Ot5(%v4^mltQj-q1{@b$klwTW(E{Cq z`q|JXjsvd;GEVQ{rBg)gaY(P*Hrl2UM@*t@0>ylsR#FWl9J*1z+6??ieuv0vDbv2@ zG5J*=8JM?k7*;rdN#jcni_3VjBh-nZ@?PP?l=+Lp5m~axuA*_aVP7&Mrus z=`Hy&E(XUjAmpwPyYkg_g1b3O*NWPodrn0Czc0IY^T$NM7NAJCG_@`J+kg zuZU}HzR|26;jY&dCKT6P?$gcI)`&%oq7O5VPliiC-;K|0J%c`+y-yV$RN8C;x zSyyZzmxf75-ESE-9WTjABJ18Qm$O-il}P@dho4G^R_%{k-*p#;W#>~C0!K>iF9fw` zotu$a5yH#Pd*$18PC}nnr`k2VATID1uQg%w(2$uMn)##Of}g(I2e5__%It}Rn=RBd zUw~U`#;5)DuRIHF4HKH8jN6ya*Hn}R7LX>wGrzJD(4M<-4jZeBG-3H>QxxTsz56`0QC#EUE$NwwNR4=SX(QzL3&SO}2y@aQVDUq)Ueztz|>kE1KDaX9|L;3}Kl$UR<^iX0^ zG7}@^4Z(jocD;&E3OI}R3Czqr(IC)p9wJnMd~mrBb6(PA$(*EnXbO2gI~7bTO1_b* zMzp8hInA8E<=#GMfa8m&`K)bO*Ge^o zrFIjDy1S6PWI3O3rY|Hg_*E#jrdhHpo#4MRTx4znmPt;oD|lwYWu(p-R(7r+}PPhO(<}9|LpjyQWDEf%{CKpEnn^k?yE4j6Dh}T9`)HZ zsR(|sV$((jH)?qg2!2UJuf@V0z*wCj{t12~(z~c{(4Dy_fh#6to#h^Ewp)aD_^Wjm zy-YD$a5t4hOz-RXWRlu9+Sgm06e3m!Ki+a#`bNLqxEmh}G(L1RI!6##OOn5NAKYYe zNU4RM+hhDhV|-s!!IjWWqk9^w#rwNy$+zvE3XZ6riVDk+6lV{cWr}ezzwlg*BHvIr z!b%}-Xs%Yt(O$u&8One45p^}M?3#7jvti!~J}K5XBoP;+A|^#K&mMHs z{!(>~iGR+4gO)6lZz$XiaKvA>zWA1k5jOB9))3A&&8w)BTn!R(AvjS3;X6Og&B$2) zem=$@V{vR*?t^0w*ddT&8%g<*m#7Qh@$Gfce#(a*#$ELsum5Rc^(qd-gZ!~earr>0 zF`a?)+%~1B!-Hx$ze~-IluwAp<(K?NmT!;fw;DETQ|QYBxm znCKZdm(esoFpKMB%AjaK`6Fc06HRO30GEI(;w&t;rD~}~^9)p}#HeaoW2%efe1mgD zXf1Sff@7l)ooE~4xhGjb`l~6ZlMD~L!v*h-ecR>3BjM#3-NJ(zca1K^$y-hDO}fMp zH*bMjqi2?wEpuVU~j}o@BQ%FRGku9xZA@Y&5)LMNkV(+MeF8G}Zu}QQ#>s z#6e2+oZ3+AeCB(dD=%)qym0NqF6?!Zy%CaFyD5xAP75}2Sud7Oy6eD1_-55sjU;=k zUjcFQZ6nEw2IH6O9#8>j3Lh9LGPdnsC$A+z;uF67n`P7^#V-Uny!NcrcY9M^?{BxcCQ>PGfJFY3-i3Km5Th^gcG=er2|T>We2d%ic*DIRrfb ztw#6tlJQ5qoMpg6!WDlQnfWzdu}XayKJ&uHpjNo%5de&wK3>0=C^2}>?Dnirrs^0{ z1vxo(T}&Ty^TD~f6jyF-Xc4re!seVR%WZ#~R2?<{U1ZZn*)*scGMv2j63|9H_W74y zea=RoWuIt|-PZa5Jy*+)_UN^yVeQz!&OlQMNqjfzzr9_)K{`RUhi*!?x&RSPprQ5lLykI7H;ca`U zZO?n&FRn-Oq8LjRC)b>DEh#E%53ao#)sAx$koEQ)A z){WLuU*6fDIW%OY4DC>PMh1<0B$#gWyrN7*7DqXGx#X^6I`cApk4}19!hDl{px1?X zrlu9aWSWoZfpek8q&gIJd1AIn=6rT#efHnEZVffHIvU3PwW+bAu|vcAbASF;b`g^g zx#|CW{ZsP;03P1gdH=NgvM-=Mcpt$4;`pPA&;WtZ*Rc_dAR0bLR~t`lb+r5I_fM(# zpEUX(h(9p(!BE5}5C_Ab-~5RJVfSMl_eA?=^53mKc#ZH6FoKMhM8gND&i$C hVBqXxC#Ind^|Upy5;f3+n7He`6fhJqHgZyx{y$I*2@wDQ delta 5975 zcmZ8_1yoc~*Y*tE-7tVO0yA_dHIyLDP*M`oF?8dQO4k6=ARvNt2q@hRLr6CwjVL80 zANv0P`d+`g*1q?wbDp#BS!X|I@3VK2WRWC7OBEf10ssKu0B9^zVdOLlj=)a|#S z#fwJ=PV0#bKkwRC1Ny4EEobE5ktPx zFk$PJYr&6}vmW_ORTYXjfu77l*8DVaghBaB!dHUllD+~eUMB?Q$u=~~^lJnP>_8|> zurx5!f(Wkej5eczK`@G{O7G`)wS1f$%jqwd9tJBc!}|4z?%YQ=5UHPnzgw0pFe#$W z);rumbIv}z!PU=XlHP`j<%d2)6mZ;_3+m*=NYhfphle5T)T>_@9<)}1UxqU6qo^V`@= zB7v5Sdz|>ENwLX{4`AYQuHKgPv)M5~OxuY&Pahv&`)C>_1&e3(9~7iRI4m3%ncZ0$ z#Lwn@>VGD7FjMn(U;goNVXvrz1q=)6I5myOxlG2(;BzUV&n|x0w{XQ*6zzrnsSVVS zzju-D6BaQRgwNAoLce#-acsduJR^B#+xgh+eBPeEqpA{p?&i2q55HVosOAph;(zkH zW;CF6^}lRRa&PptaPtSP_XcMr0|4ldKtei%^$VNP^kw*lI7!FqwSl2u6IQ7~^09VF z8q)j0;kS4W9J|Ic2MAsi@cBsygZ#Swb$i|+Mku~h&WaQRac52c=4$NWgqki*KKF4N z@afV)#+4aPO*!Ag-txNrMD9Ftvgjngq;!b ztk_mIs56p;rj8av(N&?v4tQ_k5SQSCQZZ<2uNT-=u-gnH1-t%dr~+^=P2K_qCVbbm zb;A~It`_{$K1vR4=hvk#ZpbEieq)G@$*l3L5&hCxUtp=n)?E1@R(2Iz#+dkK3hKhF|_7j`Eh3NcyPxVjc~p-^VS_=R_nmJ05tm>NNOc>iCtW zhI+E=#J)OADpm?F%Hlwg1S8Un>&kaB&haoy2k2knzSGn7T8&VHiUdjMyV4AToUnx> zt*SmUaAzezf0RaljPlu~*btg+qMKUX<$YW;Q%97bz z7Y{Cr#N3-RLrpLHm^d$GX!M=l<)JH9VA}r~2tv-Lc39W+#uh%z89PriW9 zf72Q>@lJ;njGfbZvI+s43bSY8r@V(V)tAc&EO$gKuug#xp!BLQts3c&aJ{xW z@rq2~PlcP!IroFYayZxXW;=lnmFiQKC)L}bagrV4%C5F?auih%dy%1l6S+e23Ht}0 zrQ?2$>OOU>cH_Fo?oEZy9d25)%*DfbQoL-gT6`N$ZK_XXd7|4qh95uSS~y#MmSFRJ zV>4*neQ5m8J>w{kWCW9yNHBM1FDITr+W>f98qCVJQJ$w&b zTe#WK_|kVPxD$1`eE2MYoU!txiWpHPF}U6n(Z5$*akJ3=(^H-G<)bp%v*%}Oxj{L< zu>Z@`S*9XSv7$)-L^;cAiHA~{0Duwc|95!qFDyP9EW6W-Q1oStc@}V3le~LpV=hTR zz16j1T9qSCizn`#{Yu>1fm5CK75L}V8pR7@mHj43Trraql4C#%Hl*uW#UeNgBxVs< zw_j8AJ#c&R;ZK*IQ;Z&CiMEdL&?z zZ_+Z6)6rSiIi(I^VKVmlDoDxW%|@ahksE~fX;i)3IlXusaA>++y|Uw_T8;f3m=>`w z*7?YV(K?t2P!j7?g*&~I>lyD8pwVoMfZKGO14sJe=tDtbU|GFN!jIR}_MXHEd#&aA zuK{|!0kh!_hmD^#PKs7s>YL-j2u$!RQq*q_zqqQoLKuEi*Q_|5YkHfpu5-#dqJKAu z6Ux%RyVlU>k$q?!lV~IG)N0>Zat+IMp(mkA>2nXRhV8Dbaw_$!>A**KxL$`@h#;#~ z!J5jTGSk&Y+^(2WJslbb;Q?(A`6`530>mO@y9I2{?w*Xf4Gf}sVihl2O z)X`?lXsTmAor}y9B`)`9R$^r-BD}pjI}^0Wx<2~3PEtzhbSS+W;C{UQY@?wVhPLW- zvor5)sYWLl8!=o&TJ0e(R(C4lY;R7q=klqca-3ZALB*v<(UHiKIkow-M2(@-GM8oSirZ`r#T@N?a~d-NhR1%4pT^5BtYd z_I)49`AK{&W+@WrgodjhfDzpqB-e?emFP7BpcHrdn9Rj@FR!nj6o<1<&J8DFrGZ$Q zCcU|oVcn24izhWvXyH9W;2~#lCL^TO{q3rzVkxgCh4|4m$sK_OXiDH1Mx*~ape<4ucc0)ZTqqR`(dc;E87JwgUST|t=4v#KFJ zPdwIj18+nb?FoOOmP;3%`LslA(iup_wXvQZHH$hlV+cMWkhi@9RDcpNSaqo^2f1FQ z`Mq-KeDUB%%tk#SaDkTC5NO7BK7D>p3@u@x6?l{9ri9?7KsGyAoEvaau(IgB<#!W{ zvd$bzm2WoTHp0AlfcVUsUL4R99s|!{ht)YMxDAc<5U)NexhSVXCzIR&-jY=n>J4Ff zP%;VKnEk$>^n~nx-W0;@mEC}#Y^C2wYac~UJbHKQi;AOBrj3Sae8EwwE=Y~Fc0H43 zLsH>(8SdUO76kBr$iWCD{1l$sxueaMqUO6bA@xRi23L>g9MPgNrF_x2hgv)Q@3e_8!zWUFKVva{oR^t&#Ew;TeRQWuv`o#5hHZwgkdNJ#Y_iervD2^* z!!dkyH#EAU%VqML4DU%_n`Td$T#~yA7Gs#H)sf(Kb|gTjRO{y1E9g36%K=x=HdD0s zgXv-k<^KD$m?UHYh5SVzi18~;Tm%Uw zbjSJQf2~$uo+3*K`4Qv@m4?;gtT~j7f!%uUolS~KV+!A)Hdk4qX*I~c$jwRRJnzTN z&tIp_ub;CRy;$R}^>!3hpM1dst5d=iL{Vqh!O4U-ms7O$P5E`0&#ZI6coR#oo#D_` zA15nb``>9^pW(7evdI&y1Vt!xoZn@1^~Jwsx~x+%V(2TT*br>`14Ls~Bxwjnpr{J~ z3s%VV6yJQyr!+hk+eK`X&Q7HvcJ z&`7+tKcZ-?uB6xbDDOq}s6PFd=`gz5CGGaMg1~6i^p+;%%}Oj8Iac#Gffuu(Uu|K%Sl-xd+5-6PJ?dM>wmhRC z>(>cjSKL+R%jbwTx}=F9)-BnNMtzo}HAXB+XxSD28Wd?h(OpLBSqKd^8oMK{)_M8H zk7?{;PV%*iOA1BL`>kH^SyqT9BV7<#Y`KtEMjk7X+bY)O#m-eywa|-?X1xv`CqRV( z_m<|2`crH%`nrqFxk=dvrmr^ZUwEJeJ)FyfLg&<8`X3_>q*Kw>uw+gJE@VCFd*wiPW*Df`$(8>2A zWr^{vK?8!mx_{dy)T|NEOU&rQU;j|ooTxiVJ9lfasmg@N3~ ze~}QV4{0sv)hc4^w<2 z1Bf??L*E31GHBZ1gAab>B~gTVdcLdO74>of17DvFa@ zEy{VrN=k>3YBs%W0lPN9Gk0N}>C~xz8KkZQkmfZLuZ_b7ix zLfxM$>m!tx+4x8HBNCm5lg!}NkSe7D)ChRi?lY2!EoxHd`QgY}tfZb~C7ic6O2~$& zgJ)za9{a1tOdn|TyS;DY&&xL}--?e~7Mz7VWr1A=)8vRB=YE>f%aN8UAu8I2UI^7i z6TQ>-(*{{#s6`7MQf2=mfBHOU2N%mZ&y+OEB^D7HsV6WPUh{!c;`p%(TU2@HyX63haJD#=`2c?k~!IwzdwHKXgRZ6aHFw)CfpVZcx;$|?RnLap>)7-d2TjuacGvA6 z=Y|xfOu_vVIs=V{;l#)ZN^wNUv}7HHZ@>8hhnFrPsaj_70Gom9_S}euj$yCQ^Mm@( z2L4QHzvDZfyOSJEzn0)D2gN3;+F$NS{d!{cLy|Ur#5Qa z4>%4J91?r;QQo0DQ6N${pII)Vwrj-2^k~`g0nLck2M$d~C7xv55+{VS^)>2czT|=T z8cgLG7L>&7(hT4h<%--ZTDuB!fv=sfUyEabJa?oyFdYm=69gjseR5@vyB>Wdyw>A_ zO}ZXoY6EJ&X8&XlH+6*K4-B>@GABLI#zC2--<}4|9x`OcD&&&q+B4Z-rN1cIN#+bE zZ_v{XIeRjokSfB_XpXSfO~UNX{9qS7h@Qkz>Q#mDdcH&3*sMIeonFUAS5oHCA3h{d zrQ%`0ZNWtjaU&;B@{KoT3Y+qrx}rJl%Kbv|?ZxiGV@h5j%l&DHza1Wm5=87jxF;yw ztWp)Z{khkeGo4EFJy}$3N4a&dX}6S6BTK0LR8i*5Cng#6UIoPME8M|2RhaA4w=gHH zmS_8ydUOGNaiu-=`IL-$g~`;y{oWd%_UzVNbqNHvKin3zrfp13272j4YpPBh9?$)x z=Aa7iRGudHh@%utH_WRj6_LU2Pg#F`*EyT%pZA?nYX6bxF4OR}6Y*SK8&dFjK8721 z?^Bk+k*KRtlU+x$i+#%r;(tg|M?<3uM89WAoeixG@Go8d)^m|x=y;j_kmsL5-+guW z&vRew1vG^GKr+*F|Cx$Zqo+svhJ}1UPYbbkv2xc^1)>1}|63sbCw2a-;6Ja15O-t^ zJvZ~8-~74sdEa+;-V4J&m~DbTijm|DqJ=F8^YH%%{Lgyc5dH<4G7$d- z{uA2&(MUn^2MG3c`M(Qo9a&7&y@x)(7xsU2b5Y(;p+W95(ErzoA_h^Swf|>88btYb zC-gzef4^@*2E0ycJ{Fo1a_$~B3T^^$5tzP#fQYcagQ1?AnwXUekD(#InzbuL2kLBR GCG|fy#_Wdx diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0.xml b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0.xml index 105b3b15..33c2c624 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0.xml +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0.xml @@ -91,7 +91,7 @@ GENtimestamp - Tue Dec 21 12:16:49 UTC 2021 + Tue Dec 28 14:37:55 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.v index dc5ac624..ead694b6 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:49 2021 +// Date : Tue Dec 28 14:37:55 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.vhdl index efeabdb4..9ea29800 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:49 2021 +-- Date : Tue Dec 28 14:37:55 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.v index 952c0901..2876c42b 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:49 2021 +// Date : Tue Dec 28 14:37:55 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.vhdl index d115b542..729837da 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:49 2021 +-- Date : Tue Dec 28 14:37:55 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_audio_scaler_1_0/audio_audio_scaler_1_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0.dcp index c52ee3b02825382a44e9f27021533ee889922997..eb4782ce2fbbd4e7d0f48c54f4025600875c4a23 100644 GIT binary patch delta 13418 zcmY+r18`b={;K-?ykAxDM(28aKkFwciz^z9C9s)D)wyQ5pjmGu|B7%Br zcgWAjQWRQUf(_$(`fb*yksf~nmMAngIzieBaG>fC9H8I?##YD^S@;_<%)e9K(d%_zB9JFsE3S9#d=mls1=d#O|&m``arqt_w{P+=dr%dGiX zCU~S14ZERPo@iVE<+}nU@E!|gE%&A7Fwm{H{@C7Ge)#9(RB}wMOaLVmV6@k!|J7$% zdtUFdm!HW$$o92eUOiptvEs9ib@Jt+9l$TmZA69(Hlsn+uKI&l3Dcj z)pz(TMRYpz9itHerBXll`MXKUQuJiFeA76cSW3`Q#2tGM`Q&4CTZKNdlxaI?to-^& zl(P(*6T}b6n&Y|+K-EkhD_rEJd&mZ^+-u=zfoc)`sKP@7|8QIgTw^x z4UWb39yf-WIg4E|{P}86_QoICqEeFjVv@PpxRvTS&>XvL_|R-^_J9t4`2=P{Bp@;F z6!<)0j)eEM>DBVf>auVpL3DNAG?-ntY}dul?t~Ga$Jb3M0KIxm;a;x95N(r0`7h^r zt|evYPJwE`3wRf=oi(N+29zmF(uit9+WS;>Y0BvO%-xnVIek7zuOuW_UJjH%#B$ak zQp!VIm;O4%oBT#c9n1tX89n)pZya6rOr}s+mffDF!$Zw8ea7c!>C)H!Q@h_1rOP4G z`l;JHI^;JiKpbmSSrXgRG1HiR&Aw9k34V{)i(ZR92}Q^=(mqCHloRbIAR}%3(j_`M zn)Xp+R-BL)h&?7DBZ0D#%hXH9-#a6!N%XCrtajg-MQ-msV${lZ-7gF z5QPvjArwUQxn+%i%=nC3!(X^B_GsflYbONKCj~-pU2TKjOgml!Y)Ib*5!;}> z?X|0-``!}z-T=O#NMxZ%pRb5S(uhQ#Ndy$6e5r7iTlnJ3er+>pD_c6XT`%Uf z`mh^v`w9O|_z5Ss0w+Jv5}E~2L+)haltTK%LK#XQPYUeAZ~4v5trLz9^(*j^6M$+= zJW|o~yZX!E?TakzgAy^{fW}2;tAP|I-rbJ%dc-`&TMJrh@KEbSyBQ-5)pd%!=?K*G zM`C-N%%)sLJ!rRE?k_V}a4R@;gc5p(ay&)t919`aUn(2^t6<{b{*c9hx>?IE6$Z}4 zgJpiw*P{H?cI%4mG#WNJ4EO`NwJp{}dz{fLyip?TQ6k*YF7`x0miS_%m9&xcV0`ba zS;ZbIvSXmL*=^AUUNF8d$FS@s)&8+FvDvKziR9Hw`iON1K9LAJDHV}ZGzJ@~2>v|6 zKi(yHZiZ?cCmlUjzZroVa_7M$gz5&P)4Q$@LIx>u={xX)^ zms8mL5)zaXs%DGhK$Qp62_RV4h2?|(t@7c_st z@E0t}w5kq>iaku9Wg5^GhL{8x?cl0RmpycVPnr_w5(7*C#{OSJWEbo!D2@M3an;c; zo=aJ^R+}brZbSX$!ajE0!(t;T2IpKWF{u5WAb<33gW!MoZ%iniCkH5Y|jNdmN>o6B4)@D0LNkRK#S^+VL>4P zA)BRw=bcY)ltgWwqI;p1*lz{krQAwrTIM$;3og$G*`K0c(+lJ%)|~bPcWR-TS^`_p z#|>*&h#hWavg#!es>9S}vsW=*n=fH_KQ5%IhJYZBZPcR{-WgPcx|Tk zK_udPb8y-epTuilyZktJhaysF_rpzUCcsRsuJt|I*ctA+pHv)JEeOcf`}!bmy3yu> z%eS|uxOw+L0c?uxt=yPkDqO~Fa3YF>xg#{en(U5Ni{EtcX;Uy`#w16!n+iCP)BzdM z(#;Jzm2EWbV2KLFO|p0Q5p}*{y6lv=lBrkrG7#iqoMlhX?JCMXj)fXTa<(oxBl+v& zEoXFA2|}_vZ#CFMO7UZC?aZ^)cpxyY=`t%m#rV&wh}`gN$bm;<9n@`LfVyJUK9H&(qFm0nfJlUi{=H;mYE+Rhw z2;n1v>Mv1KXJglne9=mCmaX<_+fhH?M4LY9x9(OqK0TL+dqy^@a-_2Bs5KcUy@ zn4>32vZLCCyXHqDLb_gdJ~UAkh$zO~6NsuuQpbqX21nX_@?r=tYQI&vJ6CYP`9!ht zuMcgV^XA$v3e~~v9cR7`=4P)sqQVCPhT;fJDx>q$LvQ4+FY9>ky#iIduK6X)|H#lW zL)pFC0%ha^aNxg>U3mob9^5codV03KZQ0Mq{2X{=PF*@;?F07Jo^g$h?3z`tyta+) zyEnQuenS7RRS-}LE%e)etD%w>v=~0%G8#Ex!wydzeNd^8X09NNM9~VO4q1eFVN17mWM=Ym6XNUp^G{Ezt| z@7BxXyBtu;=nt6PL;6E9UWPnXb+StXO<>LT>^IlDEw|R-=o|)Rr)S zs3G;M{Rbr8%VL$x`B9&NwD@Or?2PR)`4Ii#EW=Gn_f|fJ=+MMq%wtf?c(zsSauC-q zwZ$=s(hBZwk@P46b+q-x@7bRHhrb%vs@*Gkgx$M3%w12T{i-3nuLTTnn*9hW_70U` zkCFXHJ+2~zqBnm$c~sl~@qRFaJqPIq$gVe2EIaPus~(IhdLvazR6DC)75_N}QN_5@ zM=5iiKGmE6dbQ15vLmWE&^IVA-njqnQ<_{ixaTqISi#RY&m!4@7P3aW(u)DgSzn8C zR$>q*MT<*mMkOqJVIVbwW;9-ZjKwoJ1k73TAS)jPldxw`TIW{zuvTAa(<~+d?EjR% zxy=g5zbr!WV63;|lSRs_4VKVt>Lm_nS|CeX&N!k~rto|oo(fq$?SlQRVxUpfq{3q5 z8f1wU*P#9bc^5QTO6DV0m}felh0RXxHE!*UqJ~)=c>rSEuo&I0F78>V91XsxgIyEZ zf{4Dt5Vz5b!~i|^Jzdw~+BcCE;9yIbQNs;KUK9V#sdv%~y{+@!@v>uE(L4)$l&D6~rKv4{kPH{Qj zDZ_UwPVx3E978WUkc-iqA}o;;TH9euQ0LK34O+z!+!HOkDFW2bl8TmM^rz#AT;VdmCBYN~6=UG4 ztUnp11aUVeh5c3pk!KtYpb?Up886Z)JyL5W_|oe!jz*)F?vTagmuaK}MN$&UuyUWm zRwu@fV${hYjz>j1>zND>;dtjvUkWKx&46yrM;4{V--f1YsR=GNc{&IHZ`U8n&d#V- zaK%M%R7d6;wLpDQsdyr(@8Uj5YtAD~+hWR+2+$7X=YshA_W6Gd0Y0^B2u0AJ^~7I8 z2o1?x7bVt2$h{8Q_9TJH+92e>Y;M z9!SqnZ9mP>%VVsN0!D3pL>W&av`M2Tn>Tz9vR3Q0?!&*x?=q{ed9+-yiyKYQBDO}p zS^_v`W3&mA6u}@q@qJI3cTg;2OI6%6gxSu6(SzWhjSq*Jqcp`y2|Ko(Hb~|$Zvv&t zzAp0+u!rP*wwqA<&+)@mnpu5rb$407rG4 z7!h4i>!|TZ>L{OiiY_U{qQ85qzSWnyN;e~gt5SV_2kW{PylsHcWR*!ZlB-tZjC>dh zS>a?KLVP&SUnePCw(%p_2#Bf?GV(y%75rb{SQSF}WkG+S3=Sng(f^&+xL1kAdD49f@aTMb_1u#(_4LH~OrUZH zcSlJ`P%_2OGR*@J@OHAxVe&S6>|B|1ZiBi-%HZ?1`uyB8hhphFiT`!p+v{(lfRvHO z%kO@=(_$QG&FdquW%howwh>NrQqK={ad%-J5OyAF9Y$ph+I+Kl#`)cPoAfSr5G~k- zw;U@isc)b)i#BGcdq{M`Mo!Sn$L$jWJX(^HoY(vH_`DX-g8l4SGM$#Q2jOxpRTw`< z8!b|smKh^Ti6o;}_w87DsM4rj(T1G3n-n4MCSC_NfUkCZ&K=gD65ZC2L`L4Xm^jMG zWHE6MdB>5(R#+)Ww${qHJwZVvYDktkynxv5MERAQ%wzpqq5sNyAuHk!bXHvzWJxI+ zj>3evU1mrCN*!#gr@g@1s5#s~fb`O>QtZXBi>_Z`4i-V?@Ge@*h;lCbCWC@_Zfs!x z;My<@;&UY@vcdFJ{%L{FQvqnju9CP+I9bJ@D%F*eaUn_|JD*9StkVyT$fHrna3+mV z9)fOqKC9nheIQ7Tq1iIsUir|f=+Qd(9NV?g8znpd34tC%pi)(apM8rGy%aTQx+iC* znl$;EFl?hnWQcIh7al;N6_Bo!6p+E2uxwI@v0Xdhl}xgNx<8jaL+>~l62 zLm2snN7p`vNjgEeR0%t;JS4Zf#C#ykRj}|RL2n^y?*~)A_xkQ_0aA$PXoM{14WZOs z0orfCDQn4QuF%lD!*XElBD3rY!HZk{DjaDB*7f)|N`vZgWx3*dh$!jKLJz37n&CrF zxgGBYGBjEtbQntateM7-$K zpf!3jxnTmnkge96=0us^OnI`*fIx|XQLj!wM&(lEPlB_=fC~2PQ6GZx#9^xNO~n_#)(^y( z;zT8g=N^S#b4R_;m+RO9abuI=7Ci=XC;KW-)GC!Yv!X-;L&qv@K#|CK4QqlcMmB8$ zbrSl;IhH9^Clf~0`ut?#%F|8;4U(&atKD`fqX$k{33uPDm31GFxILZ&%DzC>oWKdXzTV7c35oMIEBt7buFQ zjY(2St@L38e$0OouTSx6O;|z1+!=K8Sh{crdX}y?<2o-$G!g_U+#t4N=U-bQh80N` z&sI{=(XpodnM#p`Qjkv>hhafV8OLU!B(J9=#o%im&k0bWFs9|xw)e7=Vq;_Gzh83`k~z^qW&gf{JQ>FpAPi*G zz^@u*lxC=3g5iPEuj8uN9;NPc`#{SFHIH@Aw4);=roly>dFK?4!@5w{6dk)u* z;qJ49<2E!Zy*d6Y*qkkkjFrQKfUo?<54}3a^h$-qLw+w)4kEz;R6orLyRIsY9A1CH zLmkon-V3&~=*FXwU3ZEza_=4!BS758<-0!h;Ldk)I9le#)?%WpB@cIFKwhQ#sYPvc za?Qc4S84w?q%6iyK7K8Wn1}92T=NxarRjM{#{DX5ReG`f0e4tiOj+YbKke|0tSu>o4RH+qB=^Mqal~;aqnscE$JpkZP@SX^N00jmt)z|n?}+8 z16gY?fd!%9Ovo9UV?zWtT=$i}?}j2eQtzyw;QPfIG}!fRtP;Q#qAKfc+a18=&+`X{ zu7kpIBBvUlJ}c4NWcfVOTQzviS{bUu7lOCeVECnRBn(&yr4VpR(Rg2b8>6W5-C}V( zD8xuTZb;YgIoEeXTlzFgZN5!3H985#NQGIE)A3t3G`g8e4tKti(MoH69gmQGzM!b$ z+hKCNbS%eQVgzU^(V>2Pr5)F$H@_CJM&PNTtA80r?hat2g0zL7DR9f`d=9hJ-m8!G zx6snEFYMlqjuJS|?*_2K-s+?^zsH#FzV1fI1`#Of{Eg6SHDY(v zyHU%>TAU&;hDy&VrTLXe8{I@ct+}yI3j+VZZ$LBB9YBv3DOkgPQBTW);v)NmL_krs zhB-W&P?^tAF)S9EkExzP(P{hF6=wxia@gb8EI?(JVD{dfY=av3!+4E6zvvMrg<+ug z@i)&2(uT&d!uTyT64>=<`mHh^d|BZ+^n}im2chcOO7v`=TRvM5YT*qTLS5+w6+#hS zp)aKpU^R%0ws<0X7SSbNl_OkPcm!Hgh*P@ICEvwa(dJe@o@#@{F3}E+Q=0Z?UW3gD zYm4FrRi!4UG^tn#KEr4=J_V<=@qvV;2vwJp+i+S21`RC_^bfslnsGtZo|mmuB^xb zLm}1vrAvN;_y*Mkcdiq796R@cU831m^em=Bego1vl`RyfG;eP>E}+Ll626<)eng$! zB;=a42ujHX_ez8Lr__u%exW`@SiS_Buqy=|g+CXrFUt2AD4C$}d|?cfU8>CQWm!PL z9*t4I(pY$N9iL}}ON*%Gb8rg!gQy7GL*2n>w@~JEX?@Xo3Xks{FA+T9*|DAcE zDo^3Zs2i%tNn$0*YtmAGr84He7ixKuxl?~XZlO2>VXa!`$ZVMFuxr06UZi#+JvrtDwgKH-fcgx9x zq3n(Ba6Gv9_nhHpYS9e2NQjbpGi7! zdAA{4dbW?1jx2B z*O)abJZeaCJ^_7=?>Y--Z~zfdeTHo*ZF3(+x=!!rZE)W%dCv9+-+MckRf6rcy)*P7 z0yH*KBVT}dcP1bUu6Bhqn&C0hm(X&2m!@{a4oKMDP=U#<;)63JU5$cK&DGkeW}+VI z&BxW6ZFbggOZan{peZZ?TH*;>YzEx8=4_xDdeF-T*%T@2(gr=0k6Q@f0mQzOGOkD$ zf1vOrGS%)U;Ou#-tK6MT-zK$5=Q>u3rQT$KN_a&}wto0pV zGo_1Bu&IVPmgh#QGdz~Q1`)D~Le;|Dd4$XS2G#jJz8RWi2||2Hx+WXRU=+SM?WUKcQ_h>+WC5I3Dj@}>&|@zA&j3JRGr~svcNm>Aym`N z`NE++~%^#3RtWUDWm4e{s$VWq{Xm)>14aCPo?_HRN7400~Sh0UaJ zXMVzF|DDKBnEff&>-wF1bm9cz>KE%wjQ(u45d#13I5gMvHl3|Yb zcy>~ss9dh`GIZjqdf)FF3!eL;iG1jdIQPw?J9Ga&wsm5E(yN z%n^qJve6X=z45Y~n?d{GXD3pw?Rrs!(JLn??39FfZ7?=Yw@!}MLY6Q~JX|f{i!QR2 zVU#!;YLigl&BbR{z3(1j_oVs9>;lFcX?xkA!UGD(Jm`BSwSCIIL5Tbk(yW`}qvY+{ zUIleb5a|kGgN;-i&E#CvFP67p^*D^NpArb>wZ`?YV27d!`~3#_2O~;1V`RO}>^ki| z>>D<=`<57qMHys;_Vcm9YRWDEUfNO&p+eJfxb)M)ge?cCjgpF0*KBiZ1MDV(U7#DB zD0}Ic6>2&?9@^kmHo#P2Pt*Ae`cv2T$whhH4ax~z60>ShH^TP)6CUd4v$&s)(J_ak z!}HSmO5@fv68r+IChEnE^P0(&|Ck$!hc7fo#-%Mneedm}_D06HwG9QpCf4|N!-JA) zO6*JDI){H7*Hu?M7l@rxU$$ez{1fqPZ&f8 zA)Dq>f`97i23~7-j_8Y@j!4~-j)+w1CV)#>&{1F()F_I0K_rTA7a>jq9KLJUZA^M=skrCe&<=yyV&nfTq=K=P#e&a1< zgYvbzR_Srjp|XcjWkN>mQ@KW=qnogRx}l)iWI9-V9?ZJR^O|M>*iXd4qmulAw@oCK zmsS$%AG@45P#M$J0*@8o`(%BipPo`0U6}YJjw>*#M8^_a z>GG~R1fX#Na9cLt-O7#ADkw>U1r485;LeW9NpphlnD{soE!WEHFf;&@2N^X#ete}0 z9^icwuKz~PxgjNaVAE8|pV9$ni8v8a>TKFaLq^&b05Pg86xdPb*+slT;!x*@78@=s z{I1uO*UYNGiEn{AN#=o*US>;&}{Kita4Y5!N64mUgi#N<0h5zk0rFg(5h-fbL} z*|!gl*&^UKQ(;=LY)*ux1i>c~!RA4Ki?F6o42#PdBb&6|M_)YyiAKNS^7k+b#I+RC zJ3#@*eyHIgnR55^l)%bPcXx}Vvgk*Y;AXaCXigcqE8BpXB;@9NBP_qi$>p>JX`7Zv z8cf^H^wC^^l|rD)u@YIoL8wT%eRqA4g^u!S0*)@QBB}@f6rHuQkgs(Mkww{9< zm{Jc0gRF0vm5BG$%T-Dp;cz)wC%8=ND%y z3_qd)oFyLB*{B?d|@*g3- zAR7hTzHK*i`j}O)VmKHryYQwYsUWYR1vEMY*?P5J?BW*vBGpB0AaOPZBT?geTpF%c zcQ>L6Qxe1h_f>OVNcKTbOJAKv2G{=(j`@D{k#3{!^ulC5XcUMaFf zRT1hKmXV{$O^eMj$BD&55wV92n)QU!Sj5`<#Kq#qU!ZP$KX@=@LJ!nFI-4N)KkSwx zuPRSDbt!V%ucIM;n#hqxS;h*PLC)9$B0XjHEFDx~f90 zC;}1Eoj^PHJF134d7IYrmx=O(FuW1EfYg$N4Mtr{+&wVFbT!+ly?0QOLG$c@;voaDZtq*CnmCi$>? z^hnKmvg~h!EK_&}fF#r_SO^1^s$?FchqPJBBqyQ9LChRMLG~tS zcv^_IT~>wA+caQk)_I~@7Jfv_^*A*V>U8St8bF{wnc6EzSUHkJM30$&Rmhw*SzEi| zxPi@cndmJY8TV8MgXB*mEKeBpNpQaeYZ?(n_FpOZVN5q?Aam@zdf$}#5OYL=wYj6yLYWF?J7ge;uORkVkMY^68Sv1->?Dw9G8IH zvwJEXy0V6+IQp`km|NZh0PMexzc^m(iB(XW5{P<;SbtzVKuG%$2AOx@%%8ATJqEvg z3#dVdye%q2X=5~zbUiJJxGTLXv#GX*YPWbv@y6V#$Xh#pCX||73}}+#-uNT1a1>IT z*&rN56ap@l2yWXtT@;`X&l3;h!k0cib(5p-P->MQrpTm@O{FRe;J&Hfy)1ohlRc$F z$&qMUp`lqpU!$W?EIUa9)xl?n$`^l1CbZQ@Xi}$kEDI85fBd-@yeN4?-c@K`7(^1A z+jXD1Tbp%b4WaGk9m4#u{JJ1Mbk$uXy|By01J8}+3QqD^CO(H2f9$p?9RA_Y8!@IL z)2*iFHkR5sl&Jaz(EQ@1VoiV?hEAq}p}62iWKLTbb}BZV$Eo5Miz&}r6FH+bHfujT zv|VOR^E~^Qe@=VP4_MB;WBGtu-IJRWRl_eqnT_Sna4ks7Zz(V177!7rcSpxMGdJ-_9M?4LXzs_%659{A_c;U92S}%Jpq* zt}N>Q+L}iN1#zXlw&T~1{jufD=LqVIRoI}0MWT3W7vYGT2F5Y6G1i$Xi73Mb<2f0T zd0uKbvRyR~n{(YWaB9m`C;l#lU-w5|*12w;P?oa^j)paF1dyQHWcP~KNy6?ja_Kbc zjQRGKoK)Tlkjh1(9fy@&8X+k0!C@am5=)Ge;DX}v;%y7MKqH#TtXaEBb4fblADHq; z@-FS!V8p%^MJ4~u#d3*kSCt3r#?Vs79?QX#ylyH5)v1EH^C#ISm-z=jixVh_-6LI8 zYk`n&(uRdlBGrERYTX)XW;Rh)l`Z$*QY2f2o()lXS$AA3)!;a zFOQ)Z03+Mj#C%3vIJ|eL38Xt=oS6U>NTmYiiyk?jYU@nKG?p+EyahyXtfGN$MmAlV zKKgIUiLi}j^e1p3!D3~BgT|0UIknEG@TuEx{)fV)2?-`p6jn<0NVU`p@#zeI_8@mTAepR$*XmlUQT8%4DE2dU`+Hn0GC?H+ztC|!gQ1Sk+&x)GKR8l0wnoA z*s~P~WL{D7qpJm1$MDfIx{62C;7=)Mf*A_oqu9RGzoteTD^o{sr=+ZT8@{UPdHZPv zu?0@dR9w-&BHW;`Y<`p{_sUcr6jrtdAzuHU>jFx&O4LAoaZS%?;$VQuy$W+~>YDkI z1BUc{vf!mp9pS@i@MlIW(dL;mc$ExNi0-Omcy~%DZeYU)v!kvGu^QU?vcn{y>nGyI3Qtfq^!% z1vh(E^v_@zqBGe+u;M_7Z2lwi>`)@q1~Ab8&-#$D%E^Fzos@+GI|xUDENaw}8&p)J6NU!>eE=!i+H5|~p_4$U^KBDRbA zL<-4*N2(PD*W^bzU7e$2HAbVun7%gc4ZEGe>xBEpj{_N4rSZz9U>6~K_~2hlU2s{3 z`FYlHP&;0ak?aC@U@G|S$#J(v1VErXxI%5Rqj07)&vtSx&pdfGXsu=44tUmbdB8BL zJ^C%jMn|X%&=i$iluUwti#tb)eL_FbQL+Y~RK845YeRq7nf}=+voQt=JRUmYHE}c0 z9`%ZIIabc27DJ+6>DV19{8IB>sw*4B0rwMtsh+XaIUyX)l4qhg#&2Ro0;n;OoJss7 z?vD^*{POY^MSbr4(_dj*;T%QZH&NTvg%jFNsIV^+4mICbW_6hEk~%Y7Yg||{PW^XvE&0>lJi*l?s`1D^O3()N23=nL5Gg5J zDB9_1l`?B};ORt74Ytv}gks9zC7dNnH_pm7GIv3S(&n!Q(a|695j!tnYnPh|pq=inU>~$>Yu~D0js+sWJ#GSjtY7LkO3Bd6miS z^o=A1!Smt9DB8VPvi-um5g#ExeN8S+&-Nv02Q$W*1*PdNLr3}1M0;MwY|BgP&ou2< zbY4^n7*w65hxCk#?bZpO6SpvI3C>udGhK-3w|=W`=%YzcE-+L0t)TdkYqoR)-b%;? zITRU<79#eO6+2P_ou(3>G$XwvGV@N#n|#~ zoP%BMEg?PV`O5w};?2Hwf%0PeuJcW^+ue`SL>`vHBOggYt^QT9f+K-GIXG+6S7`do zEn^x9{CR|Ba0zRb#MLm)6d6ZII0yi18a!{SbG~jw!jh7w>Y6c__%jH$M926bWZK7| zeNG^BihPW!K`XPI$wFA?`+5Nd)w5yO#>E@|Gjl`_-KslLJXrJ@JarUPu;N`ur{=8R zkRRG;5nBpmWeH^6e#Q-?%j#qXMjX(aMA#@qj6}%U?r9Fr_seI?gz7fK!3yA0P-!fp zR12v9L%AZs3^}P!g7(u&)~-8OHr_KNtxO|g1U#Oj#JL(G)N)G&Pmiu+h@F}mjZs1U zO3w3;t4(Gvz7SKoMKmAeVhxLzY5wSA$2TIfe4B}0J zqI3GJ%#=9r!FDOu6-&ITd4CdHSKSa4%c6*|3mX1RpYOrzhfx>IsTs%iymPNFm9ZG)K|hNF#pBypPUPX z5fS?5M@B^SpYcBt6__l-|IfH6BLC0$-L*S3lNq*IY$v2pg2HZPR+CXs*Ze7`$^ZWWB`CSU delta 13374 zcmY*=19T=$({^mzwryvVY@BRt+jj0a8{4*R+qP|ElWd$X&-4EH-Z|$w)l<`5-P2QN zPW9DYoxg!Qe**&)q(Q;ZfPjFYfUpdcl#H975SD;}fY`u+fFQrM#zyuG?l#s@i^4Ja zOlXj|e_p9^mW>WME8KjIzQB`?!~ z2?)&AEuTHS7SGLHGd#Ww|CwbkEWg0iN2enf8uHsEqM?E^gpLIEJFfK-3<9lnCeasJ zXl1TovgdFuj5KrY|KYb#RGqPFRkN|p{30vnK%r6{`L^n(F#KXQa@m|@0-YJR^DC&U zKGAW^y%I38&ve+xcVe??r*Llxni27ZX^oFoS@E1k+B*nVdFM{k z>56%*RY@!X8N%`xy%Jw@3Popvj&rxEjB+2V01o`O*A7B@%d&Iv>#wk=Yk{xO1l0>n z1!?5}{q>1?m#<+H5J1>5BB2+L%GEcB+g+@eu{he4RZXmcc_I@uKu7_md+6zWll`k^ z0L+=WMK^CV*gPA@d*+`Q26%ZCj`W0$s$Gk;Ic&a$emh8*j? z)vfccO31Vs0)Ly+4Rq{UbGLO!$d;Uuw1lndwM1!#Hcq{fb<0t>l{z2`$eB8T+L*br z{T|OSH3}VU!AKI$XwiG zcfGoXxtSflKk3wFdW+t4`OXNhzLP~(D<0gLyT!?1c0@cAr$Iz)O{_5tS#frn@q$0Q z-c8>ZKP+ZQ7IVM&->nUqzbIB<##^}Oc=-W6=VQ3PIoBxbXwsEK_fXe zKZ>F5`yMF5PwpOB*P{up83GG&wS$pW`)3t@GV}C#N6SIzG`DznBhE_N&Ek6CFGGDY z^zTB8^*ItSBwwN?;XDlc+*oHy8MHBJLX0gL1dv&onUfyAYEPyt?3YX_IDlyHS88M{uon0!!yEN2^90F3~7f zdY9dcKMDG->wd{Y5l%2h+u9&a2 z8pe?89M-W!)mb+hqs8IFkWS8%;_)Xw#xY3;RPZrM`o)7jTxK3Yym*r;PG{j$Ke}fm zw)or3Hw@dD?z}C^2UumwJqs@85_WUx^~^%~br=I0tIB5_Cu3#=5P3dJL&q*mA`gsF z_7sUcpoD^Ryt)?nnzIAJvDmE5XUMkHQV^(MeEwX2`gV}}K8m=j5T8iRw&7l$7Kdfg z8l2eHl4el2%0hP>L-5vH7*Yzi2uE9cj!Fu*gmJf@5w}p1wERcY^y5$y_i(g0bh8`q zd-D^5yZ(%L1tZSNE|xTdz!8$f5%NDzwUFCd{!_~Py}9>Y&4TD8+@+_Z>}^37kvs3& zjnf6tY+;y!sSnm63ogzndwGu%sozr8_s@X9w*IwC3BE;fd|Di;t^2nlqg`<8A$H=z z*G=hf$#+j4TQ{J#=bs6h5R`xxsl(9(+QNWaQ9z8~$bFJ``Cv5vZ}aG^OZ|(bsl2+t zsjk3}CoNn^t@uFtq`<2iw^~^~XS{d9jnVG90b8#dn~5d|cRfe;4S9`br>r%HfI(NSmei zS%@b3vE4S+OPRxcZGlgOoncjEx2I|@waCA+*$q1?oqrgteQ#@+1rO8=3(r=8tDu!@ zE@b1cwdJ=CA_i&+n|Thgh(Uz|M8_4EBnPqO*cL}Oa*aL5i9dCyV+6jUrJQF`u1{sR z{+XTUJ)z*cqtI;QJ*mhmr-AJIJi=KRz`0PvVwp=j6Os^jzUN;jzV-VPBF|dzmNqKjgZGT z!oHFAjk0gFePc|$TA`0y2z=nV!VteUM8jG67D1t}6|&*EB9|aHK;vB{7Ez&(?vv&e z09gt&oYS5SdETm|ahAUjcNpYjkb(L%?{OJxwxLt_O}?;{a1+V z3hA;QKBrayu!Uc+Y$|iHk@r=475Wsm8-1o?5AM^6M~>J$u zm$RHky=||h;!0lqtfp81a_FEQH}{GsOE9a;9Ssj7O}Az62-e4-=yS#%M!ttAIq_(y zOq=|xMHza22L1p3$O%q}20Dp@4(((wAj!`^<+?_tl%IXvkJ-Zv;ZV4}0%r(P`YyjkB*yw@|{E zE@7OcR(y=KnmQk|uDR8oTRFv{^}>L>?cEQimOCvj!~#3J;=8Rm5Ax3x#UUU>zP2+GWAKlA*lR%M`>u3_ItV69S+fj#_RX9RQ5waks?|OspqO_duD2 z{vD2PbBAH>H9l}ziO9ZJZ8`)7AR6CC!#ff8g~BjboFV>5CQ~r(maz-5_Mtu-#;NY>6oLYiNB|6FL!Y~Jf!Dk@52IR zhM;)DEuOGuEG>d4eQ2!1J3pG>ssXy%%}w2g;2F!p)7`IU%}r#Z(%%?)c#ijPl&GNF zJ{cA`AcmNCR5Rnp3Sz>=?v|L{;bSP}!>)$=NDSaX;IX|XW~O6%_U7|-dMmK+R_??0 zhF>E#Rmg#Zk1+1Yg@wt{s}G|6PRTh-#?;^Kd@8^kTQ|7xazzql4+6tOT19XJOzuLG zHQ|Bq-ptGj_rNpsO(aUuKA03IlpSZp+#59)fY1hD>J%aDzsS(9`|+{MoXTmQX*&bL zVxh@{FWFI=Sxc8zWGuBA74wl7(-X%Ea=80`Aisae5`mQSh2Y-zvM_h6=evT6#t`l5 zFf);{_2paOT1m4lKiVmfgPlzvqdlS_hq>Z4wY;N4(bLe>@F@6SOPvHM)KKVumOKh8 zo*(=P>!{>_4O?6>tYO6>nuWsO#0r*R^++N_1~+4pnZH4z@k@vW3SH+h6xE~LN}gu< znOQGdH~+wFI`nDX0(C;x^!GF{Y*AdaLO({i9i_c|-h4d?$|n3YY!R{joAH>8nJr7T zQ+aBx5~4U(fO?J&cmuPET{X$e`V2quH@axn?F`%oST*cwB*1XMT=K_o&4lbFhVSPP z=5N$WM{7+M=&#<=}7u$9reW68vXz9TatpB90d00WhcE0!OzS z_dK|qJ&!b`$hbDvuRmvqJx?mghUrcYK7zDe2}D2N9I6Ox(W55Bt1SWB-tyO?VqFQ-|WUnSHs4Me|KgkAo{l@d>k-mrVA6SI$P#B16 zz*HbAf>|6G2aA~8+8QEi3wjn~A15r}$)1y$f(?0iGfxEeG_6=uU4e zg)gm`G-=j1Npf{UoPF$qGDaqL2X{JKPTiwmN(`r3GzB_-m*RKulq3 zq-bEJNZT>1qt|H+qe>Fd1gD|DMv*CLVggmac$>g!CpKRe^d~IJM|oA3jZ#NJ#p=YDN-QYDf0o0PG+G>7F~%p;RdR3=BE_+oDDE64E{12+3OAHu6! z+4H%MiVr#%x0Jk8CxD>^%>3WLbtNho4i@9AMeekQ1XX9nmmM}rP^A2NbMj++D7;Cs zm|ZTad4Gp?{SJsF1`KL*hcH)IlNDfUSMwULlL%u;Kkn!>0w1he^q&2OK1EvOM`%NX zt|3{s|DC&~&}=w!5i+HW32?czX@oiEv+M0bdjkyu?-Vq2+$;$gcjYpS)_j55LN;2( z$rc78yKsRn46Q4)#BRi59p|mmHFUz?!mCHNmQnNU`)@FCJTyp$~GkBz*av|aWqH>cYXV%h|XdxoC zj>YN@dj6&q{x_q5pXHP7pCE%x39zu%RzuI`Y&M&|bh~zM)8JkEyUOZdUGi3$ZDHzQ zHe9x4NMLJ-6DYYrrqh3pL1h7J1A;~cg{*QLD){3P#U?BlAOsFxDCH-_l8aHg7)z2g zivc~|aU#$||C39~bo%AG?S%L5rqi@n^i9NzHvr(j!^hsk1h~v|HoER}2E3hK-nBV9 zJS|>sHBg?omcafc5cDzp%q}RIU(1`c=V_^pO+Fuc2y07lq9jP=t#{K&nK{`A`11B@ zzaw>OpNaM929=48eOu8)q0t1Y*7g0gG^T$ac`vy8!MrkdHl+HfP?mQheZYKsr$DQ= zf=HN^B{(c}Feh0yoz2JR1u$lS@Fk#WrZndU*5UhQbNp!8pkDs%XR}iSzfvqgJQp21 z7HN{#p`mVnCKzwV%L~U+CcC`K0pAA2)6*HRmEE8P%|)Bb@**G;P+G!+#lC*MD$z~;HL9P#bQ?z8+X07$JPqpG#Z2LA%B1&x( zjocYtGoxBiz^_`-Bl}J#GwKaGXU8VA%R^}Cq_(g=22#|Jy&qnUn66HyLmHDDe-=6A2qMgHuna)u~yA3OGRsvppo0WtOyf~VAC|0(Q&jP~=&8hJ-BsRkXuE)iwqfxq_ zUXCmwKr0Hj;uH`pRXsSt8twc0iM8CzU5*nq>dFqmY}-PNlZKOJSY#tsTkndQHJA^6_6~fv!YexnCGgH zUYL8;YaZK{ka|b1L3{1i_6}VI^ zz^>RAI+Vq?NuyP_ZBnXQdBdVY8p1Sr3&ayo+`aL#NW&gZJnJEEQ?JAjy37B|auIv- zzBKW{wFIC-ug~Q6=O^)s<=CJqE-}}nS8;k(zcWk{7{ln zF-zolS20V-xI@|HgcRgodfL<7pfW53x%BY8-9$iHWYd7XAmYy=f?!Cr=oEU|LC8uBvfk=To>?ScyMsE~7wbJ-fG{wl? z0vrIM0;v6SqN$7i5EgmGUStTX+M_h=Mr)xP%`?9)@@;BJ!i2Z)VtR1ka6)U^P3Q=F zHW?0XCqee?GYwk}NrRgjlt9(pH3o>}Gh8>@(cNe}O)?W-*FQON;8fvH%!Nz#`-PNGYAE*jlHe&$iOiR$2T}7SHkpc@@qNJ!u_p8G z2+5(lq=6G$c*V*ZfimjgI3&F2Uedb*-+OmL2$$}ur(*aV&TqXx45xZ`4TqiKrJDX) z7r%pyFg%+>S2TAGAIjmOO7^usd=;HK zZVtr5Ph{2C230On3DRq}N8Z<52)Yf5~y2#)Zg6`L?mZ!t835$b7fs^m|D zj_c4Ot@6?%?BIK&U)S+}AL|=$lJ9p4H%V=_6CHcus4<#*7RTIA!-DSyE8Xphfo8g? z2raz=EvepwSx4BbA(z};3)o7xsNjEy9F-0i5oMPFiq_B(KFS zG9?os@ax9Rno+Z5B=P>L*MN;A7{6OU`ZD-bKD6DP7x)W8>=?TMEKkJeZ-)F(|H zikDSwejDb=z)Nz5;a2`GOL9sj1iX)9lPIQR+a?x=;ubNG_tXQ%RG%tvi>6%)7K2cV zp2)P;qHPm#764K6Ka;57wRq~1AaSLPmIF0aWM|lUGQ6Wb6e{wqsUEqrWaWUugNLnrb7}a%Rz8TvG#}I0Q%e|;Cd?<$JE%BA?{d<$90@>Z`7GpX7fYYaG*(q zaM07C;>F?V{Mc}yND80gFest|Fp(GEnV0rSq^uTcNC4tE?1jH8d>m!Mf(%mz#F)@a ztbOB@FYnL6)pQS`kf_m1ItAt`=uC{l1dfz2x=+>WMt>oLj>B4r43Q|&tL81ED-F@8 z(n8EHm-^Hj4{0{ZbHu4j;IMb7*Q}a&{k~Wf(BL77(sYy2$zP34<}SD+=b#ao=b+B1 zPaHBmQUWxWtmey+ZRl95SUCoT*;vYA6s^j6vlR{@3=u&_txDn~6|RaUFM1ocXeNl! zJGOALRdz4(bx6qI=~i*& z2BcneH`p6-yk&oGa{Fb!&jM;+Hq1n3HLb^ zdI3zy$L6Hw3X#*$!M7~R`aIgTZN$$v5MVeW#B~OS^=?n}hWxP%dJqN0Y#3r# z_^Zf)P>Xz?;G}@nx@q;?U&3@1r*7&1uWBXShX9LIoASvkbJt@zB8$N4!6yr=TRfZxB@Xp`?6 zbt|#z>d?UXgRTfaf7c;!Y>nEsBP7G1S$gxFd1Uei{JwURO2Jv1Zh3Q~w%r zs1K`Wf6hIU+wsiRhm9*eALt zO)(C8F5$Fj284T*F_;C7!;_Xni)Tc3q-Fta$wk+Scm=u<_#+Lb#fHp?ChP(D}6$}Y?FwK+BYVfJ{R1!|^ zD7G|u`J;j@8!AXN`Vm@WY^NWRbbp-aAhp|r0=p5`?u(yof(Lh>hL%mjJsUMNuQ-i4 zoDb(r08|J9ApHk{`H$J$F0x)beEPzD0B!`q=WDQzHSVxz2_DGW#1w+4e_DZG*)MVw z3!6Avi(DBf0*mlRM(fySZc{=Z6trB$!hL%oWK!*|SDlLV4s|i?B)*(!6(FIcDg&F6 z2%D@jOHSq(|E6<7SeE@68dBs|-GK$?F(h)w{?!2w0Qme@9+2mF#}i)|uopP3uRG)Y zk8C=&kFg4S3W6Xp0Lrf5X{At{${auX(Wu;+Y^}rM@bdsR)%@5KqMZLRFy4Qu$s+5(B?-){eeoV5zY3Gd%CV!pY8NY=O9$7(}sat`dRsRsL!%6l3<;_?xN4B0`xi?3dIa=WgbGG zGb)3C+jBv)oB7= z6!E9JXX?;-Y4(FK)=FwtXj%GpO_8BjfS}m>uKEi9etxPCA63 zab{kAYLtF8>8(Du)b*nm+DWjWfAMF)hMYsM44Yj<9%JW_^MwTDf-ZK)3YU2<@sJ9? zOeD;FWnQ4jl~RruN`Xtq8im+_YTAY4BqR&*(Idjn8#XSB+hCytk(7qYAz;vArN8Z4P;+yD%$IX!Lr- z^c;fw<;L7^Cb>yS(%C5tTMHz7wQ#n1zW2!mAyXThiTQh}N(q6oYT?f7QLQ|>IDrsh z%<%0K77Vrv%e@7xKQP(0F?1qEZ{#5a09f>4j=hVopC^sWc+3lqnEr~LozL+ z(|V36b}`B~Fo{8lyPvm34n{C0nR$j1zp2h= zEDH#%+7=GVL4}b@!mrLZyC_euL0a%e?>8T_eZSCBAX-Iakh^~Gl~ls*0hj`_S}&;^ z$uQA7v>=a$LWNdyudztqX0lbMkqjZ9dj4Q0ub3pNAifjC!@~3IzGnrCI;Nq}tOBi> z6mnnCh4ieUUJS}iJLnQ%7n`+mt6!iN&0e7Cu^I%?9L(&nKh(iO+Zv}7Q<*1+v*{J% z@mtYeXxN4ihZY#Ioy?$*07}%K{eo`gHDEW$f}?67n^beU26JWbmuNj?%c4eXdt!;k ztU0Bhu1*>d+2c%NUOh{%`0ggaebu!B*Ygn&s;HNK_lGVhs5d{Ux${EtsyOA`vs2M; zIm&?WBMG8zaU-%cd4q;o$|^%{3u&O*MZ(z|MY`st+t2s6lzD+f0A}H%+sKm|R z_DyVI*ujpcqH7*+U1bj3%H)2N=Q|i^><$JeT7MXU6qc36vJ8d4gp54flpM7gU4Qi- z?nL@^6b45)!FU1P`JVGOW4@xy2m_+{a?m8PTnb{x6}6UGhs2J~!U+203W<-#kWl&7 z!Wh8a%ldSeqE+tO0P%v@0qO2cc=;#yU2FB~a;emzrC#yk?=5(zkRZiKVN|kfkiuiM zZrOhd;8CB=yMX4M*qVa$*n(*~#qcY~yq(lJ6SNsqTGf4IskY_~pHWGrs1sa>G z%h!P1bHcY2w*u8ZINho*(YSR#YT$YEfG%&>WKf@1 z(|!V-U#Y*(D>I^|>cKq<>+Mvy(Xs1(eL~V5x>~VdW`v^)vHJ8BB}5eEgT)(oH$?(le$J1`5|0$;O%r-J<5cg@PA6`isV@00}2e_T1c2KMy!S_(tXu;2LK^yK* zI(r^CtRYF4Oj@U_6~a^MzQXHGqp$8@Hs#c=Sg*XvftoAt+d8`b$Qf<8@2HdV$#Feq z6)jGU&skzdQ1JT2Dc9iBU{vchfA~CHPHtYlk+Y{r=(ndvaTR&4l$lONM5L{q7qOrg zDE!#}28b}AQ5J-O7WwcHMGNdK=Y8#qZp=MB42O$Sl&wEv#BBo(A~RMjp`H}R!BMpD zphFVc6;4>mSDuCM7Br_sBkkIw>Lrgw^V#cps|$!UG5C#gsm7Sx zC~0qhr=+7`W+9*?wfJ!uSDY^*2P_DQsmVr%4FH3dv&w?2G`szKy?e;@!Ch=DCgEdrL@A9im7;Z3<^#kr5+XqyJH_`89DsM}~j^7Z@HdIWY7I z%s$9hINtk1wWF7*(#xs?o>HF`wX-Y(4CgnH0t$7f2k#nbPj3=rTN&#ilZ$ud1j-%S z7XXJf^+u`ABxjI*K#HbU5lpSe!dtt4_d15B7It7Mm(4I;W2br};Pys7vg$|j2PTLT z`i$2e3iAe}?r~+`2JHx?*t`oxErol4-f5wX8xQYo!ld=s z1{AaJu^k%mYM6Ui_izB4$Uc*G7IPA%1|Vb2ELazIS?BrWP{0qd!T|=)GDgdAZILG` zPv>+KZv{@_)Fg3ZCj_2vswI(}3gzlPl5=NX$CKRu!JJlL1Y~{PRCvvKfArS@RAhSo%98goS8EU*wA%f)gW&`Q0)c$Sif>0s8s~n3NR8m zdr2nmOyI%f$r4NiI!*;GvIM$wivAeW?eZ?|pgEnoZ0;8+{h7PmnISE$!Oy`T8o84f zEUzWvCTv#i|*@aHA+WsR2gf_-y4;?>rdx83Tqm z+*A$@*_z^s3j*#YP^?V+L*APEMXy&`&%!x40_tE{%fmGPJ@bU`6#&g`-ANeDC^fO(Gf{tG|d${d53nKzh3^jr&c_6Ifi)&|FLJ zYn1z%uGMhhZ(YE=ggBEyI6j0?EMcF}RKK{cCW%T(MtE~-YkF9U3qKR~xAW+pk7Qf} zpjRt-2d9a4QGM6VH|-3p2_RU$O%}mUK+2crhX2_Q;#*5w$U~-5S&DKmeqA0u^D=ze(aH$DEw{mH^^F9VDJ?c|ppZe6~&*^gKANRQ5t5f(l(E6&Zz_`Qb2EIx%$F<@-7ZwcS zz!%`Fj}QJyZ$r-DbOq#!6ba4{iN?->0b!wibTdXnKY3{{&!e>q;Af%h=f#qdi9KYP zG4@0fbp7Zl0-9fq-|;7NEFj2WaQ2J8d{;kW2uG2ShCvBGUIB;}S{-o}%l~Sd&IPeq zde3stOBHxgmJH^+LP`xsW@Dv;$^_AhK}WX=dNN!w0O$Cfdh z!2cN3#mq7QE?Aa(czaP}jY`&3_UdkrP(~8=J*~D_2yO~+<($vxop9-| z2cG~)I(S&!hx0{M3J@NQaIcE9j2PW_j$c~6*rDgS(6@6U#d#XOt zaBD+C(SWC|@u+S%CInxa)R!9)WhPRI;c^qCSz+QyYh*jvFn01oDg#g&GFBBn&d)Xw zE6h&lHO#V287dr>v(0r~rfeJs{es@q(1VH&Fruhv5LNJXQK7bqDa}412pgLzizASx zlsWjLuIbsLKleO(oC(8BWE*zlZi~yGunUXJU;tgr8igD@Iv?JXil_6qu=y7DtiHaI z#(nf&0)Dyg=+Ec~mRTwh#YJ)TAyu)3yuO1KLj0pT8On|@VQJ>mfwpL|3{yLS_|cs- z?%sZK-%_lOkHfcLtC?P2ckKB63J^5Hiomm3;iuh>fw_bbuY46~9|Nz2syX19V2)8J z2r#a(hzjnjLku=M__T*~shUx~vLJ2FJP#hmTwF!ind<1&P-_45c=03812HaOdY5-| z{#ouJmV?B5^7qr+&}iA=F7%!gh8-gK#R_Wk2mH1V@mX8zAFKfT*8qjPAoK>^Q$JNw zFc^nNRady8EUcwDPJx^oT_N5Y`KZm*4&J75U)o%LM$ z+Cvc0pW+WQ6bUArlT9ObOKIQLFb49^`x!y3`<9i4U9+XWKZ(;noU*{a7`i+u3M<^{ zdCcl)jI!1dvsz^SqBCyD%pBp9sUm1gzJcLFK(6%ygzF^ZS+@^xHd&%XObl=wk^nZ# z<9I)ytV8&+dvpjsIhY{)VV}8>QG7qYD6Zw@4iiLod2Ctat$Xn%G8@BC+f$`IlU}9s z`t~!o^%QdYU5OB$!WCHQv}52Ot(3WGq{KO)M?^#y?+{?D7EV3{%gA!$A|x{G7QKFf z%yTvQLKB5z)5azGA`0?Am^P-6urh0;?jzo6$DX3o5=GK7ZSa`;aMkWHwk+3iX@a0u z>K>HVdeQ$E&y_&P8w2$Zp`;lZj*`&KOAD~FTW3NG>G`XMM=T3c)`p@u$_cT+QC492 zTYsKSjKZ}q%ZQa%G7tUBr+EQpy}vXL>e=T#J0j-Z8Q8N4r5>X`=;mE+6eLOcRuxWO zwc+(=WlKDRTG96Qm%sk(4R;ERvY9Z0$z-W=D|-Qz`(t zES;+gy9yaYp<{R)KJP=wgYmrgB%B3q%l3u_u02*2%+?VV?VTz5wNB8F@BnQxPxLP{ zO_&4wd+K3s18hIn>CoIR{+le7*1SD|a{|L?xYKybY(yqFeV))?kpQwtA~B$K*o+yX zhmYyJv7cz*`>W4CH%8!4#Zvf0@#+9o`7oJ1BBtf@sha_TWg@WRY$^+x#)v=HbEmsd z@J#DUnme^UVsMoWXmqoyr)52!%$B{a=w`e>>bx=BtGmH!&Wccj1APzKOgy;sAV*@P zBDGPURKBe5i_?uHbQ6k!L~ZYB(Vl%@wjqm;{`knqrhDGR?Istw!oP!Thl2r%Wnr9y|I1$*-uPvJLBAcQK}ygR z_}@cg|K`agv{o_af=1m|20ohdn0bzafr~h2vNi;ys{;$CQ(v*0@i2t-tU=YRy z=1qtb#!9e91*27v1_l8FLi?`xpX8f=PojM%-f(@J`oCuWG1crp^xcN?-;V!tprTJ0 z9fS+}+cZ|ftuWHRzWfh&n*b#u^lv}XBBK8)|6xoMl12FcRW6Ij{eQ2g6BYee=_o4x zuj~+2p|f<7P-GT2XSLH*r8N|_@-%ZZ|0$tj_#IbzvRew GENtimestamp - Tue Dec 21 12:12:25 UTC 2021 + Wed Dec 29 09:01:02 UTC 2021 outputProductCRC @@ -165,7 +165,7 @@ GENtimestamp - Tue Dec 21 12:12:25 UTC 2021 + Wed Dec 29 09:01:02 UTC 2021 outputProductCRC @@ -183,7 +183,7 @@ GENtimestamp - Tue Dec 21 12:16:49 UTC 2021 + Wed Dec 29 09:02:44 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.v index 8dc5d63d..facab9c5 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:49 2021 +// Date : Wed Dec 29 09:02:44 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.vhdl index 084a6286..44a5a481 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:49 2021 +-- Date : Wed Dec 29 09:02:44 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.v index 4f3e8fb3..6b4e85fa 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:49 2021 +// Date : Wed Dec 29 09:02:44 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.vhdl index 46c299d6..66fc228f 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:49 2021 +-- Date : Wed Dec 29 09:02:44 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_audio_sync_0_0/audio_audio_sync_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1.dcp b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1.dcp new file mode 100644 index 0000000000000000000000000000000000000000..60330cfcf00814c0301da243124dbe64d7600276 GIT binary patch literal 17457 zcmaL91z00Xw}y*raCc{LcXxMpcXxLNcXyY;-C=N-!QGv~WpD;Ad+&Rm|Ne8&KFRZ? z(_gCIRIg5|JFBXrAPowJ3IqfM1*9gCqs)m_<-G(91jGUk1O)l%nixCKdDz;-Ea=8% z3ZO#loV`$!>oj9DgsZv5bRf;7i1iVkuT_qX0?u3gjh{pGJ8!2Q8CpZgvB87Gps)z&St^CI%29Y0Q;l?+a(BlniGVUKFf!KJX!&j#j>}m|4k= zo3rYH=o16Dqh|@|K{V2J-Npp2sDJd;zxk=FOqgIp~8144ZYNX^x|^? z;m^D(RLfvW-`Ja@&Mv!IKeS=CSH9m1kQ0Ghf38*3r1ORsGq*`@>xXHz44am}=s$^;b!fO#3gXp)t@bB?V5Myr8x=S2&?bK+NHYxWm zeRX_1`I*471z)<$ip?)Guww3yF+Drz?&A(yVC!_tD1mN>TR`p<-%nNg96Z^a`o)8HHk0G}g zf8SqPH{Q4&`4R4RU!hp)dLOOl+u7f#?BwT-PcyPT<6XP#ipa(fU4*mX!Ly}HnlyAt z>?c9qXY^>*A$_w3ceN@9+^yTy*hR~Gf0Tep@3Mcise|4T5%jGouM4g;&aI`4{`)l* z)|crMH|&>3Ne+axUE_covC3^!LB8}2u^XChPqFpcfj24%u0B^xLoxtf2x<|^q}fT+ zwxRdL@heb{+v{e*vRTZ|6)_-J0hPvl*JzASvE0vn;qHfcIch3wk!ie6+!x?+_+5K8 zxzrO>TloRf^cg!yjH1RUq_b?BpYXrM>rNUrYi0|XVWYO(LpQNyUrNS{RZ3~cJ$@@C z)M%9!p<}6q13mqs6Pv=m!Lr!d=R`9zXR-?coUireZ8po4mJ>C8BbuL!U#*P?&bP}0 zgym_o27Tw1OJu}HNFv0Z23^3<7xTV0y;^x*TM?|ri>WP~0kP|q>A6ttO&s%ic-fMm zRj(`AFAy7{ZWXHxbY9?CR)Xy2tMz{d?cuhwMprYd5XH{je%<_Bvi4VO0z#MxxeNl(~D6J!xMDNooK#o2ie9z+fv z{&w0^!*#5KaaVuEuVW;vZxf2M@gBg79KiqcqA*hX0GyM_yzpgp_{RD_&m=@HEj}x- z#5)glY;P|7{#hE9t?<~H)xp2cQa=I95VTneQj|sR<_RrUx4vNDGCwF6WcT+wBn8=_ zIJ?XX)78Fi%5LV_d5s>r_H>rN&dVaScU`)(yZU$hBuZQUeOY=b|Jlad|H13%{f*!J z6+dz2=dS$tO`FW=U<^%3@Ts6@B+vL+ici&O1Yd+@M9!`5{`6sVrSE8K_q|UW2U0sR zm^LLCa{For_-4lO+TVucbr`-A(%W9UCT8F@ap2Y8Hw=L^4B`C+o^TEjhY1n0moZOm`xLJhLx!w^=0 z`5=RL$2P9$ieKk!=IXXieb2Lby*|vQ>_OsRVgJd_`PU|m9x#Z1R)g0j7AFtmbfR~5 zT0!Py;+#zI&PWX#%&Ram4SCY&^tP)BXcrwN+)?_`VvN)K$0|o+n&bB zsS|+<5g7cy?vHFtI9An{R{LS_`av50`wM)L0hNpNb`uFyg1a5_^_Y3Aw-%(t@R8O} z?KZSbWY=ld)?;8#$>gqh=`Go+M&MqzfS*1R4lS+fJ52!ZRTWqtnn+HaRSV70_^b~)?|LB zgl`C|nPXWYxZb&Q3Vjr$CrQp`x1|?2A-KM5qcU3*2Pe*iX18KQ;#bdEW7eU#1VXGN z6a-E&Xe=Z`xC?N9bC&^}bhTJcI(p0pZCz%gj-FHT`?r}yBZmd?6E!=>RLDgJK*v-t zg$wG4P@4KH7;Y093>i>AH0mmi`jYLA$*--!lI8P3(gW)tl8HV=QWshtBo(`|(aaWExR;{+9o8ZA_41q5**yUq^NUNMUi|JS1apG5*j z{AWn`j;zEK_{4CiEyTWhpfRX0B(vM<{}~}x;n<1KsHTMp!RxyZ?e}-rQKP9eNe+WN zyp6gSkEs7lI6bveFfv@zX^-%<}ZT5Oa&l_ya$)+$Rwx_E-Tl%51lrkI}0GUKU;_zC~+ z8$-oulLpaUt^htbW$z^H0#F808L$(H!hlL&8)UqnAPnJ05UKzO1Z4mKpaevTSq$XF zAOTEl_#$X8<2X9L=8-QJL+^riGKO(n$su%Q!L~CW;#lVNG6$b6i?<4Dx+}A^ukYA? zOZ6zNiBQ=n$gSNEnar=nTuL2lob#rLd+QVTiy{^TzT*TcIG9(}4jwW&`QQtv9{@K5 z-|A~{4Qc<5L0n|k05BZqK^o@&*mMY=_O$AXQqh ze@aW+eU@fk{46a}N~{UkrTC>lg6o0@2Lb7=u!ajCUaCqOMf6YW=wkbJ4CMJgn~^XR zX##B4NXP-hy8s2LUCVfA*wTeswMun6gqUE2;VML|q-<>mlc>yLE<>bA!0ig|mD$~G z0}L&pfQN`|AcXVPgcgwEU|WFi(i7Pz#&u6fc1Cj(-eAc)O0C6kVIUxj`C&DrNs!AY z%>@%?_~Q_q4{XFQA_xuFdW-`GQkvt_>~`enby=rYtP3)>T}@pIMui{niXQ-83U+8n zXYg~Ey(bI_Ui|;MnEmysUh(~Xr-Glgc}I7F&ICC=H&{cOS7O)Tv-Ch6{((clJz+Kt zdGxlf^8e2bb*L)AFLtQ=WEg57IC1oPAuJ#}x%^9DWX}X4B53(((XBa2?IJ=wc_j!nL50cqk+aZ(J+_OH2ltu) zr2LH5>DH5?TG?sBiJM4dN9}9wFQOACrPi{2z(KS_HX_=#W?66Qh z5=~=cOkEC%Z88iK`e}nBQD>Pfb+vT|PWlB_7zUgIaUfD)3k~PInmR&fUES*pLkDwk zAduW=8QQBBS)%EzgW;HqXEqqZg`z^o$i9{ux-4Saq!VQvh6*x(Z-+?N2Vqbi8v}Yb7=(bD8dED%y$u98+^v$rLKqxG6C(5x;15Sv zoJ;jAf*~5kF$Gh!k7QIyVqXo4mD&RKEQ;nlm1R7&x+T{(Q5;hxv`^Lv@lYnEX5~z( z_AWbMk7t(h6V9eY&d$spOC?ruA|Aye`$GH!RZ>y*he;(Ul_%;!bfP$td89}Mxp@Ql zYw`xHli1kzaAwh4)g%k0S!^*J?8%=Jqk>G4aC!Rf-goDKcKvBpb z4jKK|4aGa5z3C1Mfghk%5drup8cF=w&=k*KPU6rp$^u~oVgR9A<^1Q}kFQ?{Iz5H= z!z?jhi$Tjd6;ZUzZ^{;3o(}Wgg+FE%$&jqs?eXr^(sH!;wjocN*012dyH&`jmw~B_ zQdZ1e#d>W$hvP_INYsoZ0XcS3j$3$VlkS_>=Z{847-!nid4?EZllPfm4I|yYm}7W0 zR%J{+a(@Xs{mGUKu8t73-T(87iQGRPGa`=|AQhYqT;Nme4#4#JDgPD_`FRSePU_b{ zNPBPX!1$ufA%@X1^UB5dtmnUg*UfL~{<|@WDAweS()}Q5c>>%bd%s1$=yBkZ{yofp zzoP7UiPI(f*QmwJ8NB>U8>J6C0ne+0)0XHDoUZlD-{!p zqdmWVC=9I?2Nmdj{3dL@(dK|HvbQI{dGkQp64_t9F+rEVjNN317X@*LYlSh{8?P0; z`Oc$F&WIkH8r5aW=RnkuM30hXZqTh{qiF|2P$FuQw|4-q1C8#oTjoltUfoZJSAcew zH#5JdAoDO0W)Q{RvFwZxppUbX-Ce^E&g#6~WDhREi?+SHz*6S{N58JisPGsYu%LXy zt04;-g>l%hi3aLw4+!VQ(_B@rYMXFVpPc{BOXwyN>fn4A$?CFt8R^-<4m}tPUp+~! zHe}jKee`pS3Xz+uj;U7TIQ60?{hRlIz`4ctc$d+kR!gT*?xihgeNk$P=a5#Djr$PK zW)`H8_>jB8{b%*GzpHNxA$B%&daUSjH(im0>uT!pQ~?&cGYH*G-ESCr+z_#Qa=BqP z0!tJu{Hj(@&2}dI(^!w|JY!E*#v*v<+h(TO#df@CA}`ytFdcTL(lk^ zc|pmR4Ly^a*r`0N^mk1?lZ9nWi|(hSZG1pflKOM>^x4Fazu6eTW_6ferZ~iz-ii%~w=I-fsel%d{V(yvul}9HfSI9=7 z3pMe~0Yq=;)dkAEp>C4yTkhm|&J${dE*moZcFh)P3l!Z_TAXGJocEfWB>f%swz|4D z-1fs!zl;%3<0!IUCsu?RV+XoG{)hmvd?M`Oj6;)JhQR~T2oW=XVJSc^EB%ec5+emA zm8>yYCZhAEp8fiU-AOLsT;JzSGF!(CY42_un4ZI*4fk#0$|I=%@P_Wv)3ft++kPQd zb?B8bWBHhQAZeifjALSK&#ZR!rE}uIy~VBN9rC|3D2gMjMarL1E>*UKSYe82j-kbJS@6jg~#!k&-vF}j+2RD zv--Lnjwt4^VhPoJaX68J6<7nJ5aHrhQVs_aI4-xGu#dz=F>PTT_Lba4fwz&_l4JWZ zTHAh5>khC7f?kNfd5&AwiX+M?j`u~z^ZV7u1HWvrgb};2-6M8$DMoHAWo@!c6V*W3 z&Mf2oZI6E_o5Xp;j2!<^RByfO`slVGL6gRHmmoL}#6yjE_HnR-km!4D+^p>inYirH zEc{J*?{*QI@JP%{?88@2nske}mGrg(v(r*VCRPj$i~WQ%%OGwoT!uUjo&`7W=9W$V zlk?VxbGPcPvs43wEl3*B<(gpE4UTajJdpT^#~LV=t6Z1ZM=!TZZEKTWc%aGtd+Q*) z&N2GC^@>eASrPTW|hqmY4R zB~;_CPmnJhIVGS( zrgVVvXBRzK*TXDV+ij-$8lOtN&DNwP$Kh7=8Or^mL&C7@DbbcXnPW%y&+CICdS-B@IZF z50Z0!Q#fkRwnKJnK1GRhM=%ydFdV_7X$i=)E{@O1FV6I0s^~U$l%4({%cGTkvQB2c zS>h@7?23bbM7!Xdq1%6W!o3LOHk~S@yjgy&FV(u7EMbwJys$QvWLtJq_|c!@N$8C0 zEW{twNT)@4_H=w;OHtS(tK?p~O@@I`4st{&0OLCpVhQTb9vgXVT?o=edg8`N0v1cGE|oj;Y#BlLW`3Nb0iU_NLT5 z*5&oYEJ2J7*|BHif=&0o5wOo_oi za^u-cZYxBDJvFk3q3Zy?3+|PVnok9_nAQ-0y%sf+zdV1#{5pq@D3{%0g>96v|%!PJSuCF)wIEsi&2XPtH z;tXbJF($u)CS0>*GD)F~%ilYr*bH#Fp*irFfboJl#EH^~cT>c1;E|e8#?8(>bLtXK z3uC`Wsr6+a-?yh3`G2hoI3AIS^-@t-g$bKfq|VFdHUiTgP=*RH`A#1Ai!fD%HW-~m z%(HV<)~2Q?3zY3^3?-Gqi9)%;x{c6gvPTC*ZPP5jl66?Jm;={`J-qLzB^Z1*v`GH` zcSM;Tf2Whu{Z7Oh)Q_C3@8t?_tOqUOzIoReA%zoQSRSZuF&V-a%Ke}c#X>h2NDv_( z3E#*@GcCtaD?7^7qnbxT7aLiYBYv%lw@XV!tiEw|fMXd34_=cG`u}%_3uqY$aDxQ` zdcp+)!v43e-`T>@!8Aov(;-_NY0IDN;$f??kxQ(bc)PVkccLNNTmE9ro1&9iJW|sS zReKO*m!riweSQ~;2m_{_3Rjg}6%{Q~3J{3M08K|_e;|mU(4{aaK&7f6po7{Mh;icY z<@YMMrNqSa65wrw})j<%CDV|1yt`Dmsc<$wp4~OgiW>nVcvA zvzJO&k|!KAlA{2VKBcR*A1}f<&kFANwb)3R3YrpM8IhOD93kDRIlxe+Ucnstcu-AKy-F=$Pkon zeI=&C=l~u*D|&zYr+YHaVo)-2UB=^_Rf$RiZLvX=Dy2oo(j*2-T?&=l4Q>mq`qv<( zI^9EuLSJ#l`O((KMbz^h=%|$Th(0+Il+Ya$Yk&Ily~*3gc*_Gba!3Pqc}}W`YrmC& zcHuS^ArHh*&pd6N8bB|Uyk^2r@-0ZqT$ep1Ux-C+)RS~;pRU5;iVC4E^G!!d5#H0IV`eo4*$q&r%2kbXj=nfMdqEIBi?WpY!j(c@y zS1(7Y;!RV6YgY276yZ8eOts<4=s^@6Q(dSvC(<)fT$hbuimt+n{zwIGriCY?*^v5O z=TeyS722F|<-pXOFkA)DYJMJw>q3k^0ut_ms+RhSP`@Ptpwk? z&G?|&DG|rGcPY-)n+#O!P43!)izN@3v&aQPpLhfp;@3_O^-9f{UPt^%^>5Ks<_z>H zIO@hVYojf}*Nj^s7{;i4KY(X{t+;fcg@l+wG(JEljqQv>Ct-;m*(G6#9~GNCm=yuYIdD_*QgqDnkX!(K=8hh*$1G!)(m23FJA!bwtQO_~ zKKblq(`)b;@v@&*t3D|7Mf6r;wn)pc`u)c+s>mtCiAWw2`@mK}@cK(t@H99@CTKiW zyOXNF=LXs6PA{1JQLW53o9Ukab{V^7rashMQ0(zCcpJ%Lz}FNm`Ka zvPaMnzlm6s)|n9&IK<=E@D;PX?au?o`+1THdRG587bmAIzpR2YYT>LW}vNWSP{K)F&%6kLH{Tz(4R`w*Ey^*vx`|L@mPW@3gX69x zb>tnrHaR+d&FI%@yS@I}?1-0Ew4pr&8k97A{RHTq&B2mZT=E(pgA5M;Ew>G7X_W<} zq1qfp9`nkwG@Fs%!;vz-R%CVFtMA(Mn`CHwH_?ZvshN;ma39y#tHkaTa<^Ywm8>7q;2h7v@!u ziuBHksAr?S=b{ZIR`=8UTZ@u+(4e#-24HL@r>*7YXR`XuOj3S58=mY$m%1B?nG;B+ zh>?nzvv4V5A>E{aBZ-(xC$?rPvP*_YhD@ zNu}5!y-BfIVV8^@_iH?rRFgW1Eje>GW)3KkB8L1{CYG38N_Z@0PFc9{7Zi~SAJ+y2 zFaHL`rXF&Mcg$PL<0lqpDrQdO%Xg<86soWeoD#(=G0T%qMeGl|WI7ObDfJ)}*7u+r zF+gvp{n$5brhz9cW$`Z^G2et`SW`}jV%K!Q!i#=|=O-q^(FjONsf$2y`pe*jK+R+0 zRfi*rk0B}^bQn=V6N}-pc7!L9Hh+_#fMwbZqRbg2izre;%jUqgCoXvy13~;g-oZmS z`|W(}0qwU{9DRR{(wYEd@eo=yBymwHHR{^KG9>E-K208@>cr$2@+C^z zhWjDcarxC@BMDJb1jQ=x8t&3aAsfP4%dYU4arr$qO78}RK{M09HrnEl(8`sHH&VN- zIbz7*m71yv2o&yQQb+ETVjH}A>D8nu8aHb1!3(0;;S@|Yu0v5`vE*XhP-0t$cciYI zEg#H@xwc}zUw-GM4qCsB2>HE#wVk;GXCzp^)8$Xc@h4ZY`XR&gYEOS0{7$ENh*H;Q zE#v)d$mYNID`U6lrM$f}HrgdN+Vz^Y*_ANcrT?c4L->o#B=r8~GfQ z#9{Hpdsco<+GoZZ3q*S~#kr*;8slSv;-NzFFf8>_F`fo8o>dO%*=x@~|fG{Eht#qpmUi7{TvV(n#p ztwfr;&1Q=_`-nRPtP|T^SM>YXp$*`xVy?5*Z!5E7uDdDT^rj!-6&?gCKFTTH_iC_r zip+xUBVD4S;A1BBh$r(haBwf$()#cUSxZmaTEdBeZ z{{3v-)6T^L_S(!0$!Z{p?Bv1cgEm&88`@^$DP{mfhJ!|nBT z@B`vCPaP<<5m*#tEF9hNI%Wn0$}b7Ksq_JFm#0r5>|#}nf?v>WHxj)6dYPnm7^^qu zPN-K*#{<9Ds$b=Mahgw%9(yVDL*q=vPFf&0KN?Ebxe+mz^OXH*jy!98(Y53Okm;49 z8Fi=;c_E^qd;#$Bu_Fjfqzj8s#vZG9? zi$Zv<^(0PxFq*rj?vns5T1|?y?b}Hd9k^ED^@gr3ubXB2;WPZV^;J{*<~QAigAF0L z`RIj+(`Dk%ObVLToqg;Gl>LTRv##Z9GUq;$0QTPnDXsZ=ho z*BsPBG1Yfi+tCVbPiAEIOCv|6Tj|7D)juvqdi ze=QlA*chbiQGmpMMQ-tO$ogJ+N!?IIJyE zfhRD7rO$VTcKuH+yyPz1YFp!FNE+YH$h4*qKvEm` zN_kT@PMM?Q$qb4>j{>ml&+|z7KTxJ-Y|3qtW5cJmCb2lTINbeA!`+k%mxGJ4np&Cv zksT(Kh?j!29@jTLgMu9r)i-v^AK#(9VNzcxr-oBM?dE2wCPM9@jvpLL@Iw|f4$xI} zb&*jJPOwcR1=9aW=n_n&kr|F>u+I;ldQ@&OK|>%<$cL5z-8i}+&_nrJ$%;R(y@ASA zep)FQfZPDEUnJfgWqxDE!cIVeJvK3you|sgAAucUQzzp6SuHLEm|95LzRmMgj=ob# z24IwrmaKQzp=Jv)xC7n50)I!XjCRqJSGGI9RoQ04|N1Tq`K_9+?`NdDfr4u9F4AEp zV$tB&s|r3r_`VMYM4(pCECS2c0eeSY2^NpJ+@3-)d08ber0@oGgl;xw)DDenwYxK6 zt|fG_ATXtUIwyN#`|nqrHX+o;y3pq?Jat^_Yn(W+KJHeD(G}Sx(LnFp;3|SZ5&b3R zxJqX;2?9@QM!(Hi7nbPR8SFnIbXiEEGpXc(e9R@-LI~(ZO)M)!RlN>J%a^aIYd%T` zw3&?}QEi!9rfgA*3K2tAit08!f7;FKV>)7}1i7v1R~4=g%+(yW8yYw@S4hDdCG+sD z@e(edEprn`fikgR=%aicqCiVhT4t(eZ;>sDb>?LRG9ZtUjKj&?_w^>Ak_-=~VG$5! zA>eCk?PFj_Eq&(QR{99uZ8$CbP}gb~W^P@3;|q5|jmk08G-cn8m3YQEAS%b`@4*-l zt6K`ktKjK|715X8jbpfBNF7ketrVLKcoB>|ii7McN6dr_3%O9OdwyBW=Bnm_H{^p^ zWrA?Bvg(GIEQ9=+1m-$2LV4ODyBoN(EgB1*L7^O{73^(L($~c*DW+>mPzyDa18%sS z{peV~h?G!5yRf0|k)(i`M0JQzG`Ap#A8Lk~z3rd_4tKCsrnk4ErZfjdm36to5Q_mu z!^emC=Au6)UK_YkNx5+r;J;PhPduvRw{+$>uB5#2=EORL8JmDu1t!9QYeig|MizrRY&P)5-S7dv7LK;UA|;uu1C5D1EF z<$jNn2o9_0=a68k8pFhHu(5q@_)tJj(8*Y@G>sM5tF9I%0s|`R9+hK+&#O)Q@*UC0 z%>xXTD#@6FW?-kIT)tSnUMzRzW6Esca${FMM?0pwS)4C8?rV|XM(h5~%53|EYhvhp z0}bH#y`6dW;o@BZX3`)*Na(9GYNUB

    #0-joSc06s}%WzQy~G{7N#@JHS)0O*@N z=WgVyu2~x}n~SrjQHgjzcAOqFlHt`5fenrx@Odqes%@_cEm#=3zi#e)p3M$At3g*U zuw%J3C%-$~i4A>&DvOJ3Y5UiNgds+1x|q(yu;e1N%jqjIHWlTM>U!!MnM*FTb53lo za;^9(ZeFY_1`Ir&Jb_rv(SyLU25CfRpV|CnJhbW48G*5fI; zJbc+&$QL!le7@v4o&2CuMk#wv29LYqm@TTr{sQ0l)Z)tpHlIm!^Grm?;&%iyA}N}k zc;zus1l3zKq*F>0#f9DND=8r zR32CVEsto!(1Jx&&=J~%VH~&|{}vj!o>W3eWiO>Ta}`p@aNv=oT+^;Z>cTT-$$p(=HJY_S)92sxUPDT zzXgNh-igYDz+bss^xn2|)tkGh|>Gk#9gNPK}{a>iamcNZ8w9rb4f( zfsbk-?7GFQbF*6FB$xIR5(+*<7(8EPV|O{;sBs{+*VrMQU*M*hDfr}7&a{pWuleGe zhw%v9w-|iiALAj_@!&KhnXpGW9U;T&V?VB|CnP8R^8}q&0*zKX8^oHMP)eG0)$36} zM=j4TJ>en@nhSaTOXFjHM!0N`uW~2$8)KR?h?Of8o<{m>SS@F$kI@JmDayFF9!lvu zNH^VTn*KI8kp*_G?k&^hy*rgUjEn-ODUA@}G?)~M9CW7cI(TgSsQJ$PFlwT4By)O` zy?lQL*s+)FF-RTjSuJbIiK)S_9Z12WC2_aeHa6xLx2D%uE~3R51x*wetMkt zNs!C^VE=%i%Zfg8x0aYt#gP(0#b(8+pCD3Oo0n?{W1u@eKG!=o>Qz!h+Bb=6QY^Uc z?hcCs1Y!W>D|p6=$+5qfr*M1CHU{wSTmHfnTnUb*d%t2NvAf^20I&--y{jevtF(P0}Cu+tLty%$6p9iNQI)U`Ty+N6DZkg_xohJ|TC+XZr;FPT zxkT^_$Pq?ZOu37@&g3_1y0EcbbYEj@OI>pO3x1NssWyC9Q^b`MeoIVOO=npPxQU-` z0-k%m{D%8hG?@8PyQo)|b@M}(;~#f_)xp25AT_SKuhJ z`{C>kAJ=1g-u(&Kq3VhZHk_XQC0_&Rz&h6IhC`t)ZhWl8^(x@*L+Yq$x$9T&h_*tb zEVY4ef6r!}EKWDPu7w2k;pj<_00xPz*>sCeQ#0E4jZi~)>`#R6Ioo!|owXXveCs&y zairj4Umf^s0ogq@_weUJZ4~bb80W_(gk`Vk=8B7CPOS}>8Yhm)hAk9@eP76xt#%e2 zhs`>?83U6eXS-bNA%IK02#BmpKB@s9bV=d6$)1p*&3d^RjF&MlE&L8)qdP*AeFl2~ zKp?W%B$E>j^T< zEZC6~ho`Q~??Q1DTn5SayEWi31q@Vi7VrXT_TOwLZvyysf9RJ+6g6MOszaR>XoV&+ z;XR{T>|#7W9b(4Wwrnh8B)6c3bj?v7H^5DB_%5GP!p7vzQRK`v&Z|$V<48-rY7_BtI!ou2^^2`Dq`_!<22hpPPdw2BP8HV6n*aydoSr&_lv zkEE;?+b^oRpEGL%wjpGlK|GKofsGO!^l6iF7-^I00(DWK&^ zYk%<~Ixc@I*Yl6!=@>($JEvkVK02DOv`QQ98lARjC3h3sTKP#Vt!NToR^8;4QN5+d zRz4uFy1EGs1k7lO%KUp2(zZ3O1ii82g6JEkW0PKA*~51MiSbP(0LN-?o2c;KPvBjR z+-E*H_VfwLEJ%8uqa7FiN_3kWdUh$=PNtrs-4epQRJR*c+wy#VE#&Vk8ZhBqA**-x z^tAQ0{almjZ4NT3&v4-`6pku^9*?ZfY+qJGIs%yN6dWu)gMfxK@yINjDaVeJj&`0D zq7FC^if3#rqcfZjg`3B&B(AIDveGA-r;$gXsG6gPJEV-)D2Pei^-4oR=Cm60xNup? zS)@5Wv4V)Mf}}Xtl56%Wn}MR%?qMk%*GZ133@x zyFv$%U>%^+cU4~@K_!|hW zjUTqzrI9A^kalc#jGUq*v1Bdk(2Ap(TbqzBIHWq{GOpOeLxvn7VqfmKeK`imEfi$3 za`#++fI|K&SaPz|N)?G~(6xMdqyE4QLq3{*x(3Qd(goM=u1$Jvb>Eyb_>Yd$4jd}W zuRm9FcmW8gWk*}!VeiKez1Xqjy|m^guRieuW(j-wWk@YUYlrqfT8|5+k;0zt-?Q zI3@WfRt-LL489Ub&>Jx~bZhMd_>RLC|<5o zj$i6O=1`9@tk;d+$;>_~!f)?(iJ1?jUI{|f4QtsGvsd3)qm3ICcO7FxLNzOSDuTdA zX;QR2;Px;NeHwg`6U{hVxuc1*lL!?oiZQ;AFv{od^35mpC?FJp5Ui8d5vo*GY2yBZ zGwyuIPYbG**x>^yznMKU3w`7O+z>ex?|BGD+cp-F{BuAr_JNYYPNc z&DtUY64W>#xxM{F$(0=yR6G*sRqIjZx@_?H!`FS7Uo56~-Gi9qVPIONX+ctLG%kWh$J)4X z{V?c794cMB6dd-7dI|#!w=G4XV62^0RN$o01QTUY7zdTuU5-$MA7@V<&m(qvJHvgd zhQnAL3%1n5W8ldfQ*%4BE3Tnl8y>3I#-p z*!sk@SxLJ3Qy`$jNnK-d9yOo}I6))bZ|1S+yk5-)YDGN2GlZ=s_~s1D^6CR0%+qQE zdR(gR?*n7xWO6vCsw~ZOjcv8Hh?tUt>_w#oR^)6Vq8FEaQonA?wWucO3(v$d1iPqS zgva`l2Mfv4W_y^Aab#r+@R3FH*bngAufTq0LVZa?g|KTVbY)$X8vO5!6|vXogyLtW zO6OCbY1jYtQb>JEJAGq&I~P+A7dj6UV`@3t2zrWfdI?&pa+MicnkgAt#cM$9BOv_o z(LL7P?fym)3L3^$HCzsoN=9yKj8bi8N

    K&LMAYm6O`AXWG6h&&->U!pSH2+;U^ayD7iE9G=0&YK#v&=E={!$U^}I$>s6Pt@`H=B zjL824*0MY??%;XOyL2q_r^T*a*OU8@tHcxK0r|onDG`oMhQCjB&(A#1tUVL_ubOFW z3T^-f{Z}-N7NIS`|CMZ`LeSwPl84j&x0L?hCE0bt`TmoWm=lfz^2C~`7=_75XJKpP zs2~jt0tAHiPl65w^q<1}U*=c;qW`nC`1`T_2bG8&fkgP9#Q)zq=0DCc|C9Ca*y0b& z^PfZ}y8k-K{9pe6D}4VSWQ#vQ_`m!W5h(v1Xm11#2$RTvLJV|n#-{(5=Rc}+A8sHZ z_ka7Z{~`JB>EXry%fl6k_+K8GNF0#9fPbN1iO&HDiLsGz{~i8+Z?Jz?egp;tg#Z8H zf&YtF3H)#JLL}OM@#p{Wg~9(q_|*S*3_O@7%bx#0MW8@H1pkXeJy9Wwi3Kqn2#5|y zltoO|g45WPm0rYH!%ET0MB2sETER+9lEJ`GREV8Ll0{HK&A^a})rM13k@x=r9$t-7 diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0.xml index dfb526a1..c17e0110 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0.xml +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0.xml @@ -214,7 +214,7 @@ GENtimestamp - Tue Dec 21 14:13:49 UTC 2021 + Tue Dec 28 14:26:15 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.v index d4916f49..ab510c54 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:49 2021 +// Date : Tue Dec 28 14:26:14 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.vhdl index 59243a63..6494d2ab 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:49 2021 +-- Date : Tue Dec 28 14:26:14 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.v index cec8d2f7..4a0a6ce4 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:49 2021 +// Date : Tue Dec 28 14:26:14 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.vhdl index afb5fb82..7913be6d 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:49 2021 +-- Date : Tue Dec 28 14:26:14 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0_stub.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..9666f7597548d612b13f6753463aa2de73397650 GIT binary patch literal 22679 zcmagFbCB;)(=|G_ZJn{rGxl$0&e*nXoUv`&wr$(CZR4Kjxplv~@AuD}s+Dwib?@v{ z?MgapC2~@rU}!)YKhWBnz(7Eu;6Ol-|Dr~QcJyx6R!NDPwi%3A!8Iwm z`u0uQfgoZ1IAmr0#PG@>$bRe{^>Ca~n6=2Mk)I!e=hsBm>8_@4e|t`N#4~_yp(p^m~mvJ zg$b!#ySl?UaNSs-oqs?ogAcKh*n25Map3$DLB9yhhMhj$%eMlmP=SS69yuoI;WAgF z!4A^2p9}EZh2SbWy$VYFy7;IZks(T>dzK2p(BaN)x+^?@4g%YYOhkC|2EU|&)TdvKF z!gtBsLcPdaQT@=j@vwz?oHb9>hi)p!45ydHNFN|xHZ{D--cn5*twN3jXCq$q#%v0c zCiu#wc2CJ!Hz?6hcz12Kq1ZL?<)KV4X^&`*5!t&Wf)aRPYe^lMW!r`@cV1&FISkIK zj{{Y}jooEG`yn3X)&`X8T9U7QMEq(>v#rlq^SLW%f~)IR@P4Opba{D*(T0QA0haq8 z3!uV-1_%xh2*{os7>MxS0H47B_dG+YLz_<( zr#8qjcV^(_h^sVUK~}01V8K(`=Z-pG!vQ&ld zvGaC$OrB2fUxny;_e3J$K-?NSb5p|&v^F@2k;NE8yu&Qkgo-O7gZ14-`XN$ zF?a50hbama5@`^G0auclA}5XO1q=fR48kg0ZwY^Mf9yiLUASXHPgjBG_EPi@kcsj2 zW9vQNiG37(ayv^5>|~dAv(x){oev4rqMG;vaP;4&_(8vv-Q~SyLE9izoS)h%aEeuY zt`}8~M18|OASt%QmF8?AsLzQ?A2i$FJrN#TGKpG%-rqitG;5whVKhn*vq)Ni9z7vT zdQ8`~#t-4~POXE0rvTig1) zxjT%p4GFL<82HZRArVgZDGsuk@%~dlx=PYhdzX>l`Vnj2CUH}#)IV$kk3q{vRrYQ8 z%m|>1Ly#6f7$Z$eq4%<7te?UZb74j;{bOR0UwKyQ$4i`jSL*nRsklkftx%M%A-2_r zLk-AxZ*+U~AbklHAN*BaKp24>MW{I+bfbJsGRSB$njITK*+zahR3y>ddSAav59-GJ zA=!Grql>XngbtqIT@x5-RCI5k79TvuF>g8@ha6U1P)6nU(cAJ_`f*MAW<38URxABp;hfgSlQ|T@ z%G8lTKfh>?7DL^)d_Vqj>*^4+iddN`^V^`QVrhr)F(l|^vAl+1zyvFJN(b=~anvAc z%SFxnP9ZpuhO8g`S3jFizjZ&Rk%z}3ZZuyJ8$2H-$%FcOlFkmD&4_(Goh+pYF`2Su zl~}`7ZlQ&wr1CE^wy&hcN=%);@8CQ(d()fT!3wf{W&FEx{<}n1T+(-_>A6bwj4N$O z{3QT)IrdrUbxi@_;mNpSrP&pVzrG1&Ej{|OTtlb?HTdJq=d9NNRhLq}!^^;^MMsVm^i(#J$N*y;`N6HblZ^bpsS11e`E|haEcrM>F zYv!x2*p_P)^YjF|TVRK_M;H6A-fpxD>>mZB-<#8w!HbD6>r&SOQwDL&g;C@N88o_##o7% zqf8}=q2tW?%$XmIyo3pP)T@nXYq01IE6q9pMaaQP!w0s9v`{COnBaR&^jfiOEw$?x zbv+0J+@||)<)VUKRZI4Lwe?N0$z6#?lJ+5RNAT^ExqVen0w`)hUFl3tL6rn<6fWJTp2q%F=WI zpKQJniV|sg7VzI}k+H`T2_>GFx8Gs$VX|?hF3S&Ps4_q5BCNJS>Xr1=3%qq(^qpN| zxJg&&@)gTC)?d-K#i55~HpN5g(qla2%qd?jb8oa{qVEYeJwFs5pSpumKTHuNbAc7f zd{r`LUbK$_JqQS3ZWAAW20s8Z$AxuU^0gK;qwUg=#FnAcw-zQsn|w9}A4iRSf}?Vf z4z9;UMx~%!lj+b$bSkYIok6i8q_)K9GO)xoD+-z#C+{AT5_PqtCT?2e1VubTqtEq; z&A_7|_x`?h^Z@_jb4uuRi$YSf^2B(eMao8hc-6k*yj*pG{vv63eT;ZJDn-;(@S^xc zW@&=&9+)Lurydr z@mWV=hG69bg9zqiBP=Xe<{_4M6yj^Hzr0kl0`2sWhswM62g8Zw`@<@oD!uR)>y#Wp zb;vezKLlF_SYtfmZ|$uiR7ih!b{(vwWGitI)A#AB7;IeY+!9EKh++UvN zAsPT?+Ly)RGTsJP$bN_`fmSDY01cEo4Q1$aVrnd#h^d<%M;SZX>Vf1-$~>GfTjC)c zn|wTrl9=SQ93dGOqy6ltpL>QFg7k(6*FYsaDs!W+{&X-j_W3rWVM5?-V3Zj8H|90V zOI@hIV^_W%616i*x*oNtRo~`i8FrIi^bnTE-83JV*A%vlPA60Lt?G*p6N*7aB!K0N~#khjvL4rAVM7O37MAZMc+8;-Ho}Hzt@TMgb{`n}vsF=j0$a z`7h9?LqP%VIr!*K&Vbg(PEjwC{X@J_V3swKax3^e{2AeQzdwZ74W<)qScAeaO30*R z^NS%5%6pgW)&b8o$xZMI{J@ywT;bjT_X4eR^_$yAN6vHDjY&?Yb2XU}oS~UzG6xgQ zmQe>T5tHFL8U&o-IUD3(&hNqh=G0T%p%2!V+&xXsRt5%LB6DK;&P~)-`R0tho*kVV-XaU<3Lpskzo-GTASJu%>;9DNWnOye}qJIl?P&_Aiped z`L!GzI!DMl7@3dBI8#AJaS7`Ds|7Z$fZuEs+nKleFtAHu`7r!^0&Fa1?H~|>lnJ)I zoje!MG#);Z^h;h?K|@}RPLk=(j(lxUVxEwV^a4uFHr@cjK%hPq?`TCMMKxbQV7@o}foVL+=peD9n(0rL)k7^QHpNbX}OdC@(KiP!%$hlv^I&@}cOpE7yP=Nw* z${_&6BoUzSisQT4I9`W`GRO_Q2+Sn72>B22OoBm4Q(#P{BH(j=(Bu+Rz;M#uit4;f zJ3{SFs5k}49LW&t=i$97WlmyXD%%NA@=Q?8-KsCtJ+PP&1V$s(jq+!&VV74&y0xu1Y`m1BIZuzOBu;stW~x{d`T49N*l0T zvH*s5cN9TTd}qH?h4AGd)?>8VM3OVsSOp{3+{9%Q2;Okc70X}HR3Z##kt&(EwMb|q zTM$!_7i@jWy`R$+=n}}WuT|_IfB<*l9`GW$|03zK;7L<6eu6n~%r6h}~ek_Z6ddLvZXhfH3s zB{{yDT-siEWC7}I4>?wx#m39u+-kI->?kgp;GNEssInnxnc$Ecvr6tN20hc~os}>k zv(M_2T8+@s%$0u7oGo&!mmtvJw=9NS)$W(A47}lCkP{%2P7bNLLEp5_9%9|K+TTY$ zoVys6==x!atrc4C*X0{9n#B}3ly_zU_K z3)1pb4jIxxwr_K0ckyH$z2T9RIIx9b-{Rc*^bX-60B&=EZHMqu9qOqXqFH0>xVij5 zhj$&msHxJpRYbrQ%S{>k;gk{)vJpCke#Zscb(zYEBv0j;yYfgC_*odonF1S$wCjcL zxm#K(S`1BiQZzX97=XNTOvGs~1;ghpO%+-ORggO+etSnDASk@qdd0jSJw+78K!4Pk zrql4^Lp}V)_f`Gkc}3Yz32yvb%h42~>sALs`=V|A3kG8rf59}k(@rB;>!Mbp2-i3t zuFVi)Di)K9Rk(U8uZg=w;5t==;5t=?43KEg@7xk@9mN{1weRan%hJu5()}kqPya8N; zrI*g4R+7#c+lcnK92}}uW(OTCLrSuORdf)$ai2PnwE}^;hsJE4C~bxw^M`sPfxU z$0u|e$Gjk!euFv!wP;GC-DIn}=qn}oPJZ3ToKDn`={7KR(+b|Tz+YjN55zpI4oSf( zn6im(t#=s|V=bF>cI&-0hdwGYyy}aJv4ymL$yYLX(T)9B3u4$wp zxt#1`0Ua5a+AOy{yYPf$dw~ZymN_mo+Fp03vV+9bvrx~?bP+r_h!>`Qp$f<@Erm*D zVu^LCK@FGDkklj5WPq9+26U(3()+oD3EP|`zLRBy<Q26t-&*s;PMHTv z(`Q;7Q>>ih!{{74E<5-dKwH4f3H=ft)g{)!-h{@B=^KC>GEG7^X9>VF&4k+g6l|RH zaDamU70DzdedF6J^l9!g+3j)6>*+eX7MX0aZ~p5I|n1 z0F_cqZpC>z@wMCX*h!@tm<%e!{07Iuwoa$T!d^st;|wN)y6cC6d##DL@S*f_C8@HS zEn;G6f{u8;&BmR?QJdll9gO5+Rf#3(IjO(oqIE#3QZ|qCmPVAw7WZ6iX~JHK$d=`2 z7`QmT^HzU^$X5G8d}%^lg@`tf(@?1)vW~cR%QK1m3tWcNERFn1vo~3_VEpi{*D#5IJqE?=(GF=wm*fo zK0Z#Xy7-(YPD8OEuW%LYF{K41Q9vpB+zuXF*a)_THlCQga2=Y+RN(K}7@>W$r=o(W zSIk%J4~_Sq{;hf2W&+j26byop;|+G4TuCKA?G*mJ)jT|?!D@-t%34hLV7(SA77~{M z_JC;KfBw{ee6D|fazHd>Rc*rW!rp2rLAgsg9AE?0Lt66Hnww+smbYp7=c)24MQ9V& zcX>;uHrVB1y3lsk5rZrVs-(I8zbY{U)T1M4v*^kdQQ0s1e6Ejwq?(J!(Je~WftlKx&0VsOY=&(H6GeUy}lXMKOydd5<} zH}b&MAGB?QbtPQe7RdU*mS4qwk5$9PAaqcC1T>~Uwmk0Nm3%GOAa%>|$D__8MDyLe zKnBrI*VKqn^}jwd1BO>@6%b0c6826le>kD^C+v;h1GeS+4phDHWqrDMbG9z04kFH8 zJ#IcdT)dl9-EmFc0YCjmAO!MNO*k^%JPLPVEa1Fh)3Z3&=*B0F*vp2tPm}E<;vpXt zUwgU%U(>ZeY-}b!2PNXQ2vu|q2!<{W+<{Uqs<>%{CL>qa7Sm1TSN8Fdgvox%OVYYH zalAf>UDXCL$4N=!(Ny^rwQ`3u6Kl>+E*w9ezu$yK-epmGO!-Tw7U}L`OD8lr)`IwXHjXpt`I3Ov4$%k5-*|1qTQ z$f8Gy-H_?iQWmx7(3x!QUo=}8t0ZT(^kh1KQ7q7=XlNg;JQ|9mk&bf7n#3V#D8^)| zW@KA;X0{BU#Q8r3WXu)+r=WSX^7#KNa1k|8W-!sxw`jaDQrUBWSXcFwKaf&9(5B&_ zLcEg}X3q>k%V9Mq^`y+4AZrj`Q|D9PaKAn))V*kEB3UR7peoBvgR2er9ZW?^}a^X+yo#c#q7+TwqTx`=LqH(m09@Sq`yJ>WL|L$4JVTmZPB=A*aq+4 zDP8@@++m8w%_?0a(^xds6KO7`=kaL#idTlxGfF^9{^5ycyP|KD!4P?Aq~Z~*Df(FU zadSWL{6?FUMSm>1H-WDO{MO4(8#kr3Z$jP-9g;Q9Txos%#$JiNsncSu zCI3~8=rZC+N$E6UxQW)3FnS8Nbw>mPvvp6&A$mn>ZWny*h5{aB2+3{Snhm>K$H1r?V2 zPFG6^;38vkj>+8S?YV~FK@JYu+@b$ComNhh&zPXTF>+3)-6?EpV`io>gSZfkBej~0 zv^7F{Z5XaA0co)uYORBIU#VMD168X#&|d-XJomDr1FcANr9AuEdYWax_*yn+N_qCS z@V3f;_p%vI7xC_H5NsEN?54Aw%oE&NBibnf+f6Arm?OS6hqRUfwVIIqXZVkRO(mpH zZk-zMmP}#H73~CMst9`e_Chjm_eQzElJrBoA+n_7TQ~PARpu#q2ku(CA?{4(Ad`2N+n-1^!?kBiCV>0>khIux_lBBt{lcXb*PqaoaS$f%#MSEk$XLpk}Fj;PTNJT-t%ZF(P=xisg zXLKHn^J6)-XlIMaE8& zee&oxxq;j8zzohSVfXS9icKt4-3JNa+~3<{d&6D}PvV#H%=-%(rQ#^a9ASs3hVX9xcdvDP1>j%(w7&%Yy~a(us_Q zYOOQMmqZt@^P3(gY;cPFEV1p@?{{Rca0dE+`Wa{Bbph)TQFaG!*r+F1UDt6Vd4kMY zxb~^Ei{$yk-W+Aq7?u3R?!*b=X9ikYPNa)tlteivAJ_ZMoL~;>Yx|4MH>0*z_eYN| zS84s_RqAi&*oaSV+bA0SR?ZyV#qy`0(%KJKovY4wpZ_T&%8#%WDE<==qyK^Ee-RSR zZ44b?$0nyHrD9wqX(c0FCm6zCCZ*^BQ!-ODl9TomV+;&Zbj*t^9Lx%of9S@gC&wxQ zv}2Pq4fOz8!1O4slrkf$Dx(}Dr~y$wM3lT6*1TCPEYSa)kOVB#o7{h7Z4{vYRoQef z((h8;aKL558cv&b$|pBQ3Q};yn&}1CTJ5-K!lw|hFlgQPf7u0pRlOkH7z`l~Xd54n@BaDV|Ds?EB~@YBRcVp8Z0|b@y>}24uV999 zq{o-%_*h9fT|o6%Muc*ujq&*V$IAkG>=IR~Pw3j~@U3etwJ^4AlZIaM(W-XM{Ph~MeEU%PHKnxciUlnz8nIy-3 z@Yt_;s|sJOtLxdua)i5dsUr*IvaiF}cz4~pHX0{{D)%oC^?nvE$P&-URY6wu()l6| zRV^ZbFmKY#hbS43z; z@%hsb#km{<`ZhwF#0p$H|3(MqfKy~EfHJyN3f4bld!W?lbOrD8 zrPOnVI!U5x3vSvBkXQvxE{EC}M@b4?2x^Y{z4XWHibfj(E};Bbi0o09kNyFK1(ALg z4ZDF;>Xd#_(y^%jxbRhL(GlPs>CX!@8g_D4WRcBcp$ndMcg+Pgw>#dVA@lNxsSRM# zJPpi>i1H`tl7%BUp9p5rP9|9Ma1i2hiBQ1jEP0Wo}Z(Og?%~Neg=Ovl@$0|p!R1oHo&8dFDjoHjLkf5(+4*6AJ3g9jK#*pVlV0fAK*G#e$L00>|c`|uOj z)L7ef7S6|Onc~VHdnCY-E=jJ~Q*0a*5E7(qbEVOJd>rc(guPL+VZ}QwDODjK@)ER# zCE{)*Nkl)dH|-_)cSRJ$WteXxulO#EZwi{cKVh~IhCRP4h(3-HG^8?J7ID!ba-GSa zy)qPI-s4+23l7+vT5#WXe@Fd%09E0`bCE@liu!Y7z28B%gAPRZx_Hk&0$rg$m?M10 zjwWep@_+a>ibz;Lhs&R&Xz_UqpB!t6(i5W4JGRwz3BqZoG-IU>DQu7KAFFOXtb%3kV}{j5p5@d{X(D<9x&BEyyc)OntQ@rZpUOqD1l0PzXx zzD>OiZ46l~04D}<;Q`1_d)pAi^7 zj63Ac4*6j`ACeup5r5R=u>C4GZnPk}D@LU+^WwQRL(j***7pWe8lgi;ZVd)vQiUd` zAjAMlGe9vkz^#ki&xt=?i7o&`SnN%(0d+%5-1Wl>2p3f;ceYp|kD!*x8D)PAXVa>{ zwRHKJ3VzS&IS1}Nb-|6B8#7cOfEQJIw}<7l`icvw3GF8?t(z*v2j5OgBwPGdo&JVV z@SQ$L`r-P%JzMXNDnx>tmIQH^*^5cbsxJDJN zXBK%GWg>(bs+0>JWPD@021$D>w}Jr$9V8iYSinJHvnSIL3K89&Li#L7!X6naMCw>V zn#(xadcT0lZr;KeY$p)QU&gDPKQZKCUyTOj}3q zBe+&8kC&U5pNe;Yi?LSwLic?18TOQP$n=P+kAt)WieyX^L_+m&3UL&}yTwf{t^T1` zAy4`#~2zK3^Vsyqk?b$QaYb z=Tt13G}mLwlTJ1YkeClE3dRq+amSax!TbH;p)(>NGeR`DThsV0rKy~;-qmu|WHN>< z*i`{jfw?*6C{kDuF-7bSO;*!T0V&|+0I?J&G%woC;d6X&J$)$`&K^V4u7cdWES%+L zLnJ+7wnky_xcVu;(wG4`2={_D&6o)B;b8qf3si#~2RitAT=hItXl`0ox+qhjk=_P1 zh5bk7Qq%?KLgvP>>$`H4b%lSQekf5{^br8Xs7@wu|GVFpNmH|=Q7&pi? z(2fZ@G|T|4nK=w12UuM+IGwtN$S!>*42@@`TIG3d_S;arB$^+5X^TQETu>BNLqux^ zI1il=lBq_=rxVsTg-36BemX)QZ|Sw~O$)b}2ji=+T6JSvjU1hE5qgN{n(sWu!yAGq z8sDiC41#vGv4eiAtaD}%wv~lzps47c@G{RX+zCr`OO_Xnjs& zD_q|XZ0Gw)@`|&xGGaGh(GG6OyMWrXI{E`If;0WqeuJ)K1s1ShwC74D>t1juwDVIF zw~}x>=)Hqw`i$XCDz(yU_-P(htWbuL#_}sNA^H`WMfGJ)`dBHewTwa5t)tD|>!pgR zv4PA2{fHZBkYQrpP<-S0LZul;iSjc`l~@6{Ov7lli3*+$82EnptMV!j(V@eUy?;U^ zDNQgJ?yO;4%`yETJJLkZ2vBHL7+HdpisEU~TK~vPaD6NQ5(V44us4Q`jF?Q?)i?k{ z($PZ6;MPRx4nPi(&CnBcVD46%2F5Yc`2>Dr*Fts)nC-BF#gy~eXS9b0TW}clnrO@m z>Z6Q_YLy9=nu?SSdc+r2HAqUSCX-l47NTy!x#NxgapU<4{ZzmWRJeRr!gDL~rD1s! zCUhw?i7rwJlvvuC+~Vi^tGza6g+|_jX1j5}-fF$Biw ziGWxpRV&jM6u~=bpP8zXT^+URB-BLan5T)%?wA)r`kzGJ0xC5d*_-;aE z0c8rsvqpkcX<}U}lX}dMYD5X;ONWCPG~S$}zZaG?OokCdQcE^dr)6LBiVCg0UlZe- zKXw@}SS*Tw@btT-%ZS@E`zzt-tB0M+(#t<~DK7>hn4oe@e60+;xb?yGtKsdIqt}SS z(%o?*i8*Zopus3ev1G=9Vw!*<)N1{pYRy2A^~NAjgCSyAYQT<&e(T?1@j%G}82QLS z`IjKD+}0o@oqi&n2nlH*cg>F#_X81fl6Jtu4#C?+Ie7x$!KBsF1l$!T-hwYieY_KcmvL$?*ofU^5$(3d33(dFTK8v@;z zAoOn0_b+?>IRVRk=KiMDO}ZAXQH~~EzBgkaAvGw!+b%x}l%_5*IPBSYp>%)I<_DkU za`dl2y@vnE?;1`!bbx909K;$u?ytDpIngvvR7euQQ*cuwZt&?hx)DvyLm;v^;!bY_#Kzz+xw4P<(z9_pyY@d;TP4JM6GQU7;pM(@CZfmQu4+On_~aaeZMV3H+?V= zq1M~oLkYcdr`^Iu*lf3?~qOK6h!a4kLp`h8!f94{vS-LiQAK%_M`XJ=8*XKB+$mK(0Q=}u3Ixy`IOm1$?u4u>j3k{x>hzq>~BczO{{ zMr#er=h2OG5dV)b#oEMAcY=}jA66x|y?!$H%Rw&{S`H*nw8f9g(yq#L#If>e`O3>g z9Tk~Uqa`I8l|R-D70C*94sqx-m`y3l8-n!~1rjROk;;`;Z#pX0$vP@m24m%4bm~nx zp6X4Op6W|C76gZXVk^%-byS{Q87kJr>dW&ItE_T$RGK6?Xubt9Z*^WDGVU9N7(->Z zVER8qDNa??_Zu-J=xAO@94=JsH$zHgTf+BqX_c8aYhnQ@mgBNXgP`NGG8Bj!-puON zn%rv+zY9MXN$zUr%ZB#Qi`{P}W*9`r;xxY%&<1#xn*?=S zZjak?v=)vWJ}=*Cgu=I~;Af^8=&iYyxn1<~xOSKk334|0ze~9+$>SSyW~>%ptshYr z2ciU{08euV6UPRYe_G`kd=3e$zo;1;^PJV(qvZ)}s+btPk?*@KPsK}fZq$YO0c_p2 zS7^=iK(?FR%~!0W7nb5!CEPjPQW25~pbb)`f4#iTeS}N|gn!#mtS(FL$QL0#XjgdA z@fLYm3BXEj?JGEzk>Qhgolrxf(@J9d%r4W>v&N)N35Q@j$CQy4{O-{(eS^R4x5#?L zEn?Eq;nh)igTG(2$cp3wguGsF4B{1JrugGvHAq#x--lt4r5`8hei;M$HS}zr1&Y}? zD3tkT-yhaAqMr+VTf`NZDI*nHP=-rYp*73Ip$`&Yt2QE@zYzat16VnHIP3eb@tgRE z|Fr>hw{bFqy)`jG*4NY9)zdr6K(!*es#U=mO#m}+CYt`o6u?wZF3kL?k{*|(1%%DW zOvz9KCZ(bn=*Og|qd7u3W~FAN=J?K#&-cnar%$|Yp|cpH5>5u#rr)x;-fpQ-g?%}G zp@M?i;0pL6hqkc!tBI^*?oN$E%#DsB!4#tQ4g>vPWCD&fGkq%v5D+)T|4t^j8kuaV zU)gLh!hQCX)X_#HKC)L?1AjDt;MSh(;3tuZ#u-%T59Y-|gp!^|mmr2K_|)+G{Pp>e zAK*Zisozg9s(x-TOC*u9;69@iR7n)Y@n%4A64Fdyo8rzT?>q1LP*SSnTEa4@9PsTK zc@V$9K)!@ofL@Q;&1O488}XQIb$xc5ZFO~t{B*@4rbiu{mE;ZOI)79$8RROGT53p5xV7r4& zq*@frY{=4dCT)g0vaWnxmLlX&$x-T8@?Cn_qCp6r49R{q$3I;cR1gjrym2_QYaiSV z_`)nK@~O4t3B7I1?LkY&THqb@*UDf@v!W)})k#>lfh;DZXfZOM^;rj+svO{~2aaMd zk`a!glOafXQ>DPQxsH0+PC=51rp|VZz+%vDQn1=IFko!riZ>wP3JVtto(Q>KlF4*Y z7|V~XNK0>27WNq*6gXCOOT#vuUmYqY#TR8RNU+JK9sE%^)lgSm1QF|<-X=FZ09uVv zXa{1PyJ(N(G{KbY4ft+n2@G~mUG0_1sY&`82r+rAx}=i}vl?EC(5)W@&undSj5lf7 zg1EksY{StCPiNPYxen^C?uzxvFa_=QLz&;;l|wCJ+~MbNEB<}a-A`DzS4I1oZA!(O zz9ZVmTWal_cTs`EYb`=W8;hr2u1p9Znf8NUQ}S)|>GxH5NX_f3Zv)yWLT(5^k14G8H-jU>Mp;i+M>EGr;ZNHkIYWhUYFgN}EtDgvJ!sn;-#)NMx54cAl z<*;pZv;zuT?D|82kS!{n8$`xr6K}h>^IZ8X3>~CDXQ4q5Gu5QTHmF*}r?VD?dchxd z--YAnYnq1w|qKbA2*d8 zy2cG773@u%3k;MhGwqcV-#23cU$&>mmQ9}P&y}T2B2kG-$sk*>W1rk4$KY-NoMOF) z5*e<@GeM37420DVL>kLFbYoOMTOy@Vo{OHr;A21Rc${WX>=|M&W3b-|?`D4Gj__?K zGUFu6IrHR=i(ngzo4`u}3hj~$wB|2<=jJ|0XVJT-LqG(1ZyFL6nHiRBO~pho+GqWpLy`A}O3N za#FanYTQl7Ws$U@%-P5P&-VogCw zjTf)NF01T%13q!bNVc3X5TmXD%jys&ZxW4k*eP4inX=Uut)hnjYrMx4fuaxl?#wUU zh)&r4gEs%nE+EhvC~A!;gTc&a219sp05&Hra8KP*2>e(N&mN$iWGAE01lfC8GAxB; zq+Zh+`+kPA{MOF@lNcve{xb2g_B*;wEPCD>C!ySrEBY3MRXhCBH{1{Ex_*Phqi=-1 zDmbWggNR#M#6a^AebYVvb;NpY1woB)@43)V45^$s8UzNs4kP%lQK|c<-%M3NKY}u< zS@y*f`iO(|nKt7aazKY!H8AL>Ya+o#3m)imF)_R#vZyZ#G&2lGBp1Sf5jW21>(w0X z)y4OpT>g!YP|j4waM|;jSp3-Ll-okTRg|jTMS|{CLsqm)N~cq)ZpBFMc~dA&6P1rZ z&gCL5cy-Bas1W?5feh?ezxbT593Vx(oG%ux!)!3CD-7x-Ll=z6vN1q5DUvoe`0ZB| zo;Opc3IZ|B*KDg;I~&!3MYFjU$EX&=0W-{9?HnrFzc01iH|I~xf}i&5EVg)u%c&ab z(^$};6d18V&LrBkV731io*jwBB39KYdc>woGHeGOmWkm*gKIB1NPKE`vO{qJSPvo* zxTou*cy(Ne^TaH2d~|&o;ElOl91frzyiSp5yNId+!eFPJJkIEdQe^Y1TJeaGLUW?q7;7F zn34WQ@SOrDq=3{rrjyEu7p-~285n!-i&Q7s>Z+_jO^HOr0-BW3-+MF5yYJ?Lro+W1 zuhEzCVe4y56xcKKgrwRr<8H`y)y#K{LiTv~^*QdAXqlKr*=AKW`NtDiR*ij=f@d6$ zgi}tI?S_-Y%>K>?(y`5nQ3LA?LczzR=E>MF;xMik%It~dS{2(tXjl&c?SCMRzYr;P zhH}=C+C@emp79U)A|I6=hnH#(HbN9J`}Fb#c{M~HdWFQj5stv`cFd4)e4(gXg_j;? z6C_{nHo-oAXSZPjNbmD!>gT^bTh*hAGy#a&?^NaF>s+YTYXTgY>?)CRf>T`s+yy<{ z7Lj8sJRLrhSGce_!R9=_JOw&hLT0+72J}vYce8EBg(lgTD^_2qo}z&D_QXzntm;<# zn$sgeJ)~adl1_@F8E`Gp1aGl?>@5z1_OoF#I#E1)5fn5AOW?*$8#-t^F@NE#?v$4b zJn86l!9)>AEiBPAX?XmEa*7#hQqjVzZYK%x9Wm-I`pTBc0$G?eZIjTx;pm{L+fLULo98MR>sdhS}*2Duk~WstPmix)a7(>>DXK zO7=i9X91kqCd@IU@-vHOlB50CV{g?9ajx7E`_1Qzwbd(sjgC||m>p;A{?e%}72?buJ8!aJztsEsfW#b>u3Kx#Q21HACic(T3m zk;PYA)~N;EsyZN|(FU%(6WV{T_j>UO@oGdO4t$`dc20U9VkhO>>G;U*1%j13zwai> zfJR1-xC|}M6TcarA~^0RE{jU6=vyDkgu3bWUeb}KGKm;r(v41EzxHv?PgdU)Z{jFc zh-Ksm*j|U;bMELj9H<*yOOf?~zfUb5y)1d${CPTM*hs0Y!8H=K3hgvvYaz8sG*@6KXTMxJeZ?R}SVwVzKE2(c{(&>!| z7P1%rV*h;{LmBLfWIIn0U<)}F}uvhe$zdTvG zDveMz24&DaP0*kbHN%c@dcPeg9HC%d{FQX36Ct1aRGPYz_C@}hCQ3!$J0W|3h_vG-8)JMpl z@}ET6!LU1k`Yx#8Q-&4eiggAAKG6;!HV#|;teg9T2>9x^YD1;N^#?i(H3xMk2nI3C zZ_WGOFH3!zJ^Wu)d`h3B%r_K&Ov9H>swiyv(4?^KUsn8w2#}U9c`p7WBQfYwq-P_;R<0lDvVnBrSt zK5H#IzCHq!-cZf9CqH_vg6RF2c$1G<-;FY%=s1}9=#M7ETh^eMW=;78dB%r5( zU#;g7fxPzqhEy>LK!T?dQ6dS={+sQS_9qWSBlb-GC6`FO|NeFo&eS@Lq{OQ`c(sgF zQsoyO!sx*>opF_;P80P;VMcsyp)oLku&l(m7b~i?V^9o9bBrBHL8F3xuAA0LtDB6Y zc$87yz^^u(Gl%2GJ&sqJ=YCGXZO!=D)q0}cFK*7LI;L5k*R6BP2`Q5QK_h_Uo(oAZNL~hZXd88M`I)hX!H(9kQYJ!W{{CAh zj1|o@63y==k~tXp&21_MhO@0KI&TJ8Jj~pspyaakmrWv>G%u09*}UKaKj|yL z4UB&67P*uCR&fDfV> zW{1a%uZu73q(KPEHXyqNA@bB%?5hhBmY6coRu|!0AGr5)ymxQq9MN4CTq`Uad#B2hm2fgxA zU%@b%23RDdQmA0f@oVNWRMYg`PT<)xzm6X|OaEu3AL*!bbJP1Hsc`V+UPd^%b1*UO zOHCrL-fe&aFnWp!qzA8tOZBnv{CaC4ngQF!q2ct})xv$45j~IB_v{tsZuSYykoGo( zPFiHb#+GT}ol)eJd1iYu8F>d_PNXM^>&e@rdpf|XMg0>*waIGxUI}Q&=tR4V%L2(= zF++%FYFm$LeCNP|lt%=XJ<;?x|Qkt2u2c ztB)$PKdri|-oDhUIrmNVYj5+-a#PBVfum+orQi~`?yvB;Y3gvH*pKfA9^Nr{^#Zz$ z4v+Kpsb_1&oWdjr@qcOmpGM9)D9Zoa;|oZabR&y&E{I4sNOyNhExjxt4N^*{)GjH~ z2uOFgB8bG&A|28#7w`ApaemBPzxR3OnR)(rKCd}5=b3ZP%z2*^dWAoVIp2T2^3@oINbFEwAf7UZ|FeCovQ#&e!6(jug8V^J*Dh!s73JX2eQ+s?I7knH zg&3x747jqwr^&6~yCvP{+~_Ub_V;ecfzARrD+UkD7kE^IcGlWI1%`A7&)85H zT-(BBVMBsoOyA&@s`2J>BucHPSt~^D3ON@0gAT)60bXGS>p9&wd(D^pKZac?sBtMt zD(3XQ2B(E>y$#+{67wxVb_%r~Rlwe)VQ<&974cCg)YytxXyX@L(l6ET?Ksopf{R*C zTywiwwCL(d;-|p^D7=+E*W2-jp@PJWk+71&nD){X4Jt} z_|_6U=2qW~IpJe;E`pW1wh_;D*GDh7IJypbu3|Z-YXTo~W*r(NHia%Gk0_=;JQ&cW z_HX&*?BIJ*NJa11x#NWpUv8g{>WQ4j97F>3PpPP1>(~HRxlZV$i5{r9yr{I!F%hm! zZ4({yXRix79fJu_z0Me=(HCrkx?>(F^F|$6nx`BoxDO(o@`rX55$sKKWOY(ZO zW7Ew+1A}C*65TP3-$#(zGxsdG`*KqXz-o}ORZZTAL$wF$@UTQXlJaZ?RNMPan|tEv zms?_ANVwzAPY?T4li3R7SZ@+YnKra!E)ldU*9cp*mJjDVqMUEk3*RJ~?p`w;TbB*V zlCaTkOlx4kSd7Wdis)VuDBwRz>THBdda@?xNUdcX!H{tRR`Yj=#Xn<*@aydAL0Q6c zDv5&GQ*qM$!Fw9;UaX#UW@ge-blcU0akt_%|HPFg$wEU)5zhq&6cQL$@0{nkJ$J=f zBr2}8iSE$nhx&VX%O`KSNO+;r{AF0LiKtI*6wI(4=lr{`i*o9(fv(xR83PYVA z!5vV*ABA6hC2DLy)EY8;-K4pQ|KL&??!|o5Qmh?~j0pFtI5HlPE4>ss8Kk84A(C&)&^!7@*K73J3Amk^XAh-wDQJ z80&Ih-5)dm^1O5a3eVWg_Ng$qVJ*0rP7kj`C7^nbe72wLtYHn3%`SRll?@RO82lhp z=eeN>(f7}5A;*5(sJ_g5WMwLC>uq4~%eie$x%B)A1xI{~EDzU+J-b=fqGfU*g042xn#g)&n%5%5g z_*JO*(+blol&2fj)9GXNnw9C)?*q!ZRE8JaD<)22QtCT zw637LN@rxu;GOr^Tj>lGukvcOHQoLS`Fvw-2yRt3J&k@u{YWcImxvx`Bv2T~Tg()* z=C~ktvXaTUGr>qu6_Ysq4M{hUc)cDnD zZB1QnPx|2wTVfYw9bP}4(u!MV>-S29;2taM*)O4^g!5uj++Z4g?2$#LdN~sal{;Jh zqgaG+I9Vf({9IwW#%b%Oy$Y3K2`L|4IO&8%S4Z4hUy+rtlFSzC=W?xd85?}Y#nr4* z^gIa!e$6(jeCS0GHmv$3nm`aA1FI1%rn$qaHpu!aNcSjP*WPJna-APG7!g z+fO3i5vOP7q?AurumWIly^BeND9eJL8RyyPJt$H}}QydveeSt37>OR0%P zc=s_wL*kExR|iupr6I&V=zcMejU**5CUe(lKFVtjCF&uwvjKX(y9^b%mg)5x@hP*cH}n$S2o}h=k4!8X>L>2k$mVQZ;}!HISS=X@C1l8UOz<@ zPVZ9Ogx6jwEr$063LRvZgYE4M8sPQqEyKZb!R-yPnz0_&$i+$x?JFr#9H>HiXTbHu z+0$2nXE{_I$3!7P)+`n|8@;K9Ld;F=wnBJrz>hyBo4$*twp+&#ZdcML>3dKo8)f%m zB$A`MT{s<1Gj~NEdB3Zt#On}kRc35Ek5SY_NZ_Z1yywo#mq5TfhigGS%a3%yTG zI#61;>Kk=e!%g*CN8&qoNv#hs+=KDU<%7zXN)a!=Ep=Ng!F!_PN(O^~J{? zK&Y>Nsk**Np7;x@#EO?2aJq*H_?60`jnNoJh=Yq>3SbjwRp-{iPylEIHm6(AhfqUh zXSka+XB0{67N38$46Lw@=jp)5u}yD#lHnOXCr|0e2kU-~2L_O7d@qJ##R&_iiBYO= z2y`+ZV|n~?b}>% zUh4PrcbkJrd{arr?4 zEzikQ_PGsAs!sY!gI`+nN$m&spAqZ@&(eWl4euD{fo2nP+(cR3Q2RKs5vL(xtW2<# zwO&TQ-Jn%cm3piL1cXCVJ_n92X|HJsJ`c0Pkh`G=RLpn6% zQ^X_O;}dtDXLbfyH0I9ccERBlzJhGNiJRrk+m@FO;u)v*o%d^Qk&wL=)t$L6 zmlJsl*fyY}LbX#RhnKUMTaUB zsD@ez$M*6db0LnDgk6!3=6~$z)E0T1darG|GY*?FD>tmP;|p7<9}H&}uU}bAO`gIH zD93}zR*_7-WwOmwmstU(D88Z{P2H)GwG!8v)v%H?@rCY*8M@`DGz1jyO|^E4_OSx3 z?;`;tvc$N+c*a1YsIneI5$|!4o71;Fq=?Ga?68v%)&5Uo@)dmkwd7&kBH2E$!l0%mrGF6*|LryM7ttSJ1(y>;6j0QnZR_{0B zsi=adE#`*JW(oTw#j@bgiB{J})u8`u=Cj#;qWZ1%H@$n<{@xfgvv)SLa&h)};qAfY zZEeM_%K4g`Wr$mzldV{LjFV$jnN#B@PV5;@fd&R97E~uv6`f64b##zb zcWhMcl`HmPlw#0a%k_C;UQhMcycO*<%=Eh*6$@2W#`k)b#KB`Sq<4+_vj+eG!@rnz zr~2n|fVeovB!gXMLB!$hXL{5?73AVZE$2mYWkf-22{1%qf>>~nwSj@ZCW+YaV3AC? zJclf2@a^!7qXsX#V_T{XjoeEbDcROt+S4(4+yDQ$tfkevZ%ax(KP0lQ#Rq; zITccf>23OcTZr}nYL`k`V#qGuY-{j*gxPn1_E_#!+G>$8iFhCR9Ib#!Z~d;<4n7xD zsk`y}1#M&q&k*UDJr%(y@bQ^(PzUjAnIM~j?ak)8c_BWE1CGZW8e&qPd6d=1QL!-} zZ|Z7d_K9;z8EB_Xha{*g50bgaUZhFU1q02Zl(|Ltdmkj`^xoGxJ{ED4VuSjhHcQW+{;(oK|5 ziK6YoW=`bw+6r;uE1b6W`T0{FSv}vlG(NuC(q*!rsaK%#e!b zn<;eHc+FSOz|fj^T?>j9)JjqwCBX*rGWm;3_&#tZ4bT!W4j#KP( z8*HZu_;@4wgFwNer?lB4hOrRD(?+3X6@Z6l_r^@MbL!1y);_K1wvb7Q*{5|!{OOvu zB>E_IK>I5{)_8_XnfXi8V%xJb%d0szqe%YOy&fLd~SIwgDo zBR~)P7H{E2nEzc8&`6)Sn|M z?uP+4F=LK{AExAY&B`_QhzdHL6Tpr1zEqf$(WPvW_;ZLBsIbD4$6HLfswW^Kkr%s% zh8)fTb1PJ;4kdc3hJ<1p4w;MF%*EvP+`T&w)@^4>XE>qksj9E5B$D$)CM|z$+s6K4 zu5cM2?41wuIPZtivG4qHw4*tqK+DB2k@j5>|HR_m?E<3_Utf|ouG&;$Qpl=Fjfq?^ z5mROnYgROInh2jScy2-Mm$r$EL*)B7VlLbMrNJ-JbMp8ZjAJ~pZzp)-#I!B#-QBVb z15O*8vIXC3aW>cG?YP}JOOKtYwxz3%?9h*Vzr@uy4VwKY}`Dd zduYHqNj#wIO+jyq1rz&E+2G& z$OF3oSk_x^n1yhn)fU-Y%@JDYXY z^g%mxIb<4|{?NC#@14;?93d>>hGv!EpiaC+I&=G6*WjdiUp|~cp@72QS(?ZK2eHcc zt$2#l9`SUSj&}hhYo#NP)yPKwu#~^RP%SUnS|gWF-91_}@0~m~^n-k4GU47c4rYoc ziROJJF~hY3Qz}z0fHb+Wyg^ak9O#VLv#Y7(%C^8*ru~UbXl7I6R`(ClX6!m71cLDwX-dTF*L%^Ls0d>K@-~MNV>HS0Z_gwz|^q)h&;s3Jr z@;>bTtlJ-$;+;;^aQ@ej@rqWYze)rT?U*`@H+%@DJ}u^Z&!U3y$ha zsA#{B;oRN1clohe8vx(}$nvS$SV1%lto3vR1y$Xw)RfgF-L;=sN(uV7YB;!n9iJDrZ~L) literal 0 HcmV?d00001 diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.xci b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.xci new file mode 100644 index 00000000..047101dc --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.xci @@ -0,0 +1,67 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_membrane_stick_0_0 + + + + + keyboard_clk_peripheral + 28000000 + 0 + 0 + 0.0 + 0 + ACTIVE_HIGH + keyboard_membrane_stick_0_0 + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 1 + TRUE + . + + ../../ipshared + 2021.2 + OOC_HIERARCHICAL + + + + + + + + + + + + + + + + + + + + diff --git a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.xml similarity index 65% rename from srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0.xml rename to srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.xml index 3398bbfc..ac36cb83 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/audio_audio_filter_control_0_0.xml +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0.xml @@ -2,11 +2,159 @@ xilinx.com customized_ip - audio_audio_filter_control_0_0 + keyboard_membrane_stick_0_0 1.0 - clk + i_joy + + + + + + + left + + + i_joy_left + + + + + right + + + i_joy_right + + + + + + i_joy_type + + + + + + + left + + + i_joy_left_type + + + + + right + + + i_joy_right_type + + + + + + i + + + + + + + joy_left + + + i_joy_left + + + + + joy_right + + + i_joy_right + + + + + joy_left_type + + + i_joy_left_type + + + + + joy_right_type + + + i_joy_right_type + + + + + + i_type + + + + + + + joy_left + + + i_joy_left_type + + + + + joy_right + + + i_joy_right_type + + + + + + i_reset + + + + + + + RST + + + i_reset + + + + + + POLARITY + ACTIVE_HIGH + + + none + + + + + INSERT_VIP + 0 + + + simulation.rtl + + + + + + + i_CLK @@ -16,18 +164,18 @@ CLK - clk + i_CLK ASSOCIATED_RESET - reset + i_reset FREQ_HZ - 12280700 + 28000000 none @@ -36,7 +184,7 @@ FREQ_TOLERANCE_HZ - 0 + 0 none @@ -45,7 +193,7 @@ PHASE - 0.0 + 0.0 none @@ -54,7 +202,7 @@ CLK_DOMAIN - audio_clk_audio + keyboard_clk_peripheral none @@ -63,7 +211,7 @@ ASSOCIATED_BUSIF - + none @@ -72,7 +220,7 @@ ASSOCIATED_PORT - + none @@ -81,38 +229,7 @@ INSERT_VIP - 0 - - - simulation.rtl - - - - - - - reset - - - - - - - RST - - - reset - - - - - - POLARITY - ACTIVE_HIGH - - - INSERT_VIP - 0 + 0 simulation.rtl @@ -128,11 +245,11 @@ xilinx_anylanguagesynthesis Synthesis :vivado.xilinx.com:synthesis - audio_filter_control + membrane_stick outputProductCRC - 9:ce11bad3 + 9:418c1898 @@ -143,7 +260,7 @@ outputProductCRC - 9:ce11bad3 + 9:418c1898 @@ -152,18 +269,18 @@ Verilog Synthesis Wrapper verilogSource:vivado.xilinx.com:synthesis.wrapper verilog - audio_audio_filter_control_0_0 + keyboard_membrane_stick_0_0 xilinx_verilogsynthesiswrapper_view_fileset GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 17:46:52 UTC 2021 outputProductCRC - 9:ce11bad3 + 9:418c1898 @@ -171,11 +288,11 @@ xilinx_anylanguagebehavioralsimulation Simulation :vivado.xilinx.com:simulation - audio_filter_control + membrane_stick outputProductCRC - 9:4918e35d + 9:39ecb3b4 @@ -184,18 +301,18 @@ Verilog Simulation Wrapper verilogSource:vivado.xilinx.com:simulation.wrapper verilog - audio_audio_filter_control_0_0 + keyboard_membrane_stick_0_0 xilinx_verilogsimulationwrapper_view_fileset GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 17:46:52 UTC 2021 outputProductCRC - 9:4918e35d + 9:39ecb3b4 @@ -209,27 +326,23 @@ GENtimestamp - Tue Dec 21 12:21:01 UTC 2021 + Tue Dec 28 17:48:40 UTC 2021 outputProductCRC - 9:ce11bad3 + 9:418c1898 - cx + i_CLK - out - - 39 - 0 - + in - std_logic_vector + std_logic xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation @@ -237,16 +350,12 @@ - cx0 + i_CLK_EN - out - - 7 - 0 - + in - std_logic_vector + std_logic xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation @@ -254,16 +363,12 @@ - cx1 + i_reset - out - - 7 - 0 - + in - std_logic_vector + std_logic xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation @@ -271,16 +376,12 @@ - cx2 + i_joy_en_n - out - - 7 - 0 - + in - std_logic_vector + std_logic xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation @@ -288,11 +389,11 @@ - cy0 + i_joy_left - out + in - 23 + 10 0 @@ -302,14 +403,17 @@ xilinx_anylanguagebehavioralsimulation + + 0 + - cy1 + i_joy_left_type - out + in - 23 + 2 0 @@ -319,14 +423,17 @@ xilinx_anylanguagebehavioralsimulation + + 0 + - cy2 + i_joy_right - out + in - 23 + 10 0 @@ -336,41 +443,42 @@ xilinx_anylanguagebehavioralsimulation + + 0 + - iir_ce + i_joy_right_type - out - - - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - iir_sample_ce - - out + in + + 2 + 0 + - std_logic + std_logic_vector xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation + + 0 + - dc_ce + i_membrane_row - out + in + + 2 + 0 + - std_logic + std_logic_vector xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation @@ -378,12 +486,16 @@ - dc_sample_rate + o_membrane_col out + + 6 + 0 + - std_logic + std_logic_vector xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation @@ -391,25 +503,16 @@ - dc_mute + joy_keymap_addr out + + 5 + 0 + - std_logic - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation - - - - - - clk - - in - - - std_logic + std_logic_vector xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation @@ -417,12 +520,16 @@ - reset + joy_keymap_do in + + 5 + 0 + - std_logic + std_logic_vector xilinx_anylanguagesynthesis xilinx_anylanguagebehavioralsimulation @@ -430,24 +537,12 @@ - - - CLK_RATE - Clk Rate - 12280700 - - - flt_rate - Flt Rate - 7056000 - - xilinx_verilogsynthesiswrapper_view_fileset - synth/audio_audio_filter_control_0_0.v + synth/keyboard_membrane_stick_0_0.v verilogSource xil_defaultlib @@ -455,7 +550,7 @@ xilinx_verilogsimulationwrapper_view_fileset - sim/audio_audio_filter_control_0_0.v + sim/keyboard_membrane_stick_0_0.v verilogSource xil_defaultlib @@ -463,33 +558,33 @@ xilinx_externalfiles_view_fileset - audio_audio_filter_control_0_0.dcp + keyboard_membrane_stick_0_0.dcp dcp USED_IN_implementation USED_IN_synthesis xil_defaultlib - audio_audio_filter_control_0_0_stub.v + keyboard_membrane_stick_0_0_stub.v verilogSource USED_IN_synth_blackbox_stub xil_defaultlib - audio_audio_filter_control_0_0_stub.vhdl + keyboard_membrane_stick_0_0_stub.vhdl vhdlSource USED_IN_synth_blackbox_stub xil_defaultlib - audio_audio_filter_control_0_0_sim_netlist.v + keyboard_membrane_stick_0_0_sim_netlist.v verilogSource USED_IN_simulation USED_IN_single_language xil_defaultlib - audio_audio_filter_control_0_0_sim_netlist.vhdl + keyboard_membrane_stick_0_0_sim_netlist.vhdl vhdlSource USED_IN_simulation USED_IN_single_language @@ -497,37 +592,27 @@ - xilinx.com:module_ref:audio_filter_control:1.0 + xilinx.com:module_ref:membrane_stick:1.0 - - CLK_RATE - Clk Rate - 12280700 - - - flt_rate - Flt Rate - 7056000 - Component_Name - audio_audio_filter_control_0_0 + keyboard_membrane_stick_0_0 - audio_filter_control_v1_0 + membrane_stick_v1_0 module_ref 1 - - - - - - - - + + + + + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.v new file mode 100644 index 00000000..8b2f13a1 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.v @@ -0,0 +1,729 @@ +// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 +// Date : Tue Dec 28 17:48:40 2021 +// Host : AW13R3 running 64-bit major release (build 9200) +// Command : write_verilog -force -mode funcsim +// v:/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.v +// Design : keyboard_membrane_stick_0_0 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7a100tcsg324-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CHECK_LICENSE_TYPE = "keyboard_membrane_stick_0_0,membrane_stick,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) +(* X_CORE_INFO = "membrane_stick,Vivado 2021.2" *) +(* NotValidForBitStream *) +module keyboard_membrane_stick_0_0 + (i_CLK, + i_CLK_EN, + i_reset, + i_joy_en_n, + i_joy_left, + i_joy_left_type, + i_joy_right, + i_joy_right_type, + i_membrane_row, + o_membrane_col, + joy_keymap_addr, + joy_keymap_do); + (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 i_CLK CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_CLK, ASSOCIATED_RESET i_reset, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN keyboard_clk_peripheral, INSERT_VIP 0" *) input i_CLK; + input i_CLK_EN; + (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 i_reset RST" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) input i_reset; + input i_joy_en_n; + (* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy left, specnext.com:specnext:joystick:1.0 i joy_left" *) input [10:0]i_joy_left; + (* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type left, specnext.com:specnext:joystick:1.0 i joy_left_type, specnext.com:specnext:joystick:1.0 i_type joy_left" *) input [2:0]i_joy_left_type; + (* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy right, specnext.com:specnext:joystick:1.0 i joy_right" *) input [10:0]i_joy_right; + (* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type right, specnext.com:specnext:joystick:1.0 i joy_right_type, specnext.com:specnext:joystick:1.0 i_type joy_right" *) input [2:0]i_joy_right_type; + input [2:0]i_membrane_row; + output [6:0]o_membrane_col; + output [5:0]joy_keymap_addr; + input [5:0]joy_keymap_do; + + wire i_CLK; + wire i_CLK_EN; + wire i_joy_en_n; + wire [10:0]i_joy_left; + wire [2:0]i_joy_left_type; + wire [10:0]i_joy_right; + wire [2:0]i_joy_right_type; + wire [2:0]i_membrane_row; + wire i_reset; + wire [5:0]joy_keymap_addr; + wire [5:0]joy_keymap_do; + wire [6:0]o_membrane_col; + + keyboard_membrane_stick_0_0_membrane_stick inst + (.i_CLK(i_CLK), + .i_CLK_EN(i_CLK_EN), + .i_joy_en_n(i_joy_en_n), + .i_joy_left(i_joy_left), + .i_joy_left_type(i_joy_left_type), + .i_joy_right(i_joy_right), + .i_joy_right_type(i_joy_right_type), + .i_membrane_row(i_membrane_row), + .i_reset(i_reset), + .joy_keymap_addr(joy_keymap_addr), + .joy_keymap_do(joy_keymap_do), + .o_membrane_col(o_membrane_col)); +endmodule + +(* ORIG_REF_NAME = "membrane_stick" *) +module keyboard_membrane_stick_0_0_membrane_stick + (o_membrane_col, + joy_keymap_addr, + joy_keymap_do, + i_CLK, + i_CLK_EN, + i_reset, + i_joy_left_type, + i_joy_right_type, + i_membrane_row, + i_joy_right, + i_joy_left, + i_joy_en_n); + output [6:0]o_membrane_col; + output [5:0]joy_keymap_addr; + input [5:0]joy_keymap_do; + input i_CLK; + input i_CLK_EN; + input i_reset; + input [2:0]i_joy_left_type; + input [2:0]i_joy_right_type; + input [2:0]i_membrane_row; + input [10:0]i_joy_right; + input [10:0]i_joy_left; + input i_joy_en_n; + + wire \bit_count[1]_i_1_n_0 ; + wire \bit_count[2]_i_2_n_0 ; + wire [2:1]bit_count_max; + wire \bit_count_max[1]_i_1_n_0 ; + wire \bit_count_max[2]_i_1_n_0 ; + wire [3:0]bit_count_reg; + wire eqOp; + wire i_CLK; + wire i_CLK_EN; + wire i_joy_en_n; + wire [10:0]i_joy_left; + wire [2:0]i_joy_left_type; + wire [10:0]i_joy_right; + wire [2:0]i_joy_right_type; + wire [2:0]i_membrane_row; + wire i_reset; + wire [3:3]joy_bit_count_start__3; + wire [5:0]joy_keymap_addr; + wire [5:0]joy_keymap_do; + wire joy_sel_i_1_n_0; + wire [10:10]joy_state; + wire [2:0]joy_type__2; + wire membrane_col0; + wire \membrane_col[0]_i_1_n_0 ; + wire \membrane_col[1]_i_1_n_0 ; + wire \membrane_col[2]_i_1_n_0 ; + wire \membrane_col[3]_i_1_n_0 ; + wire \membrane_col[4]_i_1_n_0 ; + wire \membrane_col[5]_i_1_n_0 ; + wire \membrane_col[6]_i_10_n_0 ; + wire \membrane_col[6]_i_11_n_0 ; + wire \membrane_col[6]_i_12_n_0 ; + wire \membrane_col[6]_i_2_n_0 ; + wire \membrane_col[6]_i_3_n_0 ; + wire \membrane_col[6]_i_7_n_0 ; + wire \membrane_col[6]_i_8_n_0 ; + wire \membrane_col[6]_i_9_n_0 ; + wire \membrane_col_reg[6]_i_4_n_0 ; + wire \membrane_col_reg[6]_i_5_n_0 ; + wire \membrane_col_reg[6]_i_6_n_0 ; + wire [6:0]o_membrane_col; + wire [3:0]p_0_in; + wire [4:0]p_0_in__0; + wire [3:3]plusOp; + wire [4:4]plusOp__0; + wire \sram_addr[2]_i_2_n_0 ; + wire \sram_addr[3]_i_2_n_0 ; + wire \sram_addr[4]_i_5_n_0 ; + wire state; + wire state_i_1_n_0; + + LUT5 #( + .INIT(32'h060006FF)) + \bit_count[0]_i_1 + (.I0(joy_type__2[2]), + .I1(joy_type__2[0]), + .I2(joy_type__2[1]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(bit_count_reg[0]), + .O(p_0_in[0])); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT3 #( + .INIT(8'h06)) + \bit_count[1]_i_1 + (.I0(bit_count_reg[1]), + .I1(bit_count_reg[0]), + .I2(\sram_addr[4]_i_5_n_0 ), + .O(\bit_count[1]_i_1_n_0 )); + LUT6 #( + .INIT(64'h060006FF06FF0600)) + \bit_count[2]_i_1 + (.I0(joy_type__2[2]), + .I1(joy_type__2[0]), + .I2(joy_type__2[1]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(\bit_count[2]_i_2_n_0 ), + .I5(bit_count_reg[2]), + .O(p_0_in[2])); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT2 #( + .INIT(4'h8)) + \bit_count[2]_i_2 + (.I0(bit_count_reg[1]), + .I1(bit_count_reg[0]), + .O(\bit_count[2]_i_2_n_0 )); + LUT4 #( + .INIT(16'h7F80)) + \bit_count[3]_i_2 + (.I0(bit_count_reg[1]), + .I1(bit_count_reg[0]), + .I2(bit_count_reg[2]), + .I3(bit_count_reg[3]), + .O(plusOp)); + LUT6 #( + .INIT(64'h0CC00A0A0CC0A0A0)) + \bit_count[3]_i_3 + (.I0(i_joy_left_type[2]), + .I1(i_joy_right_type[2]), + .I2(joy_type__2[1]), + .I3(i_joy_right_type[0]), + .I4(eqOp), + .I5(i_joy_left_type[0]), + .O(joy_bit_count_start__3)); + LUT5 #( + .INIT(32'hBAFFBA00)) + \bit_count_max[1]_i_1 + (.I0(joy_type__2[2]), + .I1(joy_type__2[1]), + .I2(joy_type__2[0]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(bit_count_max[1]), + .O(\bit_count_max[1]_i_1_n_0 )); + LUT5 #( + .INIT(32'h0DFF0D00)) + \bit_count_max[2]_i_1 + (.I0(joy_type__2[0]), + .I1(joy_type__2[1]), + .I2(joy_type__2[2]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(bit_count_max[2]), + .O(\bit_count_max[2]_i_1_n_0 )); + FDRE \bit_count_max_reg[1] + (.C(i_CLK), + .CE(1'b1), + .D(\bit_count_max[1]_i_1_n_0 ), + .Q(bit_count_max[1]), + .R(1'b0)); + FDRE \bit_count_max_reg[2] + (.C(i_CLK), + .CE(1'b1), + .D(\bit_count_max[2]_i_1_n_0 ), + .Q(bit_count_max[2]), + .R(1'b0)); + FDRE \bit_count_reg[0] + (.C(i_CLK), + .CE(1'b1), + .D(p_0_in[0]), + .Q(bit_count_reg[0]), + .R(1'b0)); + FDRE \bit_count_reg[1] + (.C(i_CLK), + .CE(1'b1), + .D(\bit_count[1]_i_1_n_0 ), + .Q(bit_count_reg[1]), + .R(1'b0)); + FDRE \bit_count_reg[2] + (.C(i_CLK), + .CE(1'b1), + .D(p_0_in[2]), + .Q(bit_count_reg[2]), + .R(1'b0)); + FDRE \bit_count_reg[3] + (.C(i_CLK), + .CE(1'b1), + .D(p_0_in[3]), + .Q(bit_count_reg[3]), + .R(1'b0)); + MUXF7 \bit_count_reg[3]_i_1 + (.I0(plusOp), + .I1(joy_bit_count_start__3), + .O(p_0_in[3]), + .S(\sram_addr[4]_i_5_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT3 #( + .INIT(8'hEA)) + joy_sel_i_1 + (.I0(eqOp), + .I1(state), + .I2(joy_keymap_addr[5]), + .O(joy_sel_i_1_n_0)); + LUT6 #( + .INIT(64'h2001000000002001)) + joy_sel_i_2 + (.I0(bit_count_reg[3]), + .I1(bit_count_reg[0]), + .I2(bit_count_reg[1]), + .I3(bit_count_max[1]), + .I4(bit_count_reg[2]), + .I5(bit_count_max[2]), + .O(eqOp)); + FDRE joy_sel_reg + (.C(i_CLK), + .CE(1'b1), + .D(joy_sel_i_1_n_0), + .Q(joy_keymap_addr[5]), + .R(1'b0)); + LUT6 #( + .INIT(64'hAAA8AAAAAAAAAAAA)) + \membrane_col[0]_i_1 + (.I0(o_membrane_col[0]), + .I1(joy_keymap_do[2]), + .I2(joy_keymap_do[0]), + .I3(joy_keymap_do[1]), + .I4(state), + .I5(\membrane_col[6]_i_3_n_0 ), + .O(\membrane_col[0]_i_1_n_0 )); + LUT6 #( + .INIT(64'hAA8AAAAAAAAAAAAA)) + \membrane_col[1]_i_1 + (.I0(o_membrane_col[1]), + .I1(joy_keymap_do[2]), + .I2(joy_keymap_do[0]), + .I3(joy_keymap_do[1]), + .I4(state), + .I5(\membrane_col[6]_i_3_n_0 ), + .O(\membrane_col[1]_i_1_n_0 )); + LUT6 #( + .INIT(64'hAA8AAAAAAAAAAAAA)) + \membrane_col[2]_i_1 + (.I0(o_membrane_col[2]), + .I1(joy_keymap_do[2]), + .I2(joy_keymap_do[1]), + .I3(joy_keymap_do[0]), + .I4(state), + .I5(\membrane_col[6]_i_3_n_0 ), + .O(\membrane_col[2]_i_1_n_0 )); + LUT6 #( + .INIT(64'h8AAAAAAAAAAAAAAA)) + \membrane_col[3]_i_1 + (.I0(o_membrane_col[3]), + .I1(joy_keymap_do[2]), + .I2(joy_keymap_do[0]), + .I3(joy_keymap_do[1]), + .I4(state), + .I5(\membrane_col[6]_i_3_n_0 ), + .O(\membrane_col[3]_i_1_n_0 )); + LUT6 #( + .INIT(64'hAAA2AAAAAAAAAAAA)) + \membrane_col[4]_i_1 + (.I0(o_membrane_col[4]), + .I1(joy_keymap_do[2]), + .I2(joy_keymap_do[0]), + .I3(joy_keymap_do[1]), + .I4(state), + .I5(\membrane_col[6]_i_3_n_0 ), + .O(\membrane_col[4]_i_1_n_0 )); + LUT6 #( + .INIT(64'hAA2AAAAAAAAAAAAA)) + \membrane_col[5]_i_1 + (.I0(o_membrane_col[5]), + .I1(joy_keymap_do[2]), + .I2(joy_keymap_do[0]), + .I3(joy_keymap_do[1]), + .I4(state), + .I5(\membrane_col[6]_i_3_n_0 ), + .O(\membrane_col[5]_i_1_n_0 )); + LUT4 #( + .INIT(16'hFFAE)) + \membrane_col[6]_i_1 + (.I0(i_reset), + .I1(i_CLK_EN), + .I2(state), + .I3(i_joy_en_n), + .O(membrane_col0)); + LUT6 #( + .INIT(64'hAFA0AFA0CFCFC0C0)) + \membrane_col[6]_i_10 + (.I0(i_joy_right[5]), + .I1(i_joy_left[5]), + .I2(bit_count_reg[0]), + .I3(i_joy_right[4]), + .I4(i_joy_left[4]), + .I5(joy_keymap_addr[5]), + .O(\membrane_col[6]_i_10_n_0 )); + LUT6 #( + .INIT(64'hAFA0AFA0CFCFC0C0)) + \membrane_col[6]_i_11 + (.I0(i_joy_right[7]), + .I1(i_joy_left[7]), + .I2(bit_count_reg[0]), + .I3(i_joy_right[6]), + .I4(i_joy_left[6]), + .I5(joy_keymap_addr[5]), + .O(\membrane_col[6]_i_11_n_0 )); + LUT6 #( + .INIT(64'hAFA0AFA0CFCFC0C0)) + \membrane_col[6]_i_12 + (.I0(i_joy_right[9]), + .I1(i_joy_left[9]), + .I2(bit_count_reg[0]), + .I3(i_joy_right[8]), + .I4(i_joy_left[8]), + .I5(joy_keymap_addr[5]), + .O(\membrane_col[6]_i_12_n_0 )); + LUT3 #( + .INIT(8'hAC)) + \membrane_col[6]_i_13 + (.I0(i_joy_right[10]), + .I1(i_joy_left[10]), + .I2(joy_keymap_addr[5]), + .O(joy_state)); + LUT6 #( + .INIT(64'hAA2AAAAAAAAAAAAA)) + \membrane_col[6]_i_2 + (.I0(o_membrane_col[6]), + .I1(joy_keymap_do[2]), + .I2(joy_keymap_do[1]), + .I3(joy_keymap_do[0]), + .I4(state), + .I5(\membrane_col[6]_i_3_n_0 ), + .O(\membrane_col[6]_i_2_n_0 )); + LUT6 #( + .INIT(64'hFFE200E200000000)) + \membrane_col[6]_i_3 + (.I0(\membrane_col_reg[6]_i_4_n_0 ), + .I1(bit_count_reg[2]), + .I2(\membrane_col_reg[6]_i_5_n_0 ), + .I3(bit_count_reg[3]), + .I4(\membrane_col_reg[6]_i_6_n_0 ), + .I5(\membrane_col[6]_i_7_n_0 ), + .O(\membrane_col[6]_i_3_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \membrane_col[6]_i_7 + (.I0(joy_keymap_do[3]), + .I1(i_membrane_row[0]), + .I2(i_membrane_row[2]), + .I3(joy_keymap_do[5]), + .I4(i_membrane_row[1]), + .I5(joy_keymap_do[4]), + .O(\membrane_col[6]_i_7_n_0 )); + LUT6 #( + .INIT(64'hAFA0AFA0CFCFC0C0)) + \membrane_col[6]_i_8 + (.I0(i_joy_right[1]), + .I1(i_joy_left[1]), + .I2(bit_count_reg[0]), + .I3(i_joy_right[0]), + .I4(i_joy_left[0]), + .I5(joy_keymap_addr[5]), + .O(\membrane_col[6]_i_8_n_0 )); + LUT6 #( + .INIT(64'hAFA0AFA0CFCFC0C0)) + \membrane_col[6]_i_9 + (.I0(i_joy_right[3]), + .I1(i_joy_left[3]), + .I2(bit_count_reg[0]), + .I3(i_joy_right[2]), + .I4(i_joy_left[2]), + .I5(joy_keymap_addr[5]), + .O(\membrane_col[6]_i_9_n_0 )); + FDSE \membrane_col_reg[0] + (.C(i_CLK), + .CE(1'b1), + .D(\membrane_col[0]_i_1_n_0 ), + .Q(o_membrane_col[0]), + .S(membrane_col0)); + FDSE \membrane_col_reg[1] + (.C(i_CLK), + .CE(1'b1), + .D(\membrane_col[1]_i_1_n_0 ), + .Q(o_membrane_col[1]), + .S(membrane_col0)); + FDSE \membrane_col_reg[2] + (.C(i_CLK), + .CE(1'b1), + .D(\membrane_col[2]_i_1_n_0 ), + .Q(o_membrane_col[2]), + .S(membrane_col0)); + FDSE \membrane_col_reg[3] + (.C(i_CLK), + .CE(1'b1), + .D(\membrane_col[3]_i_1_n_0 ), + .Q(o_membrane_col[3]), + .S(membrane_col0)); + FDSE \membrane_col_reg[4] + (.C(i_CLK), + .CE(1'b1), + .D(\membrane_col[4]_i_1_n_0 ), + .Q(o_membrane_col[4]), + .S(membrane_col0)); + FDSE \membrane_col_reg[5] + (.C(i_CLK), + .CE(1'b1), + .D(\membrane_col[5]_i_1_n_0 ), + .Q(o_membrane_col[5]), + .S(membrane_col0)); + FDSE \membrane_col_reg[6] + (.C(i_CLK), + .CE(1'b1), + .D(\membrane_col[6]_i_2_n_0 ), + .Q(o_membrane_col[6]), + .S(membrane_col0)); + MUXF7 \membrane_col_reg[6]_i_4 + (.I0(\membrane_col[6]_i_8_n_0 ), + .I1(\membrane_col[6]_i_9_n_0 ), + .O(\membrane_col_reg[6]_i_4_n_0 ), + .S(bit_count_reg[1])); + MUXF7 \membrane_col_reg[6]_i_5 + (.I0(\membrane_col[6]_i_10_n_0 ), + .I1(\membrane_col[6]_i_11_n_0 ), + .O(\membrane_col_reg[6]_i_5_n_0 ), + .S(bit_count_reg[1])); + MUXF7 \membrane_col_reg[6]_i_6 + (.I0(\membrane_col[6]_i_12_n_0 ), + .I1(joy_state), + .O(\membrane_col_reg[6]_i_6_n_0 ), + .S(bit_count_reg[1])); + LUT5 #( + .INIT(32'h150015FF)) + \sram_addr[0]_i_1 + (.I0(joy_type__2[1]), + .I1(joy_type__2[2]), + .I2(joy_type__2[0]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(joy_keymap_addr[0]), + .O(p_0_in__0[0])); + LUT6 #( + .INIT(64'h020002FF02FF0200)) + \sram_addr[1]_i_1 + (.I0(joy_type__2[1]), + .I1(joy_type__2[2]), + .I2(joy_type__2[0]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(joy_keymap_addr[0]), + .I5(joy_keymap_addr[1]), + .O(p_0_in__0[1])); + LUT6 #( + .INIT(64'h150015FF15FF1500)) + \sram_addr[2]_i_1 + (.I0(joy_type__2[1]), + .I1(joy_type__2[2]), + .I2(joy_type__2[0]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(\sram_addr[2]_i_2_n_0 ), + .I5(joy_keymap_addr[2]), + .O(p_0_in__0[2])); + LUT2 #( + .INIT(4'h8)) + \sram_addr[2]_i_2 + (.I0(joy_keymap_addr[1]), + .I1(joy_keymap_addr[0]), + .O(\sram_addr[2]_i_2_n_0 )); + LUT6 #( + .INIT(64'h380038FF38FF3800)) + \sram_addr[3]_i_1 + (.I0(joy_type__2[2]), + .I1(joy_type__2[0]), + .I2(joy_type__2[1]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(\sram_addr[3]_i_2_n_0 ), + .I5(joy_keymap_addr[3]), + .O(p_0_in__0[3])); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT3 #( + .INIT(8'h80)) + \sram_addr[3]_i_2 + (.I0(joy_keymap_addr[2]), + .I1(joy_keymap_addr[0]), + .I2(joy_keymap_addr[1]), + .O(\sram_addr[3]_i_2_n_0 )); + LUT5 #( + .INIT(32'hBAFFBA00)) + \sram_addr[4]_i_1 + (.I0(joy_type__2[2]), + .I1(joy_type__2[1]), + .I2(joy_type__2[0]), + .I3(\sram_addr[4]_i_5_n_0 ), + .I4(plusOp__0), + .O(p_0_in__0[4])); + LUT3 #( + .INIT(8'hB8)) + \sram_addr[4]_i_2 + (.I0(i_joy_right_type[2]), + .I1(eqOp), + .I2(i_joy_left_type[2]), + .O(joy_type__2[2])); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT3 #( + .INIT(8'hB8)) + \sram_addr[4]_i_3 + (.I0(i_joy_right_type[1]), + .I1(eqOp), + .I2(i_joy_left_type[1]), + .O(joy_type__2[1])); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT3 #( + .INIT(8'hB8)) + \sram_addr[4]_i_4 + (.I0(i_joy_right_type[0]), + .I1(eqOp), + .I2(i_joy_left_type[0]), + .O(joy_type__2[0])); + LUT2 #( + .INIT(4'hB)) + \sram_addr[4]_i_5 + (.I0(eqOp), + .I1(state), + .O(\sram_addr[4]_i_5_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT5 #( + .INIT(32'h7FFF8000)) + \sram_addr[4]_i_6 + (.I0(joy_keymap_addr[2]), + .I1(joy_keymap_addr[0]), + .I2(joy_keymap_addr[1]), + .I3(joy_keymap_addr[3]), + .I4(joy_keymap_addr[4]), + .O(plusOp__0)); + FDRE \sram_addr_reg[0] + (.C(i_CLK), + .CE(1'b1), + .D(p_0_in__0[0]), + .Q(joy_keymap_addr[0]), + .R(1'b0)); + FDRE \sram_addr_reg[1] + (.C(i_CLK), + .CE(1'b1), + .D(p_0_in__0[1]), + .Q(joy_keymap_addr[1]), + .R(1'b0)); + FDRE \sram_addr_reg[2] + (.C(i_CLK), + .CE(1'b1), + .D(p_0_in__0[2]), + .Q(joy_keymap_addr[2]), + .R(1'b0)); + FDRE \sram_addr_reg[3] + (.C(i_CLK), + .CE(1'b1), + .D(p_0_in__0[3]), + .Q(joy_keymap_addr[3]), + .R(1'b0)); + FDRE \sram_addr_reg[4] + (.C(i_CLK), + .CE(1'b1), + .D(p_0_in__0[4]), + .Q(joy_keymap_addr[4]), + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT5 #( + .INIT(32'h00002EEE)) + state_i_1 + (.I0(i_CLK_EN), + .I1(state), + .I2(eqOp), + .I3(joy_keymap_addr[5]), + .I4(i_reset), + .O(state_i_1_n_0)); + FDRE #( + .INIT(1'b0)) + state_reg + (.C(i_CLK), + .CE(1'b1), + .D(state_i_1_n_0), + .Q(state), + .R(1'b0)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.vhdl new file mode 100644 index 00000000..f4201108 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.vhdl @@ -0,0 +1,802 @@ +-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 +-- Date : Tue Dec 28 17:48:40 2021 +-- Host : AW13R3 running 64-bit major release (build 9200) +-- Command : write_vhdl -force -mode funcsim +-- v:/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_sim_netlist.vhdl +-- Design : keyboard_membrane_stick_0_0 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7a100tcsg324-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity keyboard_membrane_stick_0_0_membrane_stick is + port ( + o_membrane_col : out STD_LOGIC_VECTOR ( 6 downto 0 ); + joy_keymap_addr : out STD_LOGIC_VECTOR ( 5 downto 0 ); + joy_keymap_do : in STD_LOGIC_VECTOR ( 5 downto 0 ); + i_CLK : in STD_LOGIC; + i_CLK_EN : in STD_LOGIC; + i_reset : in STD_LOGIC; + i_joy_left_type : in STD_LOGIC_VECTOR ( 2 downto 0 ); + i_joy_right_type : in STD_LOGIC_VECTOR ( 2 downto 0 ); + i_membrane_row : in STD_LOGIC_VECTOR ( 2 downto 0 ); + i_joy_right : in STD_LOGIC_VECTOR ( 10 downto 0 ); + i_joy_left : in STD_LOGIC_VECTOR ( 10 downto 0 ); + i_joy_en_n : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of keyboard_membrane_stick_0_0_membrane_stick : entity is "membrane_stick"; +end keyboard_membrane_stick_0_0_membrane_stick; + +architecture STRUCTURE of keyboard_membrane_stick_0_0_membrane_stick is + signal \bit_count[1]_i_1_n_0\ : STD_LOGIC; + signal \bit_count[2]_i_2_n_0\ : STD_LOGIC; + signal bit_count_max : STD_LOGIC_VECTOR ( 2 downto 1 ); + signal \bit_count_max[1]_i_1_n_0\ : STD_LOGIC; + signal \bit_count_max[2]_i_1_n_0\ : STD_LOGIC; + signal bit_count_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal eqOp : STD_LOGIC; + signal \joy_bit_count_start__3\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \^joy_keymap_addr\ : STD_LOGIC_VECTOR ( 5 downto 0 ); + signal joy_sel_i_1_n_0 : STD_LOGIC; + signal joy_state : STD_LOGIC_VECTOR ( 10 to 10 ); + signal \joy_type__2\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal membrane_col0 : STD_LOGIC; + signal \membrane_col[0]_i_1_n_0\ : STD_LOGIC; + signal \membrane_col[1]_i_1_n_0\ : STD_LOGIC; + signal \membrane_col[2]_i_1_n_0\ : STD_LOGIC; + signal \membrane_col[3]_i_1_n_0\ : STD_LOGIC; + signal \membrane_col[4]_i_1_n_0\ : STD_LOGIC; + signal \membrane_col[5]_i_1_n_0\ : STD_LOGIC; + signal \membrane_col[6]_i_10_n_0\ : STD_LOGIC; + signal \membrane_col[6]_i_11_n_0\ : STD_LOGIC; + signal \membrane_col[6]_i_12_n_0\ : STD_LOGIC; + signal \membrane_col[6]_i_2_n_0\ : STD_LOGIC; + signal \membrane_col[6]_i_3_n_0\ : STD_LOGIC; + signal \membrane_col[6]_i_7_n_0\ : STD_LOGIC; + signal \membrane_col[6]_i_8_n_0\ : STD_LOGIC; + signal \membrane_col[6]_i_9_n_0\ : STD_LOGIC; + signal \membrane_col_reg[6]_i_4_n_0\ : STD_LOGIC; + signal \membrane_col_reg[6]_i_5_n_0\ : STD_LOGIC; + signal \membrane_col_reg[6]_i_6_n_0\ : STD_LOGIC; + signal \^o_membrane_col\ : STD_LOGIC_VECTOR ( 6 downto 0 ); + signal p_0_in : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal plusOp : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \plusOp__0\ : STD_LOGIC_VECTOR ( 4 to 4 ); + signal \sram_addr[2]_i_2_n_0\ : STD_LOGIC; + signal \sram_addr[3]_i_2_n_0\ : STD_LOGIC; + signal \sram_addr[4]_i_5_n_0\ : STD_LOGIC; + signal state : STD_LOGIC; + signal state_i_1_n_0 : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \bit_count[1]_i_1\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \bit_count[2]_i_2\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of joy_sel_i_1 : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \sram_addr[3]_i_2\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \sram_addr[4]_i_3\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \sram_addr[4]_i_4\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \sram_addr[4]_i_6\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of state_i_1 : label is "soft_lutpair0"; +begin + joy_keymap_addr(5 downto 0) <= \^joy_keymap_addr\(5 downto 0); + o_membrane_col(6 downto 0) <= \^o_membrane_col\(6 downto 0); +\bit_count[0]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"060006FF" + ) + port map ( + I0 => \joy_type__2\(2), + I1 => \joy_type__2\(0), + I2 => \joy_type__2\(1), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => bit_count_reg(0), + O => p_0_in(0) + ); +\bit_count[1]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"06" + ) + port map ( + I0 => bit_count_reg(1), + I1 => bit_count_reg(0), + I2 => \sram_addr[4]_i_5_n_0\, + O => \bit_count[1]_i_1_n_0\ + ); +\bit_count[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"060006FF06FF0600" + ) + port map ( + I0 => \joy_type__2\(2), + I1 => \joy_type__2\(0), + I2 => \joy_type__2\(1), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => \bit_count[2]_i_2_n_0\, + I5 => bit_count_reg(2), + O => p_0_in(2) + ); +\bit_count[2]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => bit_count_reg(1), + I1 => bit_count_reg(0), + O => \bit_count[2]_i_2_n_0\ + ); +\bit_count[3]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7F80" + ) + port map ( + I0 => bit_count_reg(1), + I1 => bit_count_reg(0), + I2 => bit_count_reg(2), + I3 => bit_count_reg(3), + O => plusOp(3) + ); +\bit_count[3]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0CC00A0A0CC0A0A0" + ) + port map ( + I0 => i_joy_left_type(2), + I1 => i_joy_right_type(2), + I2 => \joy_type__2\(1), + I3 => i_joy_right_type(0), + I4 => eqOp, + I5 => i_joy_left_type(0), + O => \joy_bit_count_start__3\(3) + ); +\bit_count_max[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"BAFFBA00" + ) + port map ( + I0 => \joy_type__2\(2), + I1 => \joy_type__2\(1), + I2 => \joy_type__2\(0), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => bit_count_max(1), + O => \bit_count_max[1]_i_1_n_0\ + ); +\bit_count_max[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"0DFF0D00" + ) + port map ( + I0 => \joy_type__2\(0), + I1 => \joy_type__2\(1), + I2 => \joy_type__2\(2), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => bit_count_max(2), + O => \bit_count_max[2]_i_1_n_0\ + ); +\bit_count_max_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => \bit_count_max[1]_i_1_n_0\, + Q => bit_count_max(1), + R => '0' + ); +\bit_count_max_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => \bit_count_max[2]_i_1_n_0\, + Q => bit_count_max(2), + R => '0' + ); +\bit_count_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => p_0_in(0), + Q => bit_count_reg(0), + R => '0' + ); +\bit_count_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => \bit_count[1]_i_1_n_0\, + Q => bit_count_reg(1), + R => '0' + ); +\bit_count_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => p_0_in(2), + Q => bit_count_reg(2), + R => '0' + ); +\bit_count_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => p_0_in(3), + Q => bit_count_reg(3), + R => '0' + ); +\bit_count_reg[3]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => plusOp(3), + I1 => \joy_bit_count_start__3\(3), + O => p_0_in(3), + S => \sram_addr[4]_i_5_n_0\ + ); +joy_sel_i_1: unisim.vcomponents.LUT3 + generic map( + INIT => X"EA" + ) + port map ( + I0 => eqOp, + I1 => state, + I2 => \^joy_keymap_addr\(5), + O => joy_sel_i_1_n_0 + ); +joy_sel_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"2001000000002001" + ) + port map ( + I0 => bit_count_reg(3), + I1 => bit_count_reg(0), + I2 => bit_count_reg(1), + I3 => bit_count_max(1), + I4 => bit_count_reg(2), + I5 => bit_count_max(2), + O => eqOp + ); +joy_sel_reg: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => joy_sel_i_1_n_0, + Q => \^joy_keymap_addr\(5), + R => '0' + ); +\membrane_col[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAA8AAAAAAAAAAAA" + ) + port map ( + I0 => \^o_membrane_col\(0), + I1 => joy_keymap_do(2), + I2 => joy_keymap_do(0), + I3 => joy_keymap_do(1), + I4 => state, + I5 => \membrane_col[6]_i_3_n_0\, + O => \membrane_col[0]_i_1_n_0\ + ); +\membrane_col[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AA8AAAAAAAAAAAAA" + ) + port map ( + I0 => \^o_membrane_col\(1), + I1 => joy_keymap_do(2), + I2 => joy_keymap_do(0), + I3 => joy_keymap_do(1), + I4 => state, + I5 => \membrane_col[6]_i_3_n_0\, + O => \membrane_col[1]_i_1_n_0\ + ); +\membrane_col[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AA8AAAAAAAAAAAAA" + ) + port map ( + I0 => \^o_membrane_col\(2), + I1 => joy_keymap_do(2), + I2 => joy_keymap_do(1), + I3 => joy_keymap_do(0), + I4 => state, + I5 => \membrane_col[6]_i_3_n_0\, + O => \membrane_col[2]_i_1_n_0\ + ); +\membrane_col[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8AAAAAAAAAAAAAAA" + ) + port map ( + I0 => \^o_membrane_col\(3), + I1 => joy_keymap_do(2), + I2 => joy_keymap_do(0), + I3 => joy_keymap_do(1), + I4 => state, + I5 => \membrane_col[6]_i_3_n_0\, + O => \membrane_col[3]_i_1_n_0\ + ); +\membrane_col[4]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAA2AAAAAAAAAAAA" + ) + port map ( + I0 => \^o_membrane_col\(4), + I1 => joy_keymap_do(2), + I2 => joy_keymap_do(0), + I3 => joy_keymap_do(1), + I4 => state, + I5 => \membrane_col[6]_i_3_n_0\, + O => \membrane_col[4]_i_1_n_0\ + ); +\membrane_col[5]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AA2AAAAAAAAAAAAA" + ) + port map ( + I0 => \^o_membrane_col\(5), + I1 => joy_keymap_do(2), + I2 => joy_keymap_do(0), + I3 => joy_keymap_do(1), + I4 => state, + I5 => \membrane_col[6]_i_3_n_0\, + O => \membrane_col[5]_i_1_n_0\ + ); +\membrane_col[6]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFAE" + ) + port map ( + I0 => i_reset, + I1 => i_CLK_EN, + I2 => state, + I3 => i_joy_en_n, + O => membrane_col0 + ); +\membrane_col[6]_i_10\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0AFA0CFCFC0C0" + ) + port map ( + I0 => i_joy_right(5), + I1 => i_joy_left(5), + I2 => bit_count_reg(0), + I3 => i_joy_right(4), + I4 => i_joy_left(4), + I5 => \^joy_keymap_addr\(5), + O => \membrane_col[6]_i_10_n_0\ + ); +\membrane_col[6]_i_11\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0AFA0CFCFC0C0" + ) + port map ( + I0 => i_joy_right(7), + I1 => i_joy_left(7), + I2 => bit_count_reg(0), + I3 => i_joy_right(6), + I4 => i_joy_left(6), + I5 => \^joy_keymap_addr\(5), + O => \membrane_col[6]_i_11_n_0\ + ); +\membrane_col[6]_i_12\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0AFA0CFCFC0C0" + ) + port map ( + I0 => i_joy_right(9), + I1 => i_joy_left(9), + I2 => bit_count_reg(0), + I3 => i_joy_right(8), + I4 => i_joy_left(8), + I5 => \^joy_keymap_addr\(5), + O => \membrane_col[6]_i_12_n_0\ + ); +\membrane_col[6]_i_13\: unisim.vcomponents.LUT3 + generic map( + INIT => X"AC" + ) + port map ( + I0 => i_joy_right(10), + I1 => i_joy_left(10), + I2 => \^joy_keymap_addr\(5), + O => joy_state(10) + ); +\membrane_col[6]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AA2AAAAAAAAAAAAA" + ) + port map ( + I0 => \^o_membrane_col\(6), + I1 => joy_keymap_do(2), + I2 => joy_keymap_do(1), + I3 => joy_keymap_do(0), + I4 => state, + I5 => \membrane_col[6]_i_3_n_0\, + O => \membrane_col[6]_i_2_n_0\ + ); +\membrane_col[6]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFE200E200000000" + ) + port map ( + I0 => \membrane_col_reg[6]_i_4_n_0\, + I1 => bit_count_reg(2), + I2 => \membrane_col_reg[6]_i_5_n_0\, + I3 => bit_count_reg(3), + I4 => \membrane_col_reg[6]_i_6_n_0\, + I5 => \membrane_col[6]_i_7_n_0\, + O => \membrane_col[6]_i_3_n_0\ + ); +\membrane_col[6]_i_7\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => joy_keymap_do(3), + I1 => i_membrane_row(0), + I2 => i_membrane_row(2), + I3 => joy_keymap_do(5), + I4 => i_membrane_row(1), + I5 => joy_keymap_do(4), + O => \membrane_col[6]_i_7_n_0\ + ); +\membrane_col[6]_i_8\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0AFA0CFCFC0C0" + ) + port map ( + I0 => i_joy_right(1), + I1 => i_joy_left(1), + I2 => bit_count_reg(0), + I3 => i_joy_right(0), + I4 => i_joy_left(0), + I5 => \^joy_keymap_addr\(5), + O => \membrane_col[6]_i_8_n_0\ + ); +\membrane_col[6]_i_9\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0AFA0CFCFC0C0" + ) + port map ( + I0 => i_joy_right(3), + I1 => i_joy_left(3), + I2 => bit_count_reg(0), + I3 => i_joy_right(2), + I4 => i_joy_left(2), + I5 => \^joy_keymap_addr\(5), + O => \membrane_col[6]_i_9_n_0\ + ); +\membrane_col_reg[0]\: unisim.vcomponents.FDSE + port map ( + C => i_CLK, + CE => '1', + D => \membrane_col[0]_i_1_n_0\, + Q => \^o_membrane_col\(0), + S => membrane_col0 + ); +\membrane_col_reg[1]\: unisim.vcomponents.FDSE + port map ( + C => i_CLK, + CE => '1', + D => \membrane_col[1]_i_1_n_0\, + Q => \^o_membrane_col\(1), + S => membrane_col0 + ); +\membrane_col_reg[2]\: unisim.vcomponents.FDSE + port map ( + C => i_CLK, + CE => '1', + D => \membrane_col[2]_i_1_n_0\, + Q => \^o_membrane_col\(2), + S => membrane_col0 + ); +\membrane_col_reg[3]\: unisim.vcomponents.FDSE + port map ( + C => i_CLK, + CE => '1', + D => \membrane_col[3]_i_1_n_0\, + Q => \^o_membrane_col\(3), + S => membrane_col0 + ); +\membrane_col_reg[4]\: unisim.vcomponents.FDSE + port map ( + C => i_CLK, + CE => '1', + D => \membrane_col[4]_i_1_n_0\, + Q => \^o_membrane_col\(4), + S => membrane_col0 + ); +\membrane_col_reg[5]\: unisim.vcomponents.FDSE + port map ( + C => i_CLK, + CE => '1', + D => \membrane_col[5]_i_1_n_0\, + Q => \^o_membrane_col\(5), + S => membrane_col0 + ); +\membrane_col_reg[6]\: unisim.vcomponents.FDSE + port map ( + C => i_CLK, + CE => '1', + D => \membrane_col[6]_i_2_n_0\, + Q => \^o_membrane_col\(6), + S => membrane_col0 + ); +\membrane_col_reg[6]_i_4\: unisim.vcomponents.MUXF7 + port map ( + I0 => \membrane_col[6]_i_8_n_0\, + I1 => \membrane_col[6]_i_9_n_0\, + O => \membrane_col_reg[6]_i_4_n_0\, + S => bit_count_reg(1) + ); +\membrane_col_reg[6]_i_5\: unisim.vcomponents.MUXF7 + port map ( + I0 => \membrane_col[6]_i_10_n_0\, + I1 => \membrane_col[6]_i_11_n_0\, + O => \membrane_col_reg[6]_i_5_n_0\, + S => bit_count_reg(1) + ); +\membrane_col_reg[6]_i_6\: unisim.vcomponents.MUXF7 + port map ( + I0 => \membrane_col[6]_i_12_n_0\, + I1 => joy_state(10), + O => \membrane_col_reg[6]_i_6_n_0\, + S => bit_count_reg(1) + ); +\sram_addr[0]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"150015FF" + ) + port map ( + I0 => \joy_type__2\(1), + I1 => \joy_type__2\(2), + I2 => \joy_type__2\(0), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => \^joy_keymap_addr\(0), + O => \p_0_in__0\(0) + ); +\sram_addr[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"020002FF02FF0200" + ) + port map ( + I0 => \joy_type__2\(1), + I1 => \joy_type__2\(2), + I2 => \joy_type__2\(0), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => \^joy_keymap_addr\(0), + I5 => \^joy_keymap_addr\(1), + O => \p_0_in__0\(1) + ); +\sram_addr[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"150015FF15FF1500" + ) + port map ( + I0 => \joy_type__2\(1), + I1 => \joy_type__2\(2), + I2 => \joy_type__2\(0), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => \sram_addr[2]_i_2_n_0\, + I5 => \^joy_keymap_addr\(2), + O => \p_0_in__0\(2) + ); +\sram_addr[2]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \^joy_keymap_addr\(1), + I1 => \^joy_keymap_addr\(0), + O => \sram_addr[2]_i_2_n_0\ + ); +\sram_addr[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"380038FF38FF3800" + ) + port map ( + I0 => \joy_type__2\(2), + I1 => \joy_type__2\(0), + I2 => \joy_type__2\(1), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => \sram_addr[3]_i_2_n_0\, + I5 => \^joy_keymap_addr\(3), + O => \p_0_in__0\(3) + ); +\sram_addr[3]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"80" + ) + port map ( + I0 => \^joy_keymap_addr\(2), + I1 => \^joy_keymap_addr\(0), + I2 => \^joy_keymap_addr\(1), + O => \sram_addr[3]_i_2_n_0\ + ); +\sram_addr[4]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"BAFFBA00" + ) + port map ( + I0 => \joy_type__2\(2), + I1 => \joy_type__2\(1), + I2 => \joy_type__2\(0), + I3 => \sram_addr[4]_i_5_n_0\, + I4 => \plusOp__0\(4), + O => \p_0_in__0\(4) + ); +\sram_addr[4]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => i_joy_right_type(2), + I1 => eqOp, + I2 => i_joy_left_type(2), + O => \joy_type__2\(2) + ); +\sram_addr[4]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => i_joy_right_type(1), + I1 => eqOp, + I2 => i_joy_left_type(1), + O => \joy_type__2\(1) + ); +\sram_addr[4]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => i_joy_right_type(0), + I1 => eqOp, + I2 => i_joy_left_type(0), + O => \joy_type__2\(0) + ); +\sram_addr[4]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => eqOp, + I1 => state, + O => \sram_addr[4]_i_5_n_0\ + ); +\sram_addr[4]_i_6\: unisim.vcomponents.LUT5 + generic map( + INIT => X"7FFF8000" + ) + port map ( + I0 => \^joy_keymap_addr\(2), + I1 => \^joy_keymap_addr\(0), + I2 => \^joy_keymap_addr\(1), + I3 => \^joy_keymap_addr\(3), + I4 => \^joy_keymap_addr\(4), + O => \plusOp__0\(4) + ); +\sram_addr_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => \p_0_in__0\(0), + Q => \^joy_keymap_addr\(0), + R => '0' + ); +\sram_addr_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => \p_0_in__0\(1), + Q => \^joy_keymap_addr\(1), + R => '0' + ); +\sram_addr_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => \p_0_in__0\(2), + Q => \^joy_keymap_addr\(2), + R => '0' + ); +\sram_addr_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => \p_0_in__0\(3), + Q => \^joy_keymap_addr\(3), + R => '0' + ); +\sram_addr_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => i_CLK, + CE => '1', + D => \p_0_in__0\(4), + Q => \^joy_keymap_addr\(4), + R => '0' + ); +state_i_1: unisim.vcomponents.LUT5 + generic map( + INIT => X"00002EEE" + ) + port map ( + I0 => i_CLK_EN, + I1 => state, + I2 => eqOp, + I3 => \^joy_keymap_addr\(5), + I4 => i_reset, + O => state_i_1_n_0 + ); +state_reg: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => i_CLK, + CE => '1', + D => state_i_1_n_0, + Q => state, + R => '0' + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity keyboard_membrane_stick_0_0 is + port ( + i_CLK : in STD_LOGIC; + i_CLK_EN : in STD_LOGIC; + i_reset : in STD_LOGIC; + i_joy_en_n : in STD_LOGIC; + i_joy_left : in STD_LOGIC_VECTOR ( 10 downto 0 ); + i_joy_left_type : in STD_LOGIC_VECTOR ( 2 downto 0 ); + i_joy_right : in STD_LOGIC_VECTOR ( 10 downto 0 ); + i_joy_right_type : in STD_LOGIC_VECTOR ( 2 downto 0 ); + i_membrane_row : in STD_LOGIC_VECTOR ( 2 downto 0 ); + o_membrane_col : out STD_LOGIC_VECTOR ( 6 downto 0 ); + joy_keymap_addr : out STD_LOGIC_VECTOR ( 5 downto 0 ); + joy_keymap_do : in STD_LOGIC_VECTOR ( 5 downto 0 ) + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of keyboard_membrane_stick_0_0 : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of keyboard_membrane_stick_0_0 : entity is "keyboard_membrane_stick_0_0,membrane_stick,{}"; + attribute DowngradeIPIdentifiedWarnings : string; + attribute DowngradeIPIdentifiedWarnings of keyboard_membrane_stick_0_0 : entity is "yes"; + attribute IP_DEFINITION_SOURCE : string; + attribute IP_DEFINITION_SOURCE of keyboard_membrane_stick_0_0 : entity is "module_ref"; + attribute X_CORE_INFO : string; + attribute X_CORE_INFO of keyboard_membrane_stick_0_0 : entity is "membrane_stick,Vivado 2021.2"; +end keyboard_membrane_stick_0_0; + +architecture STRUCTURE of keyboard_membrane_stick_0_0 is + attribute X_INTERFACE_INFO : string; + attribute X_INTERFACE_INFO of i_CLK : signal is "xilinx.com:signal:clock:1.0 i_CLK CLK"; + attribute X_INTERFACE_PARAMETER : string; + attribute X_INTERFACE_PARAMETER of i_CLK : signal is "XIL_INTERFACENAME i_CLK, ASSOCIATED_RESET i_reset, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN keyboard_clk_peripheral, INSERT_VIP 0"; + attribute X_INTERFACE_INFO of i_reset : signal is "xilinx.com:signal:reset:1.0 i_reset RST"; + attribute X_INTERFACE_PARAMETER of i_reset : signal is "XIL_INTERFACENAME i_reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0"; + attribute X_INTERFACE_INFO of i_joy_left : signal is "specnext.com:specnext:audio:1.0 i_joy left, specnext.com:specnext:joystick:1.0 i joy_left"; + attribute X_INTERFACE_INFO of i_joy_left_type : signal is "specnext.com:specnext:audio:1.0 i_joy_type left, specnext.com:specnext:joystick:1.0 i joy_left_type, specnext.com:specnext:joystick:1.0 i_type joy_left"; + attribute X_INTERFACE_INFO of i_joy_right : signal is "specnext.com:specnext:audio:1.0 i_joy right, specnext.com:specnext:joystick:1.0 i joy_right"; + attribute X_INTERFACE_INFO of i_joy_right_type : signal is "specnext.com:specnext:audio:1.0 i_joy_type right, specnext.com:specnext:joystick:1.0 i joy_right_type, specnext.com:specnext:joystick:1.0 i_type joy_right"; +begin +inst: entity work.keyboard_membrane_stick_0_0_membrane_stick + port map ( + i_CLK => i_CLK, + i_CLK_EN => i_CLK_EN, + i_joy_en_n => i_joy_en_n, + i_joy_left(10 downto 0) => i_joy_left(10 downto 0), + i_joy_left_type(2 downto 0) => i_joy_left_type(2 downto 0), + i_joy_right(10 downto 0) => i_joy_right(10 downto 0), + i_joy_right_type(2 downto 0) => i_joy_right_type(2 downto 0), + i_membrane_row(2 downto 0) => i_membrane_row(2 downto 0), + i_reset => i_reset, + joy_keymap_addr(5 downto 0) => joy_keymap_addr(5 downto 0), + joy_keymap_do(5 downto 0) => joy_keymap_do(5 downto 0), + o_membrane_col(6 downto 0) => o_membrane_col(6 downto 0) + ); +end STRUCTURE; diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.v new file mode 100644 index 00000000..b53b4441 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.v @@ -0,0 +1,33 @@ +// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 +// Date : Tue Dec 28 17:48:40 2021 +// Host : AW13R3 running 64-bit major release (build 9200) +// Command : write_verilog -force -mode synth_stub +// v:/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.v +// Design : keyboard_membrane_stick_0_0 +// Purpose : Stub declaration of top-level module interface +// Device : xc7a100tcsg324-1 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +(* X_CORE_INFO = "membrane_stick,Vivado 2021.2" *) +module keyboard_membrane_stick_0_0(i_CLK, i_CLK_EN, i_reset, i_joy_en_n, i_joy_left, + i_joy_left_type, i_joy_right, i_joy_right_type, i_membrane_row, o_membrane_col, + joy_keymap_addr, joy_keymap_do) +/* synthesis syn_black_box black_box_pad_pin="i_CLK,i_CLK_EN,i_reset,i_joy_en_n,i_joy_left[10:0],i_joy_left_type[2:0],i_joy_right[10:0],i_joy_right_type[2:0],i_membrane_row[2:0],o_membrane_col[6:0],joy_keymap_addr[5:0],joy_keymap_do[5:0]" */; + input i_CLK; + input i_CLK_EN; + input i_reset; + input i_joy_en_n; + input [10:0]i_joy_left; + input [2:0]i_joy_left_type; + input [10:0]i_joy_right; + input [2:0]i_joy_right_type; + input [2:0]i_membrane_row; + output [6:0]o_membrane_col; + output [5:0]joy_keymap_addr; + input [5:0]joy_keymap_do; +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.vhdl new file mode 100644 index 00000000..bb92770e --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.vhdl @@ -0,0 +1,41 @@ +-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 +-- Date : Tue Dec 28 17:48:40 2021 +-- Host : AW13R3 running 64-bit major release (build 9200) +-- Command : write_vhdl -force -mode synth_stub +-- v:/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/keyboard_membrane_stick_0_0_stub.vhdl +-- Design : keyboard_membrane_stick_0_0 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7a100tcsg324-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity keyboard_membrane_stick_0_0 is + Port ( + i_CLK : in STD_LOGIC; + i_CLK_EN : in STD_LOGIC; + i_reset : in STD_LOGIC; + i_joy_en_n : in STD_LOGIC; + i_joy_left : in STD_LOGIC_VECTOR ( 10 downto 0 ); + i_joy_left_type : in STD_LOGIC_VECTOR ( 2 downto 0 ); + i_joy_right : in STD_LOGIC_VECTOR ( 10 downto 0 ); + i_joy_right_type : in STD_LOGIC_VECTOR ( 2 downto 0 ); + i_membrane_row : in STD_LOGIC_VECTOR ( 2 downto 0 ); + o_membrane_col : out STD_LOGIC_VECTOR ( 6 downto 0 ); + joy_keymap_addr : out STD_LOGIC_VECTOR ( 5 downto 0 ); + joy_keymap_do : in STD_LOGIC_VECTOR ( 5 downto 0 ) + ); + +end keyboard_membrane_stick_0_0; + +architecture stub of keyboard_membrane_stick_0_0 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "i_CLK,i_CLK_EN,i_reset,i_joy_en_n,i_joy_left[10:0],i_joy_left_type[2:0],i_joy_right[10:0],i_joy_right_type[2:0],i_membrane_row[2:0],o_membrane_col[6:0],joy_keymap_addr[5:0],joy_keymap_do[5:0]"; +attribute X_CORE_INFO : string; +attribute X_CORE_INFO of stub : architecture is "membrane_stick,Vivado 2021.2"; +begin +end; diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/sim/keyboard_membrane_stick_0_0.v b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/sim/keyboard_membrane_stick_0_0.v new file mode 100644 index 00000000..48fcf484 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/sim/keyboard_membrane_stick_0_0.v @@ -0,0 +1,107 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:module_ref:membrane_stick:1.0 +// IP Revision: 1 + +`timescale 1ns/1ps + +(* IP_DEFINITION_SOURCE = "module_ref" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_membrane_stick_0_0 ( + i_CLK, + i_CLK_EN, + i_reset, + i_joy_en_n, + i_joy_left, + i_joy_left_type, + i_joy_right, + i_joy_right_type, + i_membrane_row, + o_membrane_col, + joy_keymap_addr, + joy_keymap_do +); + +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_CLK, ASSOCIATED_RESET i_reset, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN keyboard_clk_peripheral, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 i_CLK CLK" *) +input wire i_CLK; +input wire i_CLK_EN; +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 i_reset RST" *) +input wire i_reset; +input wire i_joy_en_n; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy left, specnext.com:specnext:joystick:1.0 i joy_left" *) +input wire [10 : 0] i_joy_left; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type left, specnext.com:specnext:joystick:1.0 i joy_left_type, specnext.com:specnext:joystick:1.0 i_type joy_left" *) +input wire [2 : 0] i_joy_left_type; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy right, specnext.com:specnext:joystick:1.0 i joy_right" *) +input wire [10 : 0] i_joy_right; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type right, specnext.com:specnext:joystick:1.0 i joy_right_type, specnext.com:specnext:joystick:1.0 i_type joy_right" *) +input wire [2 : 0] i_joy_right_type; +input wire [2 : 0] i_membrane_row; +output wire [6 : 0] o_membrane_col; +output wire [5 : 0] joy_keymap_addr; +input wire [5 : 0] joy_keymap_do; + + membrane_stick inst ( + .i_CLK(i_CLK), + .i_CLK_EN(i_CLK_EN), + .i_reset(i_reset), + .i_joy_en_n(i_joy_en_n), + .i_joy_left(i_joy_left), + .i_joy_left_type(i_joy_left_type), + .i_joy_right(i_joy_right), + .i_joy_right_type(i_joy_right_type), + .i_membrane_row(i_membrane_row), + .o_membrane_col(o_membrane_col), + .joy_keymap_addr(joy_keymap_addr), + .joy_keymap_do(joy_keymap_do) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/synth/keyboard_membrane_stick_0_0.v b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/synth/keyboard_membrane_stick_0_0.v new file mode 100644 index 00000000..c6d73583 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_membrane_stick_0_0/synth/keyboard_membrane_stick_0_0.v @@ -0,0 +1,108 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:module_ref:membrane_stick:1.0 +// IP Revision: 1 + +(* X_CORE_INFO = "membrane_stick,Vivado 2021.2" *) +(* CHECK_LICENSE_TYPE = "keyboard_membrane_stick_0_0,membrane_stick,{}" *) +(* CORE_GENERATION_INFO = "keyboard_membrane_stick_0_0,membrane_stick,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=membrane_stick,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *) +(* IP_DEFINITION_SOURCE = "module_ref" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_membrane_stick_0_0 ( + i_CLK, + i_CLK_EN, + i_reset, + i_joy_en_n, + i_joy_left, + i_joy_left_type, + i_joy_right, + i_joy_right_type, + i_membrane_row, + o_membrane_col, + joy_keymap_addr, + joy_keymap_do +); + +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_CLK, ASSOCIATED_RESET i_reset, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN keyboard_clk_peripheral, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 i_CLK CLK" *) +input wire i_CLK; +input wire i_CLK_EN; +(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME i_reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 i_reset RST" *) +input wire i_reset; +input wire i_joy_en_n; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy left, specnext.com:specnext:joystick:1.0 i joy_left" *) +input wire [10 : 0] i_joy_left; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type left, specnext.com:specnext:joystick:1.0 i joy_left_type, specnext.com:specnext:joystick:1.0 i_type joy_left" *) +input wire [2 : 0] i_joy_left_type; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy right, specnext.com:specnext:joystick:1.0 i joy_right" *) +input wire [10 : 0] i_joy_right; +(* X_INTERFACE_INFO = "specnext.com:specnext:audio:1.0 i_joy_type right, specnext.com:specnext:joystick:1.0 i joy_right_type, specnext.com:specnext:joystick:1.0 i_type joy_right" *) +input wire [2 : 0] i_joy_right_type; +input wire [2 : 0] i_membrane_row; +output wire [6 : 0] o_membrane_col; +output wire [5 : 0] joy_keymap_addr; +input wire [5 : 0] joy_keymap_do; + + membrane_stick inst ( + .i_CLK(i_CLK), + .i_CLK_EN(i_CLK_EN), + .i_reset(i_reset), + .i_joy_en_n(i_joy_en_n), + .i_joy_left(i_joy_left), + .i_joy_left_type(i_joy_left_type), + .i_joy_right(i_joy_right), + .i_joy_right_type(i_joy_right_type), + .i_membrane_row(i_membrane_row), + .o_membrane_col(o_membrane_col), + .joy_keymap_addr(joy_keymap_addr), + .joy_keymap_do(joy_keymap_do) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0.dcp index de5cdd6903e220d1e54efa1ea109f57deed779fb..f522a08b9b13287634ef2df807e70c5cfae8a69a 100644 GIT binary patch delta 44781 zcmb@ubyyr*@GTk~f=h6BCpZKM39iB2-JRgj!Ck`O?!n!i;1b+5!JQz3B*+_ba?ZQI z``!Egdf%5$?OIi9RqgI(nAy{tyI;_senSI_vM{hXFJ8Prd?D$Qp|b5ke!Kwn;>A0- z7cUSXsHuq~tB0L!^z3_=w0AfNKV2Sh1TvNbP2V%2=9|NEO)EKKV0$lX8AaV1I=*`%HKafQF?!huaqS=PS&$;c~UkwwH-7R2xZ#%`{}` zzkO6SAP+Mg%H?0DF;zx9{iR;Bp>YJ#bB6E2cwFC=i@V?%uxkyH9LzC{4f>3NjP<0G zB~S(%DKzonqSI%)+zSpvf7(l6rd#he){?}YxzI{8A=3CuRvGq=lT;Nt!Bs94&~P?z za`m>@#bPQ=uuodx&{ja<8%VQXWT4~ z4D|UN8ZVHsL!YdB+irlZ=9xQW?EiWFhgk_Xy+CNtyuiCa)pFhdbj7dS;v>`*H5xy} z-%(Q=i9-{=h%Xmle|m6J6P^T*l#eiS(OdJ0BHLb-jDS-0Qo+Y-!{4s#_IkHR)9*Z- zI9m+{sICW#1rGfd4vuRbgynHZ4}9js1=c>?NPh&oURXKnJZ4h8oxtOD-}?RQ((Von zI&(`dI|mN8F51RV_xfEM(#G(OxjWKzD+kJw5&|iv^9}flIMZ+*{9Q zT+giByDm3xBQ(GdF=*gj*}dOg>)T`wi#KiVAYkscYJB*o>*UGyCRsWhZ&*u;a0fOqd-$x;5{kUR6PBk^9YMzxCY= z@Z`sHX9J#bb$fDZsO(?_4=S8F6mXbWr{0CGW{j2&jf2jb*FSu5z}@Ok9{-(wuTo!nAmN)bT@d@Ru}8;KpVL=ymG*duw%ZtJIcb|(s7dU=$813 zOvB)mF4H2guBZQJF+C0Mz3W8_XL`B)mr=EIysjf#$B%E?+ZoSB&eF%#LH#S|-~tuB zkv00gMgFtnbvZncjsDr4g9aPW#%S%Z3UD6tI1px8orN=>hO?YXGoMPcoE|{kB#_+- z=aqsS-p`r;I$!v(@$O{%*17tr<4$UxIPJqonl@xZ1}}4)mn~J1sBe!gLR8EIukqHb1${??3yn9P7!m$(jiBM>dDFDX6D^Gas!cW}{UZ;EX`PafC~DHQ&H; zSj`yW>&Rg3n8XA)n!A`(dZiPw!B51%Biwf-@seq;x_c(_zDDR5Zfw#?67U!pB|*d_ zYhBCJ9TowIh+W+-o|-i!7&d=8z^F?-P8?m_L6cQoz&wRMeX;x!i^j_OCBghXH+3n3+QMTn8hHHP+C-Tygvsy*e&3TcLoCb@Mw1!`r(vf!$ zrZvB&?A=08*Y)pxR}UWinyG4FH~4g1c!D-^9PM`zzQ`=j zO^J@wBWoAuq4~jM7D4l)Dn`1q{I0SB&^pwd;D!qJMK<;8e!Ukure2aYL$u!yKU=BH? z1yd390Nrh%0>A(0?pr}!ehXss<9@JDbHNiFB{kx-j|7sXyPF2qeVk6{88t(eYIy1@DpFib_L^K7DGGVp4NNe_{)PA0R&fH#E8i3oiakTchi_4my?po}Z$XUd- zxN)q&@p$!*xKQH(hs^9Eyajbx?=3p2BrVMGAVH46aSo=;{>L|?D|DPzss_GPuGqh1 zQ0%m({dCOj;}kQ&QIn_#aw|zRc1>m_6D~{kI!XA;wFM`QW3m=I*G#CcqHksz&7|Ax zQd7A~fhxC^+N@lbqlYqQ7;2E9dq@YkU!%m>rwJdtCiYyjhQ4fiGA9uIo^7+ zhwGJEAu1Bt*(%+xj=qUqE|mZOzMvt0O|k!-)N ziKn#)EUZ8*EIA}2LRXiqdgg2g;Dl-k7u9cW6&C0G8)4XnY7qfUm zdR+6=qEcI@K1W&-iBqas;8T}rS>GhtP*FwsOG5l=MOCcu)1ie*MDc)N#^!-`yJ?|5*k($OF$#&NrIE+T`&LYdl zNgk4x)zRL}7dprwR~x4tf0eMU zy<*ajPBQ&nh_cQ`aFiEbVkrK@oO#EqKM9(Oy872xi`xR@MTlZ-0iVpj9!Xm zDshZ$^~!grgw$&*E!F+in=eu`#*O9@Ov1ikq(+u}Eic<-EJN}dj%x0Npe+A^a_*!_ zXYGC497&_jni9l7yqvYe584$mcE+}KZ+zS99x66To_6Hs>saxDEd+QSq8c!Q8DNjPsF=B8Kn14 zk1J{xbWewpk8`op8n)r$YBRp>t|t0uR140Ql{;~O{%B;++~wug<;+%4_jZN!XEdj&U0tf}LLDat0MOVQB)B@;7AK zYZDXs0OZcV&)RdopSr5rWU4d8s{LVse{tyAH=9ZXc4jeIUYmH`x6~6E0<~zJa?v0! zd=AHM8La`d+kRh4l5~J0mo8O56yKAU&m)@z za0%?qENvGlu?TwvO)Yn%R|gISCtHXOgBq-tlQ1-Gi0;7@$Eh?WT$@Z z4THKgjw08{2?IJA>oyhOeEp9L{PpqJ5Gm_ezniZ23k2^sxHOxb_)z@%bqaac`0IC& zI|_e-L5KYi9A&5H5W#`I&X%v(xKz_Jqs^-`T*|kLa4ENjc!qhPSB3(3Ha_+~vu0ua z-jWe0?6qSUqu0Y_O1o^b`T61VOjBZ)iI#Z}GWD5IsFrSiTm)kP+Hcnv3svu2y4!Ki z{64xCbUB>NAY2XpAYbjx@jgR)lp(zYebskb!jW6eETJ3F_q6KkgO_7A+5T4Hwc3JW zV=p=@>gqM5W?EtiZs0TDk^X9>@NssOe!-YQcL(kesc~_t(H!e|XTwMn$5>OjWMYX| zcHRSq=JA+IX>ODrAWcj^PPet99rMN7jc<`U*ey-1pMNvudu1p2h;7b;>~Z>3(L+v6{d zM)e|Eu5s~9c)-I35|2%eRGc~C!f_Ym(cw8|pwN@GpduFX0i9)v^AenAf(D^nC+;4q zDx{e0G*k1YWK*v>Zjmuxyor-1KG4(&?GYZTdSD+#48{puv9!(^h4*ft%+!l4s2Efp zcrz^^Lz`Z~7bC)EkdJ0jvWf+(Rk~@5D95<6o#XGQl;lIH?{tC7i1S{9bp)%RbmBmw zkaC}~NJcHY8Hkj5)h3&$SXeqSE>B_xQpxpd;qn)V*iDQ~!x{6dmX=a<#J(VBhl-(SHQyY1 zT9CJnxP9p%i~xJ;st%*Gs2W*V`0)1nhtKIHzktQ}aw55JV z@DLKRxP8uH;2C?W?Ft<@xO6_iYQg?sC*Gz8XS`Y_>ReQx(43YcHegZ<$Cyu<|IOKU zfP1#vli(WzB(J2KY!y%(o1?y(PHzzLL9b05(({lqflN>MmQB+f-eXikw-8A@xf;&+^G|5w&zOi9kpvy~$a73@r8niHC!%B15CGmvvem_to{zePl!F?n#S?+ZjQBkwFX3xa zsHh;c|M&lD{e6mVLUY7O?lBBpn-mJ>>DRIfSSLO5hX}k*-i{^6eSF%h{3aB~Rcf1$ zWwN`poeIlx@*fb&Yu!p{oQIcb=-h|=b`JQK47%?>q>g|t1%$a0NB|C5zO;7z*sqOl z?3ZUdyLhav%>ggA?J0EM>lIvV?CRrfDvN$jX+|AaV-qyNO6sO%BP_v*dmw%PI*jOP?+ouOj3Cbb^sT##&rSM&Uf>5ATENF#- zrDMDMYSK$AHdlFZfmG9%ps4OX_Op3TOd@X!THj#l?CzkHurRrdI=aupSzk?KyMvm? zbWArhwC5ZftK<8Z{5Z#JBMbO+SZ8eagY{}+>UJdFZWIN&h)7cwtJ4mS4tO6cmJx)Z!jH6)q84knDp zhxc-i7?C~nBWXI*uLXa}#{rgKL;D=C!^ss}7|3ID5~culTG?7V&>A} zKF$PYw;(nhV6eLgdU%|6;X`-`1d>W%(;4iiWp}Ppsm#Qp*x?v?&0x?NlPGVz{h?S_ zfW~^nEFo8=)0RXyy^k1M)-z*%R|`JjeOM=ii7->N=)6@Hg zq{|?P90aM+h9K1tB)nX=&HLD#2GITU(Mc1_QK&1m`a8)^kIrSH?A3g?=k2^MUB83z zW_ii_8VOhM&!N(mm=N`ktzYeB!y|e@$ov#eX^x-b31;de0*nZ2vkKl#O;QI7_W$50 zqyB$wGV3LB|cGJIq zcLI}{FE-jRm){6ON2oHSVelfVsN5osEdcJ0QZtS%sDECaT(fp;(F+Q3MbroDtFa9e z26JkaU#7^G%NEsQV|9YMy^ihWQmvg6u?BQD-q;={bFl(!+0+*j+)Gbb*(8EXPsGSO z!JvL0(w_LcL*MmN&mLo3AgJFM_xT8b`xtCE+&Vp2KCm|+S#4+3A=6@4bE1rE7kTtE zd;2Zx67{BM4uX^>H{7*oAX0sh+fcv`Ea5&AM%0AYdhNMg+gk8BNhoWD&vL;_q_X91 zbX09ckv2`mL+_Sb0j|~%qNic^XrebqoOu&$ArlgKf!GHbcV7Xdq;W}E$u;J+s$`pU z;1;-LU(9Tu<-I)L3@J;0U_ab_Dtn~2*Y<76cFjT^hsW#9uf_K(cH60Ph!DQBvj{Ak z`LUzMLWO5??&c$OFl+1rmxQbT!JIc=VQb|;-r~l*HAT0o=u&I%zNsv^s{)zf9sLxS zbnPzw*Iz1XCT2i3&mq=?{IaWW+g87EyWbb_58Mv$xLZrqH(NSxF*28D3ri|*G5QCd zSm1t7>UnSO-CV8=r^k*yrLNzL;@oDJ+ob_nJ6LDc4pHO3!g*Vud8sf885?lYR7`G( z^;n5)(7N7!1y4EHOodvQ4-daportMbS?1AAHtlP*?!*Tyg}8N@Wf-Fdu?Pf8NaId$ zvc@=rKii%xwvOv{sSiXS7aA>9Wm)(NW@m11(}}yH)2P}PfAAwUC2EM$*B>CNl12gY zH}MCe7fR6wz{BPuVwEvmE7el*^h&Z3ap^(YVg2`;SU%cqi0HKMuF4EfmbRIZrwyf3(jVkGY4t9Jog=s>apNa&h)>j(;L0O%Rso zO}T>U5=78|A=8^Kp|Dm%y+ii~CWp^|P~2XZN0(CYlu!)J#@&|rckqqf({_wrg36{Y z+l%V(Y=)e(+~*vGD^CY!#1jZ>(x(Z?NGDs+0N_D2y;7xF0k+G-F5h~xC1y>h*%;v7 z*5>1y_6y6Zqem~8@>OERW-mR4Eb_fmA}F(QbaV9+Wo@q(Pbj#;#$y@WVlXk?*@?6&&^H6Ml}cjw|I_KhT0#8yW~W%Z%9Y$1M) zfeXDw-U9IzXb5bF>YTk7i7p{S%YU<_-^g~1G!vo4pIU9{kJ^}oS>`F^AkKUVq{Zo7 z^%@6#X+sEN1!4XA?frn?lqij)mPf_Z!#aah2+K1)IKXfnp<&ik5ya^JIngk=JxKX$ zl8}E@=nOrDl^T-HbEz!*Y0x4De&EpM)hECiid6)cU`SR9gx$`x)$d3JxoNG5eK&2Wm(q6kYU95O_Wvr_{j2aiP(us24}QA)uc5-^A})a2kPP=7JF^fcWEcJNmY2>6lCmHjT73P z`p3RLeFaNQa63o+`h${kX&r}B5YX-!1OzmY{E#6;y^Yb5k;jeA_YmvB?I-OaXmf$W#|j{+UwKUz_~by8pEre=YA{i+A!o)d?=hRO|ju z<*!|@{potGE|j(=FUh&e(%TN_;b^=a*agSOZY+9~N5We< zrhEV5EDl}P;at%GG5up*bL31(9@xi~w`H_HLtbLxQm2u^&cn@<`_Rp;&tWl_sGrxc z56xo1+2mtOhu6e|*+!CEKTZ#sbw0;pQ;LW(5L~S>wzp0~l=w;b<}?fEgFg~b{O)S) zz+bS`lHxvQpj&ppOKSTa6D=mu8H5K8V6^%veL5&q9iRa*Y zZu8^aSH+Fo@^FnS^VRc39(|3)7!4Ixj0KP^OAoEOw!}tSo<{ETrn&jq2>kP27r7C` zjmCnw5h_OXr96?@fu<6S`f~ua2*a&<_LmW)M)c6%*so%)R~ONOj6z%a)4v-@6SXmyA?$&sO9-C# z7Q*(Sij^_wM|miTEU`#r*4|fz0x{8}hb1#89E)XI)K6E_?%m zfWh);UU71c>O9NE0|#lx1791dZ;FrB?pSFP4*fF}nlO{LALf zsn5_$U*wm?>oPe$y{*4C z0-#HIu^@_Qt4%qd4((t^c$rxo6rhfMVGDSbJ(zMFRNpjA^5$6@qJj`8soA9=}*5z^1h{*S0}va9uqPE#-{B;#C1ACy8{iPQ=^Oc?{6^c zZCF8kD;;?cyHIBaNFwbH)ay^n;hf6+*;Ct9bEa;+DtMfkxbQi0uFR6!IB$j|g5JSM z&#k`WWt50tJuu^cZ{dY(`ucz}>e4P_+}K%wfQ_#C29866`@4HL0Dn4zr!>EIHh2n4 zR^DR^AgP^DQ@IJ~SK_xpzP&N6H*oXbX45~@0egLonnGU<*J)&uSZ5ZjUXMAAL|9!~ z+SpLo$|AFyUzqYe|J3$|!m=*wPh<+|eLC31)j;sO{RhP5^5p#An^5k~B9rF6#A|ry z&5_svJV!tFJ3YEdGBE13o+NJiVNTbBa)1ttypEeXdBZGPX{w14p9WwgruL3{huSt_8@x z+7h}iAcNVlK93Yzvfq)-vG97L=F}ndEm}jDJfG2Q(3Y6iER!`ui&gkAjqkBaRy2>* zF+VvsVI-0IVJv^4xRs}iMt2%d_IB|$!Ha+_b6NZ7Xpb_AgD72@ZHr39fZdFTwGi?f zrjV3=M|V2yq-)2=8TGZW-@_h&n+-fgPW{@rbLDBVQf>1VHYmrB&i|op19$%Gisk({ z_xIR8_!<_3~j8W^WX+$!Vmt$(e`V@cXVZUYZ$=ac3!=6t;{LKWk*C zby(fV^>MKeCf&=>n{+V>^p>g#+U68=Lq!LplUD|!pr!=emz2ms;(H#8(ph1JIr*Nb zw#WX_g4KE&$Fk>-8j_MrRsS|?j>ecT1s$P8 zsS+VUC8kBbatU06VmudUUQW5Ms+b|dymXx7{Zz#6a20lHhSYsnNq9*1aE*~)n$+2N z6#>YeMu6CQnshcU0Z%H^DL+TK*IJ+a1tK2_N#-LolDlbLKaDsP(6;Bl)-<@x_MlXw z&IE|1m?Om$QrW~M*_Xdh%Sb`D;pwu1tlR3M`^P{&Q%$}u7Hs*1K0Q1W7oQiM-`c?U zVRM4~$B|Cjg^waat=XprSaGfV32e=X0lVw?S#lezgh8ly8IJM*IC|`u0e$_d+(CekE{;KHv)p4ISPulJ-%% zM)nXmI#LSeGx_Nn#g54Hwf(cz_-U2WsrE!SDzX!i%QE~%55NWuYSjCiE3@jEcH6Wr zi&~puEtJeisNz_>@#1DQ7c4UwNsdXb*TQn5Gi|9Gwqjd6k{PPmrvmb%Sksgmnx%Jl z^N@`1(h%R^mNw<;TY>46I@mkXhcxg~!~uw+JjN*9_yI&Q#H;rTdn>G5zf*3CnK2~T ztCu{fsyiPteWF9u8KtNLlK#a&bCem_nsCVuBwYv54kND!_EnW2F?k`&qSx{mE0@Jh z2n#EU4k@hGDDFzR<6QG*fz?vU74JFaHW)&Fe~2sAjK%*-DYOay?R91f{%IfUyF6nU zGz?qw3TYK|ulLoS}p_#BDFoic~foW+XZW`XcpaBnA)Hz%VblNBr$KyVpra;ou(PtP+yH}V+9zp z%nx=JJrMC|&OD+ziC=y5;2vMI^qkP&Sz^9~vCBavj-F?IjQ%(;yKNe(oONO>bl&DyNHW(*S9c}_BdJdZ$r@836PE$Rq;iY5+MUk>^UT5*d1i%*K9lY~AwHLCbVBNh2S?c*ysw6+r|V&qOH4e!RvK@jRaM2kL9kZ9 zt;dLf2S??-x68F`1CtmfQSeo~(h!H!BM=7y(Zch%?RP$%!t4)Frjg6QCr=LLy;MNY zX5>YFX?!QgiaAP07_RviU83*8ijXpCf)b;~CoVm#VLffTA)xJzw3b_JUaHB7p2e5D zwWHJQ*}BeP#)$VFpRIHi-h^neWwH(CB~H0eXWdk6SrP(beAdhFpa&_x@AG3#+|N4! zT+tv(V(uSt-8GhHU}BIXvHVsGqNF?*r6aRQSC$E3Oup4B!H;5TIYi>fp|ZV25A;U=FJF`;UxUg8OOa8m;@P{xw5eKGX9$KU7T_~64xFfsnGESMT9v}e{S zvCV-GKW;@`mPs+B8if;c!R3x+6p7PwZ3-t83;|1kGOA*8L#oz;B{|gI?+>QE~HIQk-lF24%axC><$Dbigq(2=$Hw@hu zL;YXFNEia~|4ozn-T#&JvM+|~nbho3Uihb7D@eOq|MaU&?pE+$zf%5-pF{rZ7tUCc z@SlE>A(JLF`PYMVj}u1ntnrV8*Sq8W;AfV5OoMADaWv>H8!m{SjR=68fqkf&e7yf5 z!uDVL1Q-If&+TuG*CdT6=d-(+{@2LRr^U~IB>$W^7-LD?|4tlA7>U+@r8&s|&m@sI zY%yTK920fWuXzzFqXEik*1?Rzk!v6Z;{R>?@EfmJOzyvzs+bq)fIlSS@3qQbA1m0y zXZ-hKy~Pmt^O-c)v!$aPX*19v&l+izye3rD4lfF|0jG5+U(D z_>pnm%=>GquD_iNqiu?H58H{^R11#w{EmdNn^r}{sdReEMW3*P0xV@ z2Rz4Gt`z2hkVkSb`9j6l%P*pLzb9hwHzwOXKIQn?yRa{AwZF0OvI&GY#eq*DVV43J zo71w^a^O2Gyh|weO>m%P8WlR+^M6CH~e>-*XzyB%5`ShvqSmK$4b(u z=Bl#6=-Ls#dNDzJjHZ2gD%`50dTE zS9t2mCJ#_^*K?%7BSISxM72I|ef!es{oEltaDo=US&*j``;saB=E?hY@G(+~2uJlzXjX9^5ka|=C)UF5 zCijlc;RSag`S_eORQk&z79*8WD^_Eb&O%lbm6w~kEO@mghTm}L!fjmG(=S*)@~10f z>{++u$ivMf)tBsW;WaR9C?_{Cz&TYWA+M+cukEbTwLiExJ?DDAcbL9$6x;a>`U7S8FfPyP6s$1&@l%!|0#<9Qmde#=KHDj zbcxN6iRO!Nh#)dMo0xoSAL1OwhCHdcd9jMnR_;=o2;Ic*jCI4sVUu%_D3Ks(P6nu1 zkPD}#m`-PQr(SgB9+?G`QM=TA#2Za&wHId5So{^1S@&rsVpzhPFFuE4Gt>m%SqaW% z8o206ZAud3O#kHES${1oZz8^}AqYa}Vv=EWXeQm@9XWP&(;rl510pmQ=7CL3(z@Lk z4+aOYp+kIcp>m$qdqf;AWR&1BO3^~kkzGPtl@Rh4P^EJ(HucUYEM;t>3|~qOP!N|G z@a(vsZx0u(o$kx>tcP0sN-VF!BR4m@m|<9`ewy+(<)(CQexYB zJ*Q$B0$(UU%TdIC4jcMix)!d9jISfB#S* zOY6+3AFbta!rRN#CiSukg+4^XtzW3!xuiW$St}q`yYJ^nIA5p+Ylwy_qwG&g${>vo zef;gt?0>>|m=x{Kl;b}VBY(U~9KmW2L;R75?SxjPi&9mrn)F><46!OB%*6xPG4>EI ztc7Kx6!F**%jjjo>t$k0r18MS{K`bPNvz+3udh_X+>?sP6ndkLcR4Y4o8&Ra!pi;G z%+Ok2gbI7Qis#IvktKKit>LLY6-^3n%5sw7dbOoafG13b9nvnU+7Xs=bg)sV=u$#=1CIz^0dM4mK6S7l_rmWshC-6=7C2JX6Vx?VEblPpDUen;$ z`zIJ~r8*+?hgka6(9235K+x1cj+dkS<3I%+RlcOSgG6W4wI$cli$t2yqE|A9 z85L^;q0VAz^LAQZAv38ZrS@S|5oyNl9eHCMJEN9GRwhC-znDC|maJ-WY`x%60=H+)aMfkuI^99izN7~ln?H8+ujN@!KeM*1Z z3i2(Svh+0Sp4pm_jJnTX;J^Kujg7EAka5&4rn3Yu`Y>oX*laJWk?{oYs`WHp^=w zpX#MApZZNEzjb@qI^q$iN=G;-$Nl=*_yLs<*KS!BLI!ZL4IyOQu>oQEHX5_1dQP(4 zoO!;;PY6cLE0+DTDCp3T<}&jBG-r+nX$~hJ(p+Qzh<+aaDv+c2$^w&_W~-$^BCaVV zP3QIZ)D&s*{(h9$7vG8Mm-OY?A6eDMevc$i4I2>QaoT0U>-Ep_e5x5V<(EIMu_88& zWSaQYv&o3&(EZ|ax@elapU*4&g0lED3{}z$^7=4hfV3rX>ydymA-fBsd;xCPbQP1? z636Z>ZY)fNV<2oc-JO@zZfC`&{|<#SA?dSyBqy{Ohn|<^1zP4yf#L+Y@W(+<+JfNT ze1`f4N`AwI_btJnBeS38Qd!|s0tI^kO1SvQi?T1Npv^ZjkPH?93J6I-z#6dlK#;aB zDn;NGl8|fhnWLM%CY3`GXM!f5DXSNTUO$`Tidh+ckl-L=>so`jG3r0=i1HLPYlu3e|jhOpZt#D0TVW zFKJ^6+)&ejo%}+U_RTZ}2)?3TlTA|S-*5NQNgapxBldp2h*(7i2-fzT_Ua?F=9!APjJb+3bk^Lh>A+)bkfKUx755t-WBwIuOr)W5LTY#X;$TkNn zh5jq#D#zh7X?N+lG6q6*pv^^TVeR zNK<8s48}rbMu9-|mJkRw8G`6Q5Yry6xG=LE3fibsF3qUVmWDsziu}d!U{GtOT)*^e z`isFJ!@WkO#=^G@bJv+N3_WRwteTtek+MkE9nPBXAUB!oLMOAdxW2L*+kv@Cz|#F? z#+VW;G!s5y12_88`7Nv#Lmmo%Sj$tL^2w$*m#8Qpl}C<_KE2_6ShRD$xbEi$|6p8Q zA~YekS7Y@?Z>ko`QANTMnOMJq%2Am`ek?og+m;v>q0Y3_o7-VfHt0HG?wHyc`lBs` zh(5`{aoVNCD015bV*K2Rh%{udfr(6R<{@rXWlXW^bv68=MHJNjzOp$CXo1wPj)c_5 zD}1hxppw?`UP^d7Vu>MaMC}SgOqa;lz%FH6k;|r+Khg;9&!aYTgS@qZ=1&Lf*=bd} z90aHtT@UImB)$lh-~2(|@Mgm^FWo{V83!5!VU>G+guWXQ#g1A-h}_Dc!HYL+k-4fK z@#J!==1)u5Rmlf@19xsZ5;Tt39MAS#Z8zRSIr3VGQpuIKR< zwzoBm*~Hg0go7Lfc?TIEH=+`9h?DbNR4Z*8q(84#+BC}V>y-!(jRvsLi3%YRA=?D6MS5_x(xFlQ zY_CK~XtWn8&!OjH@t#qB*8l2^^-83MMsuJOnUV60K=eEp=`X95HhLv|(TUCr>0OzZ zB!;vRQDhr2Inr58`X8HVqzLXDIVvLD*efAN738Rf95s-m7IFY}5g{Rirmo!0{#|@B zFgWli*Cf_@el7WE_)>~Pq9|K@uhgdB#q_U;5@r*TCHiMTYqiT2)Lp*uT;72Rj2^8F z3_#rvled>ym@N4gadUq6VCh&cxHJ~1Nh1sV!+BPk-TtpEn|qVv1O zA<>D?p;=VuLXxC_SB&5lvFASj^`y&j?%ty4L``Ux3A)fAsn- z?z`uu7tvSG3p=*O(9g>;sA`bMnX+nrz(23+@T`6^gscXI5d9&=LH!|d{6m6&CY7(m zJ}0z3{OfRg+4}(YKCqWwjdBX(M>XPJ#`877VIzR2zXy8@xabcdG8J0 zP35rVSVpt)d0^ch=UaZOQ}`*`$fb5IYHL)wABs!$Mk4wpU?<2k?r*5)qd3s&krVat zT$lja>qWra;s)W-CKwu*hzhdeL}X+_jhJLhWg7+C6K{v(xrj()@CV*v`UCsGJ;UA* zIQ^e&&Ofj%JOqZ^aEL?;|75@U1M4C@!(I>=TcjFz78m)0%OOJW*~@B7o~$6lVVVhpiZ#h>wXyQ&r#_=|aU^v_TxWMmV zge1a!u+|vF-ORRvBf5meHWkJv@MQ@4eJAkqm|E z$p8+bkq-M#uze4r^lyNdA*fV71X3%M;vR*d7M)0Bx={>SWHax5l6RlyDTV&WVZj3N zFy=E1wjWxd1;hu%i9?(Bq9w56v~}^A^eL#lipi#$2n{w`G}J6h%2G@`V%RLmdiaRL zt>h^iBI&fEQu1H&kT`L8gS_L5r7H2EY-V+XH+y)!7Wt7q!~4hI`*Z5r#=M>C+`*NX_5^w#z4n|i!4 z#Hyq{qmBHKKnr;f`{U{7)3LsSy~9%Z((}I6-Y<8HWGy4D{qALKH=yylo~7@`9;$in z!t!+4^7z*zgFpsq<%iH@jfM=}gn#x@Mvi}6N{xy6037_;O?fou`t}HALjSQ59+!6> z)&-=G%K8B<20lL-0k6gFqHF%;FJF(=PXD8r?96R%>;QNMZ-twQU0a2B$u6NK2lv>}LM&`p zbT|C)cd$O3Z7ASwt&lmRB?m^9O_lu^{jgwL0iXI~Y4|Q}&|qfe;JAvcYR_FEdB(l& z{AA{6c?pO&K=UY2}3-CerAl_jwj$Gn{fk$?$>ZP{X*y%lVCAkX?8L6)rUPPV@d z?mWpkJW`B2DB$+*Wm~j-7dR%$j}Sih09X~dpv?yQML;wHCE`lwke#dMXg^$aEifYQ zEQ&UEH`=#8ANikD-W|F!u_vN=siLJbr(DAoFIFZ?}&iTb*8XW0CnQai+hZUos{)^FmRm$peWh+#UUuht^R6hYyU^th6`)J1*vr&E`)OY$x}TI>O=%YP<+sI_j`>r>u5E2= zCdc}#(V2}(EdS9zo0kE|H$Tstmu0ESek}d8wma-N+i+LOhhv*isFawvOdHqdYBzaw z<8FOuZY5K|Q!?*Mt6zwm@o>m4?byA_E$y&GUXF|+>~2`#H0I6kK$+E_@4TL_RDwa* z@n$dXXeK#1xwB-u0*&I5C)5q>euUdW{l@QgtraKM-g1Yk?gFrDgwE=(Zj(d7@JX}x znx(Wzzpkt7`V9AugUh}yF+W6eG%qzH&~$p$o+%x=A*m+AJ$ReZp(ZhS!(OXPP{9aS zv~%VIA*LBaLM|Bl0@v;Br)lVyEy!(w4FTpdKg*_q4&{!i`!4*bS;$pXx5jmb`0Cq( z%X^cX6f=&ozpw`Nz$)}%sEB?x93^BMMmG0 zdC#D2rpe3UJ}19=w>fmP{E}vqSzJZJ?ylb4B2>ZbCEh~gU@{+aH>S=H=5dfQa)x^< z*|t>*{VSrf29*$`vwWP+A&mn6iu1Y1o_Vg@Nk>0}e5pWyA2L$JYu76_J_1Hr-}7*QSs`(g{h*7upF4Fz%`;ym;n_!kuNm5_qKhDPrl zj^!P+@efLdlnc(XAj6S8)yzPK_>+o3=w8=7D=!y*UrrOc8C_E07?$})CO6o>~K({%eSDAB94*BatR@U-GJh@UB*L0gwoZcZQXxc$|&Mit$;>ZKD#Eu2EJAqvB?t zt9=JCzwF(gpMx*<)^BNl2E)jAS{h_ z^CB1LyJT>`ASB!lf`k$Ogrh)^Fz<6X=TDgHnGFd$`~(uA?~AMPX_acC4dSU!QQMV2 zalXPOPa_&1?Tot!)`7g#jjHRn9!^eHmiNQg_hRI5H&O z{Tvs5j+Zy(>o%Mo(@QDStB!VCrlKAH_H}jjqj0b{>EEO2y8AJAHFIme9^U43Wn#OE z;&kQXcr^;y_|C=GMjTc7=)tdv*B_z8llGvf@iP`klQnZb$G%6a4B4}Y57TS`qKj_W z@GjG17s|5XaD}S@2Ytw5_htXYkxI+$+>CMC@Ps@6v0J=5?Z(BZMGM)zZnADS9^}tp zT)SMEBtQ9b8OX8HW*7DM=aruyuMM6<@~a@v+C%n4-f!+)Rdzla-~Rr7i_qxuBYE%X z!G-uFm7Cp%{|#wIbp?wYdh%YE9x*ppd(ymS_xb(h@tQHJY676#I>D05nUJjh*SupDE zW9Cx53jw0P$HVWxczB37e(L|Y8NTV&JHJoAKNUlY^{+;*YeL}fmmLBz9ox6tF& zzlyfeUJu)ew?6{^gCj|7lM93Xe=N-vQLI#FqLe%Zz!8TH(^SN4p-d`0B1K8TfLE5m z56i3Dil;QcDF&~Uh`-1+0YchLVn@|Fo={tmAFdvBh7)zs4S7T?>HpG7e21>025D<48E@$vkJz0RbFY6P?<01*AId=JuYo8)G zgRD}>66A0yiDz?xG(Y>!IlKti^gLpTUE`hO&?@d&-m$e_X0P@v-?B6{K->YL1x>XL zXjWgB1G)x^3+JeXRr#qJ=d!*C_T%5^1$k~5LgmsIwNJYe=}2t59bJz5(*AsGA2*&URNQdJ1{UP8#${5 zqea@Y`kB;r(n6B|XfrCDWl0Zos!(fX{+Dv- zH?07_cCND-VGTM~vxlJ`7)`>{v$t0YkYu^LAmuc0LYLMj2^|x0T@ku!DR6@7Id-V* z#m7PIfFib4&UeH8uF5~B^?v>S>$Ir)i`@$`lqZ~7xk&vaDv?MA2MA?Ft(6tdI6AzU zNpZZ#YSZYmg2d1<{)=hP`Lbvbh)^{1#h7p^KNu<@oDW3kHPwt`qQDHf8lN%>7?vVL z&8h=s2x=TPuZbIEbrrJsx!l8>f1IU_^=A6vrgfQOR!P$$k|ipFMZvVP@)*`&j(yjq zQMuuV?2d5%_VmowRE9!I3`UHVI+2<1InZKv(PesAN$YMEsnMtH zU5McP%pb76Qhyb9Ok**|bX0f&44*w1ajBV_%Ss;bFo{}MMKm2-Twg1V@_kC8)obK` z!AZrUVM9bA!c-sZOhvuNLpBe!5ZWho%N142;!rSzI~xFDTBxMui`Q8r&lE9_2o|zg z&rYQU!3+f`I;^POH(pLAVm3=$pqW)6;F_8l2)I;qioPGv?l>v+T0l_&I&GwiB-!Q+ zyULMSN!AN=y>TqqzUrZ8i^z(W?`;GebqPYSd1ITXW4sQGirDT4bJH1A$U;L(oenhZ z=;S-kmtZ>FoDcdqmSh$j%3YjE@6^xgH}H9GQHYGduln-u<5zck`Wfp+Vt3?Hok8SD zU6wGxYfo1uT`%ahu7(rT~;U12BM z@rbyTAyTLKT3eS6(zx+4U@nYs5NO*0QZjoS>58ZjO(Z9atY1X&G^?w6pZ-mvk~XeG zWsl_9;kh^G^%&_hK|zzwp8B;&B&mrlXD8FbBa(*-^LXPuG&H_ms zV`sjVz0jHY?|V&q9^rNR0|q&p$u5<@6fa`AoCVI?j}@V%817J?ibsPaina^o#~n5l zOR<#xFz?l-k$5r2n?|`yy96e3W2uA_h$?-*Ky8PPyX=NW>Vt4oySN_u;>n~5zuFL+ zlfsv_ynN$WnH$k^9=pq8UXLsrd>6c2qKChwm?uIncjO! zft<^emTN)Kc}6{WHe+q-2tVSj1V@Xg-#k~-+93u!;Sb|7_pN!+kx0Zi9bbF^Uavylq~~tHP2mN;wIV( z1t!dX7X$PC_&xPTe{fG4N)NC=OJylm7w<`4#p9WhK}H^NV0-qNyj>=#n1z& z14Et>cK;-L1B=X?Kju7eA`~VY2GTskw4jS2MNKAWbI^-l24`?Abc+OE7ftCctRnfv zuh~wyIXMSwFQlHY-mhD?w69rSxz4G(`){9EzTa<4PfJ(b`vC9f9Q$*7lNxM!6?7U% znt!FTgZCs(qbH>|oL!hPFQOj<_2=h|5wQF37s4(-=FfA>I2pJIqwMdy4yuh`VO{IC zQFt+YGxXR)wrhfcO(y}c03M(IPJDj$Usim{p$R5F#i=Wqljr@TFaq2y-KgWI@xkPB zO$hAQ=zI;$G(Z(@#Yg}6cK8CN9AsX&7fY}KpRy!rV|_Tg`3ZiKBAj4k20dA1xG@zF z{SDom20a#n5Dnszv1bU7yI?fkKX>=P8Wvuq*r^Op+^()@S?xFl;S#MD#)NRl{@L#m zbmD(@d9lC!jggpuR$od&fcHdX1U&)hI@eS8BN807mBEQJ)geEd zcr>97xpID2YE*gv!HQFBy$L0_L130TJ>h_U;ZYNCs*_%~I8IP|Df*8+5IYuIUI8Us zYriR%E`Y*T@xMSCPHVOnD~(<@-7)e%GJWhj@{Q>#6}H4+>Ke(!a;FjZ#y~B~!&K>m zFIj&CdqAxfX!TETN#Y!^WApxT9b1@`7Dhr!X&gs|)-OMXpaHy3e zf9Xwm@?#%^vKj}0&qisFoikwjfF{VuSTK_^t!FSsCg4Jl2*IJ-3=r+&`!p(GYxaqZ z{;W9FD{*P6;AY=R%|J7l7=0$dAPh7&6sX+4UYk3DZOsDaQiUg7z#vT%UYKx|Ehds^% zmbL6-P6`$UNi;{%$u@uXa-6AB;u0xiumOg|rV$*O1&XUgl-MOso=oWxX0HX(P}1yC zGw}@$)?=2Kk@*0A%tVP8#oYx4f-91KduE{4F=?fp2{bVMEU)7+xIS3aE;i-4QHjNe zhPGs{2&&1|?-29%4=QC=@4G(Wn*`CbgVO6(HRfFVz!~iZqhpl`_||>OT%$kx`~ckZ zVn-&_)EV5P9rr4Yp&`=;+q5IP!(c=20r*gN8Cz>!wxba0Xozilp6HjR0lcnql_}4C zM!RUU(I{#&#^9rWF-;cT&_wke)($CyIKkGqP(i%^z2rFL;y?GQ%?Mh7qv;CTN0I#y zT1XLNsIVOx^i2hHg8mK~TmZN-BLE6r&Y+&e@A+dNWdFm7nXRQsC^4Dx0TdG#TU5PycfBNpvPRwks#KJ@&uUvZ>|pjCTQuajEM6CK zPtCn;c}{!^!{6f&JM*q~N`FFcW%v`!2-@D z;8=^SVP+{G*2>eTHTM!ymM!4j=DCD$=C>Rj+H~jVl>NY4t1cJXUJkuBvRkwa)HYar zkBem3zZ9Ha`&*+5Kl>OKE7SN3Yb+V}@A)J6i)%htV$pon_3&5!MFVtazpfOcyNl|r zf(QTEs<{FyfpMpZa19{go9YVJ51na0_rW9xXnlfOb&4bkehDO@lkA~4U=cF;}29HaP*}v)0*I$X~oA0Ho11oB?u3x9FyS~=- z-JgZcb?+DJGu`?|JW@Y@c6{5>*-a-msXpmxmyfU4o9jF-*8%uH&3p@sD>*%%6Iw4# z#W~kUcGlLl{C4lI4@+9!kDJ6k(>*tq{=pc* zwAbfOR_n^G(SU0@ujd|{_m{iL58`1I$q+(Ceq>Ec(G%Faz3U zlA|YbgxP>+azm`~n zT+A{WgZc>(&fc+5n<3p4Cwfh(L#l`qp_VWf1w_U5Jt4H8 zqLioyPQ**97!F&Tl<{2wAEe-5m=4pMqy_k@AQHo|P+E-eTNq--kd;c~MJ9z^q7OiY zZ4QSj$E*_*Hmi>(rABvXZxB?{sMqHSlOt7}44WoZgpHuH#FNqvPs|XsF66Q_Z~z1w z5?OQ__KzU(B=K46*DF^KCSY{J$(zOU=IikgMUHTm>3Q!0vMCCDVPe(E5jnm-@UAsq zR&NpByZiln?K=0a4jFoHN0oS8_Q{Nzd>YNZk$pM^}Um+FZn)_ z@Z(p_-VBq&?y?m|@kI zyw}l;HTIGH$EBX>5^&C5!klE$J2kU2vgeJCrhXp7syMv5mM3#d^Xcj18`eqhL&+ys zTf!=OWi$8-v1%oy2>VrfS4oO{))h&Mu~TY@1e!}2=robb-}9hl3*@ePN&qrpYG8-w zhMs38a#uQnKLoH5Ki4^OCj~OsVo44THfxOt&*(AJw75APK8lvxe4UaAFAZ^RGb(9S zQ*?!M^fm24#UFIh4G8sS9%dv}%1LSs$OgIvj?my!ib2p0_KOb&l)<+564xl$6C>RB zEiH?USZp^{_?hKX*E!|1GXRC{O4q{Iz8~L>xXB@a+Ts=2^z%V)r3FIV3B-M#6WO$+ zoKvB@x!80+sOi2A{ZDhj^N*IO!To+jMj+d?+`%r5rMP8A<}f6U<;!YAlh~J?*tF1p zUId%e^i^f++L1n)oIQpq#B9?vL!&f~2rFM(^gDWrjlCo7B3r!~E=I%c%HB=br5mrh z2f(GXpvC3?;H7Ii$rkvruz=M`Cc3?Qc?G;E_0m#@39{0=N*MyDfKtt#WeH6dc+Yh4 zK>iisK;M&sejuxl|IOkk2P9T$xc!3(_W~vItKcO{>LUQ+sB=)Q39swau*VX?48;g% zrex@0YNi%v<*Q{U6lkTx87SE!ARP|i58$5`9+jFDJcB&lFLEBa2)uLY-WMkYNaLK~0+<_9>CryKSXO)OtD*rbxV-0;|>#V#+QX zj-(*F#_K*DGs&TY!xZFU^ zGV1+Mfc!k2Qt-_BJv{lj(9^1T^XLLpW{?H=#z#@vkJ0||hbhrjBitba;Qda1-oSK` zh4`?!TY-I+-=#pkEbi0oys92tBVQE{Z<4IZM7K)VA91g#$8(74t9n^Iq;T?=F;_GK zi-C-Xp%~OzDuO`uBw{y_C%iQ{7VD)8_i1vx=h~oEI z4&-$grFsi>+RCDSndPek`Z++|e5uJ-)`w-8KQfFivK83k8t|pRX%|_}NH?tb`7uWeB{V?F-xw5RtA$SdA!-Ec5!YQ=5dOWI2{7U#SSP7cB&4#?8 zU~I(k{QipuowQd^pYkvb1!B=p@@p-c;wTjS1It<~w^z{O?eQ@N09L6PZz%q^3YGMG zU02>JWt%zzyyoxj5`yp^)D?@a?aGa@@C4nS6S*z$su2zeh=^72eDJ{No_EZ95wdIpQ_lkx!Fxs=!7Sr1Wp$+u`MpY()`-LVs5v}MB9s4PzB!nW_-$dPB5Gj`Q? zr5jnUmI0(54#dq7Y;;#cYYKY0dx#H1c*o=WRYNVvk}9t+aUg?#mkjxTjjEyk1VoN$ zR#b_SBXI;ZyokYo@a~6+9Fc;!H9x|8DE$cl${ZxFZsKQt3gK4H)CIa`ECL~Z=&pxx zP;HUaqVp?qT_QwsppZaLo!yR*<~+FUZv5V%WCUP*VEUSeAO?yLVqZ-WW}uGzqAcsT z3@FCUdrBux>vEwWwYI}(ca#0U=kF^`6EWwgrp5OnGPttVaXWQc>z6{j-A4|(4)$mO z6_1_RAIe(q%U78t4=v5V8wC4USdDPv0oc$OCa4h|`ZdD%fzr2H!M74K18Oo3g6Mm( z5R@wR^ee|nC+b`+#byH$q(Ia?nZ+mUH{__R3{ni0o-N6dp@+T$7WDZXqTUC2_D#k- z-(FKTxZHOkNm=7}`_92C!oF9*2fS|p?4~Y0Dn!}vg3M0Pm+5{}CTvFYqBFOew?n75 z31E;J$6yM|S4%4KChdHRH+CN|vAg_XO%At;my1Nct-ZzZzz(?i$*J7nP{ z5ViWGlWYfylDmn*FuJuGsbEs4;EE_C)gscYZbOJ^)g=la9`P_#@yhwf)fhX(E2t!n zp4*2~{tm;|{Mn8@0z3q}E|PUHcS~>d#R_ zToja7DPJcVo9fZ|JO!xlJ2jFDN4BAaets1HQibVf5O z{rkwYNRdu+T;77M-h*`SDfh^r8CG!(B2+v|p~=zIvIjFd(*2#8JW)nhUQg?_#tz%@ zIINE*H;H#&0Xj=7^BtFfBbJaFMo?EnZq4(L$wjg5r!ep4l#3n=IjgM>rfZv8dl)CJ zQzRVk-Um-dIBky#;~Id6l`4q+KjI!k{Ewv%jKV7RN(?960O!!K3X|nWqKsXc<7X{} zh?f(;`QdH>76z0RDwfw9@KX$3?clGuVr~f|IhjQj$61Zkb;ux~R`WXZsa`-YsX}eg z#iIRCOoKfIWT*YM*IBzcy)2Npd3JaR%$X_epS61IfX(-aUP)wW;0#f^dc(#)N_?dH zzskRdQh)l9_i+Fi2CrM(O&zl9nNdP2i^oNtgI=;if|f=4xG~pB1*=Dg&LQnEMkvjT zaABtfTXNw@3Id4$ATq!xjO_%gheo_}6xw^{*K{*TdgJV<~`LwxS+kk@iQP*HV6dTpZ(a8FWtBTw({ zt86V%=MXNkj^$iquk5nWd$fj)%RepwII`qds(Q<;KPf$x+gQBUVAs4?0}Y8>63IFG z-RQMk5cWs|(aUN_+gU)Y5zN}Xx#YRY@DL)7{bh>;amC1^CEBbX7m3~9Ypp)yJuhZPm@)MtJb+We;c)7Wo(^7DQp13Zl;#+1_KE=pNb$u*X?di}N zu1Rs;1G8DaS02=1p~+v{Z_h~{=6xSvvyaV{S_d2ei2iu*+RBxU<^<>otEt=Pj$6$i z&~>`wBw!+%o!@+HT}S)=1!rgjj<+4uUpVgrS}t(9g4j$%gN%BG>|Lqcgcr5;vIY@r zZLZlJ3A;O0J=SFtUiI?JN#Dfa9gpEKuB5x1zJ<@4Yd;MC^pxU%S`RmIazLU*nPxr& z^#ugrY6Hd26K(--;{C}ES0jPP?$*f7dvWv}Q+>L!aJjqt#N>&1tL0!lul;9lif2AK z<$Pwk;kaa^V+c|NvX6h$89|EXEjYlm#5cj`T)2O3_(qgQ(YZmd9y;Fkk+IjH154P7 z>-}cpAp(pV4QNBp;*}~IZ%TFk6dXhAc*qAJZ6}XV46+6MpIXR@n_T`PM%9naz_t|L zb9@IC3?RL8Mdq6sGfFI>vxb(qYOIs~uqN{hE4c0(Xlr;5h*@>Be*N-K?S`LamBONN z=*ZOys49FpmI-nHInGr%{wZihtacwcr44S7qB(RFR%b;a-(gZOU7B-;c1gr|o?IEg zUSHZ=qFkpYElwP*;@@LaY`{U&{9w^pzK9{>cyfhbmP^8AEatr83}&)4&JWLkRv<$< zk_4s7;ieX|Drq_Icb!?C^QXECQ{9rE)EXawno7QwL@V_CSgUcj0H_#?RDfh{ZP(Tp zC@&jn5#4*wVY8Fz*0EKIYFdJo$)pW{q)7pIIhNt0cq}!dS*eV3iOk*8gW5yhSi7+D zh>A&=>jA0}+ni7Xy5-y*{(Nro`|I`DhL$~NYz~}nOHHmn{J&}WDN+-e>H`&3^PGZj z4#-S}I$xOfBvqb1dDmvLP?xsR%a5Vg(2T_x=Z_V@^p?WP zZ(e!gDBqqqW){=k1l$Y3Hk`dqnf|9{6x{B=RN~A`?BCfwdJ>Je?3V!t4XT1j3M5et zhO+3AVHjF>>?{m>E#HRuUEA%hTqe7vajsGI&U_xnc6O(gR-iyTOn5cOr5?HsLxa5r8}VW7fgc@ulhw1XG519Z1nued`gKxgFkE zvCs>~yF`oH;I!Q41!*m7vpJZClA=x|ea%dv@(kXR2dS(GW{+Pil7LP#RH?efFKocg zRpRiOXr-c4wi2sx>34{$#y{P#V%R;OSm@Eb4z?;-ahsuWsBEIFz~BiG$eM>y!)_c0 zv=?k;SZL5CmDB3q7>s#~5=c|M)}$eO5AM^pXL~*cW$hr+1+zkl&%N%9;+1f=_kx#kG z)!L%Xvl0%T>f)mvy^_n>&`Y7ii#Ggz3lWP;B$fF!>-|tlJ2iVshs;O#<^tZl;V}T`fq4}AaA>S~egK4)j z5f|HOb{tV;Xq`s;_SQh9jG~4RkKmzwpp!`6XI}1@7Ws+Vll3l7C9- zAz{v2a1sTSh;zx>3z#CnAo?yWpr5`RX6gHW z=`9APy87NEm;x>}?KFXSUYz_-pcD5MyhHHpt<9N8_1RwX1LU>>X0o4 zo=PPPs%L=3>vo-NQqUxwacAGtoYDBo+vxF_JLY+^M5NN)ECEm;wAJd(h6V<^f zc8=>7WZ=fktwG_GfNy!cPayG^nC`*Q^993t_ZATaZfecF&@Ti|&@=OE9|k17G9T~L z0JtKIU&H|w`yR?Vwg9yF>}9plw&Yn{Du@LB-vEHbsc6poY?2St{=r&5l((wH6|sGI z9Dr;$2IC{2*uMgr#lq*PnkA(bwZ)w>sL7cysv%b!52E)mflk zOkSZ-Wiq5wSOt^Blo9wXvOeb_Of>`AjZFs{t6Rjgx4vKhk+c%2W$$gLi+k4>VC_#&?P87Ay#j-cdrflM*6 zc@malQ)uPv@fJ(u*Vf{0n_D+z;~ti){KJ0?9j(q@|M*R#z(lPMpGOaSL?`#-ZYNA6 z3Kjq5y9%r*59uOUOgxVkw%qhh@z59DZ=eInd?dHDOha}S0&xQVLy zUb6VVy$m}3Xt4sT{)_0B+@}U7p~Xwa>b<>Ep@*9tk3o=k;3<{HG3+=2|_84iHn z(vC?i5A2u9^$q96p9}oiTxUL$%UE5fxQdJ#Rr#yWts-D%2hjQeH<3_B#%SYlGnmDd1y`g z#%rUpr7m2;m2dZ9hpqH#VTjosq*A~L6#JGTYfBl2Z-sTw`bW3}>pfsUTMo;&Az9D@ z4EtNgVzyyM<>}ZpOEg-MG|zx{x>C4HB9AM!5rIeNdQ$|(*Q$WwrRgKTlH<624%Nws z7K%q{do-i%k=zIv{MA4xc?W3;QX_v{T!;oqrU;k@{M;AaK3e|qDP!z!VIVHb#U8!s7;BQQfIYeiSO+oWp3|eR6y(;^xrWLJBF87$E`fF*X5x|13mwV*`HC z2{!6;d|umJr6EO&fLW}wssPY_Wqj{V)Cg}!CjX-Qo7(z<_6CuH(h&_EgwRw$a=Rq0 zVE`CEi9^xw1IcBnORsNBG3vfm*$syM;#JV`&KpG|YQPb8j7zFg>8h$ZjKGDed zOd@i;XVf`JKPoAkAn9{kJA*kbyrYb8fcYX2PG@ zP_LKn4Gp*b&awa385VG!^Wlx3>E_jhsn$h(o@Z0)mgo#73Irdu8BkUN!$E^+A@EPg zFtp2jYRad+dL&kjcdaTl-iqO{uXYq+hzi*(mYzufbMiHeOd^+lfC+cmW>;PH88Kct zVm`M7A6nT_(68IbP8VaO?Ym;mp`{=DyM5EtcimigYJ3&&Isi)k>%Z8WfB~r$-MPWgzJoyn;`~zP#WB*)!iCrMGj+{f_BW{@~jD%9*9OnPAA60Q47$0(hd~2Sh$Yu(ss(=AmW9sRgeh3m4fv}SUypg?^YnC?xZy*7 zGJ^MRD#)}2IftsU4fo(Lz!gAjz-~)Dhnqa9%+)n%Z?DP&!K;g(P%ED$4nan8e?jgtS1<(@Vh;Z zpKIFWNdW!}Lu!l1k>GN-i7%p=1}z@@TrVXBxr<#aip{dw6P1@xLK{>bB>)1)dK%tZ0 z<5v3*KNk9Qe~IXuVJxnGUy}R0#fM1Ns&T&z=Df5gJx4{oLaU-)Q-Le>&;6~J{zET0 zLjou#kFjemo+Lm_K~E1h673D@CSvR8#_>Kc=yU=Tk_~4ZLSZ8_=w-9Zs=5AceJE|! zhF6LDj4Z_?(qyB~c^7V_0HOFhh6;`a*|2J-A`#3tEVV>QXV|H&q?LL0680EDdI4JZ zXObEfA}C#Cd4b*9{O1EKjYcN8v;@PIq!pk5+`oE|1Nvz79AY8S+gQ|V?d~ZyVJAqb zq;H6|;(oye1kz=5f9Tmn{HLM>IO18#V{;tLg3njTJB6~2{ID$E#gjqNqf($jQTs+t z7#>9lL=YrWIds41ONNa^0)l_LTnD|8dsMWX`wQPw<5(!%@ZcVLr7%vvZJzl9UIjof z`GO(W^*61^y!Y>nc_IAlwy{3YKFWp!2d$G0cgfax>GBE`<`99i*AXkWahsP&OE#z% zU!v?#SVwh0$s%Y{9p3yf#-O7P9@8o zvD5%bEM|t6y`l+STmPrjpoTkPpkWT+BjHBHnz!AC1}T0TSBs=k{vG0j=_hrfK8Zzc zQi953c9Y*jF^m?0p*%4vgQzdU5yZrScsA;5sqmRx&IhPTtsmX=Zz8eESlVlzUcRdTvfWvnGdN;{of~mOP<7l*KTxX z!d}}y$Bhl=%XL4LX6UJ6s+31{;Svq4`1(;MrWF3+>~R+f<^P#-S;`@~l|OHCn#GXQ zANUV~c5xBZtNqY@6m&NCl!j1EdaYKOrwT{6^T>QmHYYbmQzj|78uEny(ABP-PGxUxGWWj` z&200@bzLeTR9mp1fafn2C5}V{O%nB=U6^{DMx^Fe5$rXE#O!Yr;-udQ30HsoEvC01ZVCIrN~ zc056m3nOh-ZcZ&`S#+y^@@zP3CVFb<;RKA8wP*EocN`qQlJHaDM3nl7%{~_g|Ney? zD4DWqdZW%cAo4hD$3JgR@y_>!8;dp-x6@4~;K}=6HId5Yy`U^Gcap%R!T7=SoC`O0 zqhQ^ig+b!$02uq5wCoRneXX^joviJY*2A?Q@LwnLZecL=+nEK1~-8i{0ZOzTFvk=uo%%V$n1UI?!uqYug<0mHh2`w!Tr z4@(Dx~o->vbNRPE~#No0l8eQ2W6{ZCckw#-J!w#l@^a<`BVdTNT z?i(q}h5JVv5h&@vhueHv4_%L!q0XytxCD2>aBE;tx%*e(R6;C~nHgR)SY}3Cd4Vdu zP7$K16JoBnQD~jz$&J!NXFQz>5Mn|4sntTHrTu5;qa9>&3b7EgA0EJ51Y0oVBsA6G z2a@wvZTkXXqMUZA83YpZe<(-&JdkbR!k3s5XfB zu$;P`WsI}#IoaN7JjXTOIhVh2cJRjQ>+Kvf#o)aa>@R5hlB0az!oBI%lLl~lLD#HP zr{WFV^mSI08{nN*nGZI?1e`DLP5IImyg6QfR-%WS0XUkl-0=@YbM+Co0th`|F1?r~Py6M69? zf2i|fPaQt+NoABp(A-vl>vPRO`q6&n1PPbWT(U(F`9W2i^4Lz(Eb&)G_}D^?fri-r zI%WF*irQL`Ny$W@%_CCx-e&*zM9?q(3{?Uk`+WM?y5x?pYJ|`!MP~#ngD1ur#A|;P zUc5Da^QL(GE0zK%UP|2qLi4x0S|h<{xHz!qo>5z{trOyL1tNw=bztek%@+chun1;z zW`>(Ue|FxV@2?(K!}Tb7o_lt-e6C`Q_@f>jgkXbG&iBdH1!bJ_I#!yFtW9CEPSgj8 zQls?GDurE*;S5}>e}d3lEbqHm{TaWC#Rw1fq&d9UTvWsHAst$)i>9#CC|20m;q}f z%AX}9+GBcuvNyNvQSyvWPh`vMCav}V_Z;XeYN%tB17^Xa3^(SL6qagj5_<#?JM|d< znqeaucZF(M+SHPrVvhjHj#u8F#Z(RkRh0aEYn>I%6QnN|rP%pZoQ=S<4nohSVj(Dl zamhx#9kt?q!Gqkkl>AA8Fg=ATouUeS7Cp)c zKnDifx)DQ|dtk*7$eEC+kfI+D#+kc?lnYEmjd^@Old(T{T#mgy5s?NYRCjz=+aa(D zn3F&Lo;e&2z~Jw|U@gIIa78vaUqQh1c7!p0N%m-NaeV!HSlXXrMN;T;)Dtq3Y>luq zG_p+{D$wj%=yidU*u?~=3m_6tUD-mRIpa2MMMDkHdkuN#PV7ca=z)_ zlaqBcr5wcrYCukIs<>T*jy8t^i)74(%3Auz)h~ERGR&n5wB8By;-PS1hcLTVw=N)J zBJn5WAEItQlDs-(4qStfd!1Vk`Hla<%p_k)DODkJOv#dJ{qDLL3(()%0k=_9k?aK7 zdq9>N)GvT)`6vJ)a|P=*sZ)csWP~|$jKZ)z$Ewq5KoLXO2Oec=Y{8X zy3bePnK41X(PM5E_U98zIQlb*JqMys$-$eVN#~3#`{5QjgEhNE@KrwJ2bgDd+^gVkFrJf3qnaFQSn^1n~E;KQks5MZO;{Ify=0x(dP)?BY z?$qL%qHO}I;KH<|#r;zle7NQa6K^ZK9+BP>B_;FHK0ri_)LUM0J`&RF{)+Eb#FeYWRZm|pK?cA$0!f)mSC>6rZQ^P5E{hh1I`Z|92iI9CS@ z-M)wZ9Z`68i^h_s+qFVCC*OA`+-T;8iZu^_H3`0`nKB)D%9clf)snmdy|O7l{dM&Ym46j%L`8G46AFx?y1jVU-bJ=8sW zwOH5b(gmM;Ve{^Nrud$aU!nm6PW8v#8$@_2U~@RZfg+uW!y|sBV<=SC8x$%|>qHK) zNls@1uNqS}3={O;neb-qomX(c4YIHdV#@`WRtpMtohNabYP9kGnTQ7v9H_`1$h-fYizKqm-3Di^wrnhm;lC7XJV#na-+HHTfQW( zJm5$v&33h*dS(K zS2pBqN42PH4YHV7ihfw^fTz5V=ac9e^C;S;5fGt)Et(_5_0qUY@mf)C+;=lVenue# z1i5!K;O?b4GSo}b`c#@@k6f%j5Dm6Xb8?aO zvE$)qqpW@U$~?`4$bgN%9&?nKPokdFosGFXUYUpywHA~%BA$uZjsYK_=e;p$U7%_8 zFacF8p_B@=_n@V|V33rbOJqsgH*1(6B2Iu1-_l)jWaQ3p!?dsey$nu?hr}2#1_48{ z8&MV4(&&hG8${^ya4PLr=WHEg5TGuagov7u^TDHKP$d$-#($mbXZ`zRO}|B_Cd+nL zdkhb2qoz4raD+TMEj|J8iYO)H{WC&zZ21@X>H1tbi}g#z!Cc|HGdV}5FtcbWA+vTp zJzEw_&0U~@?%NJsBXb)80BrX{)e!!=%qSN_)Guu_yVMgEGmoDjBsPypFc?orDf&qt z2Afha$KgwA09+vTWT3~fGqem>zr2%p1e*R9i9QCz@1*ErmBawJ+y<9I8`(dC96*#q4y!Tkm2^8o&v(0WO32`m{0qwPV!LWiYiTb24QaP@icN7 zo|5yZ=er3W5^dq5z=Xb|Oy$Z6IJ-uZ&Qa`xM0SRWW%u!bxnV)cCD-VyN%`U2TjI*( zy`%!k?$ZH1kajgao{oPe*sqdXTppsElJ&jQ7iVDP!onn#cz(t~z|Z)^RXaCH+GY%Y zF>e(P@wIX~7@a42pTNbsg|(7IRQ-yG^Ruh0Ht-FXXvcUrJ&M0G#vg831*OHmlHV#i z^+oUBjpVpNtiDhGg07;+1h8=n9yQYo!IhFu)kFe9kZ%qLa2_JNtV^lI%t@Y@sF{15 zuo|2&Q`o>&?9Sw9vz%PNNyrZq{2YI#hoeMExV-2=A_{jSEB8jHyXMq1B z#QqRT-7w#k2)iN)H&$X(SI-KYqC7)*4AcF*{%K7op!$U`%$?Sdm_cV=&=Rg%+OF2| zmy!k~AGD}02_Sj#xXC(CMOe!Z`0QI{)nZ*}WiC%Oe^(%71-u3O4D`aos$G&Y4vN-o zHc~Xpl#Lp^$eALw*YSyheOAJHAimB?5_9GLzA&fuvwqne#jm~<_$d0D{|BWnv3+K) zOAr5Pz-qF|1f)kukvV}&<2#tuU#IpWQc&J)6JP*9?@Y88j>a=VLW=LiD|&jG=l6isO?*R zpG}a8PJ6vHJGDnJ2kpi+ns~S!^2mXRExxlkVqedekz$!V${SnrEP1DRw-9qEzvjQJ z2ojB4e?mx()4*lRRwL3F^*@{Ivq=N4v=I>68v~}Rm4vDY)wYqDJSND=&aXT{snznD zG^SP(GZowmV*&*g15lb@&V8?lt5)lHI{Za|aC3J_n&QahJ`AVaDS(D8Ko7~*$e7^3 zvPi%(kV&9$ag1i;|8Rvhp&JWE4)fZ7R`eI>qRNrZD)C6^_po9+pi&Jqw{-wyGbwY~ z+STNXeE3_w3Jb|A!VQ;o4V*s+m(>)dhs6NQ>+92ldr|Li z{_b56AnU)f!cL5OL&-U!4FBgXI=-N6{rm6FKnig`c!+U+M?5ol0PU}AMjR_Fdb@DE zeWbM_6=$B5(zNS|%8DhO+X(}}lM*d~u7XL+CO8ltYRfEiMlo)Pi$*qOl;fc#I|j9Y+I8|Y}>Y-NhY?Fi8-5hzTMh= zxBuzxb5B2gtLt{vt?qk%50mhr&I^*M!=Tbrq)z7C1us%)F}A-zb85KWmp1G{>K@t8 z@^CRtC_pwFzO`3m(Wca!?Wa`}h!~&}j)=YDg+@LsqWq#DDBwIv%JG`OsVnhnPRD6) z(-k5#1{O`bXnI zxCnRZRBL(0rR}_Gv+yt01ahEXJNH}&-%@hlptMjz;bn-yTT3r9^vX>J#d zArZvcEa6e`G}F_7S|Ska(ms3yd;=jUMhYHj>by!c$hoS(Za%m$vqJYh z3UX@m*OFjn!DR4VQ=iRV84V0vZ4$QI{@-tAX|9@xGfVL(%qg+u1sw9CHFE-z z1ZVK?SA0o?cDz~3zmRRr^n)wvaPnNX38awqRrMh=>-x;p zA8H;>Hdiuf0uv=bdMAGX++iL}{**G9QX72if(5Ui#cE`qW`-=TKb_Q~xQ1VZ3odH> zCoUr0YoGLqd@hxoR?je=XZn$G6+V_9-fSgS!lp!!Smd_em*Lt&R~2lB3B%N3mqv!D z8h_PH4wDr%w#?F$=jI^S(RNg9>Z59wp{Nv*P~Vq}!e^$^80V+}!RKD2EL|@<>0C(B z7Sow$NA}cW>$Xsdsi4 zNzfphq?f&`B~pL0{kx06B{(bkT&MI~UM4c|RL+)`8BydRI-wl?5Z{g0I+~`eE2<;x z`^!Lh;2DfNrB_R2&zVgQ=S4SGgYcgvS#4!yA~Ke99l%4{tk3(aOuUAv%~hK{8p@jG zSH@PlaFFdmN^C+O7iEKGEt;_M8c`nb~ zS&5l}3On-bvxo z{*IXV>p4sY*@?5OTSd(07{e*Q`@TK~aceqvGi-BR-*<0`z@VyMcooF^3t0w@x=K^R&*_o84}|#uEUI z0lIl-r#JH{+_fR6<6vTk@IkJ@)Nw)Xe!%nHZ%L*Z?A|Jer%2vk@JHd&+DSw9itbP6 z{s4GgNo7B(NZG%?KN)4-;(3XWYS^qyy(|PK@yna9UEuRCFm97@J3XsQqk^xHDJ``L zQ>5`FoicT(yr+Ee%n9hXC`AH!j76KbgB~(21r9`DMan~SoF5;|U|Nvj)y{c5fdDC^ zStaY9h~T>mcbwG@riB*H`v^8$$D8ZRi%s4&T^Xixa+lVbtyO_9mWQ4yBg@@yf{7niWb1G%XM0(Wx$5roK62m3EC`LM1YN+B2zC5JQ9(=KEz7`I zE*F;Sf~G`uM)%=o_!{wZWhAEp$bo6^+yRGr6IuzAy4%&vNhS2EOlm0ewNS2!R$B8k z7)FZk5{HlA?-2DHMs1M{;JVsda^`%LIf6T3ypvkrnUmR(9MgRCn&1XXOHD%d4WGma z%w0%on@>~%uCC8`LYTkvh96|B3Ch!pT$`((Ybbkux$3e@oR1B6p@v*{o&we#IVzW= z9UUod(Z5@~>tKE1$ERBc?}+ay6fcbsil8p6>+&-Yz}VWnQfPsv>wNe+xV);Gv_cN8j^|V+8&w)q@pJ(JU+B?3--oPs&i5U{qRj z+K((_+)wet_u8-byO9?_(DY+=q#3CUR_!>ykssLNGb})+@O6ht^6E5WKtXxW*qX!3my;;cFqE~v33%Vt5x`o{BZ!ZLJ&C! z@b?*mQlf%r?Vq(@^~;^(eapDzyvUn+O6G3GnU`P^uuacWN(P4i*iZ_Fvc$kJ!v+44 zFSC$b+=I{_A9~22=;`%`tFN5*ni@yZ?=NynptFiya41P>2(%4_!a&_*jCJDU^eF6q zHcC=T0Y%^x3vv`_BX%N8XKN`ofjHcCQYqsq6=A-tciK)$^wyo)n7=k?F#SGN!!Y;o zTh1|DYkx;eO$LCXvsr1#ETbuu^bDUDIX3Bv4oJAtZt{LL(cm-S=R>Cvo;#=Ff;MoD zVJojRZ%Ww%g-oyFSWmh;%Y5h7*i04vYJkL~faNHxG_qW8B`tFm>$6oyLmxPr4=kM* zDL8SWkNOE*2?RX?bhno0Ul!vp@1&_lUrB7owhO>RjDhN2_9TH)8=BBl$Xh+KT1ro& zvu<^;kRSdAi!%7Hk&#jBq$Q^$)V!e?>uT(#ytAx&4f5Yk-sJ-h;(Mlx>DZfAhuPj5 z0-d4?5JpzaTE2{-I%dE#Evu=z-C*Rb_T^O%{LYUtqSH{2(++u0%x+s}+SX7dcLlY+ z3B+9jF9mj&F+-p}z;s`y3lYf0@f)Tv?(Lj*vXe1bS(jEXy^dD7-LHWAxoFh6)G(b- zvkArQa7zMoP4$$IgzD(2(DgtC+}JU4p-otkH-I=?sxGh8&%+u`UGsB^PSSMEH!Jwow`&E_gZ_%ISuW+=ZWer_M?^S-c8x68Kx>xW83x=8B%&S< zqMwX`J@^EFJd8Yk8%HhNycW`xAu(QIiXI(yV??P>{ zC0A+E-w>So1K9Os16QvtjthE3oRIZZd~W<#Gc?khO$lr|aUEzAhA6DhlCN0$LEi1` zQU`BN8lrw@_iwOX)4px&eJz@%Y0n7gN23sh$Ns!t@4-2rxk-nYbT{ z7dLE?hH~TUiARsS+>LJT>Vj+a%N$DU>Bu0DM?(Ye?XUDfexik|KiFeTj{QCg&AP8O zmQ}R-7OXH%O^j4eb$!%bxiSvIyAFBX%Ig@7nbJsOGa?l>$*5!gFM4siEl-JJe?alL zNOP^;J)T{69d`33#CL1Am<7U=Egkve5w$XkPQ9Keec29~-3?VCO`{m?9Cda!>86Ty zN>zsz+PnyHeON~xOB8hINM;lab>7+a8!E}SAukVOrke%@$g|j*R}w`p9^*k zEqpO}tVM&cc>R`ss%%$~vQumjUm)ckQur6Ya%#X_72>HgA6I_n{07N%{=QvX6@gA^ z^J#Qb3&MgBD3A}0SZZL!Yyj#ylXc|Xm~>hx?#T0(bLNs(P(@%Blh^?t{-Qg|Ac)W3 z{STiqlt2Zh@e%jB!RSt&s{g90%zR`nxc4l}&lAVcmSU7AxSrEZxADo{0KiCKy2mdU-3OR*EXWNFs(hlNKYAM9r5cM$Apux@Y(1QBqRX0={M9 zXFN*}o3PRe+NfXKwyZw7Q-IJ|aT&#r;X${9LM#gHKRgPr?zdpr2PZ?37o9+$BjH6tYI?~3PCOAiz9XIS{jg%|Tj z7Ya4p5{xHJ>w~;C#<)z9p`Lha8qM!FL)shkP&+vGG_--MYVLZv6xxwa z+NuW}*j}xHAycR9))OtzB7(Ft!>W%|BHQ*g{>%1GIkCYX8wo*>= zMvVpaRxX;;f$X^ExE^EPXCd-QpflB=2JDFSYNt)V_MtEYSJVlPGub zmFQt=haSZiQ*QL8E+p!>=~T-hHTe+1BQf!JWui*I7vPMdyp8Dc!jWzKH`F$}o1@^Q zBNwXmu6{;jLT_Z40;^H>DlHH$z7Cp9+7aaWncGj%4N}V2d+qAlo^e|~K!z1cH1k;i zwT;Zm|MX%UA5(ALls%y-;j)?1f#hK*`fplP=ha{Z#t`JnA1`lm#i^dqaJMJ1QonbR zWmz$kjt7zmQZFoL!%PM?;*wDV_V-sb!tXa&yMSS{M@-XNfqYc|`cu+g+eKLCjZwu6}0wp#*&ur(8i@p&!--<|z+3|i3 zc|hX#0|w#@HQw!9o|6!9goxj*>piye(bSfbm0Wh2TkbhP1{d)J{&VX332W#DLpB~cr*TbP3*^AX5d6Ag-qGok-D z_gVe+t2}=WPP{bA?q=%qpHnk>m0#0xhrr){dR>60M3geW#*NH-!CcJTetlY3d;IY_ zWh4#l2XZ_Uv?0~KW>LKIt_(M0HOoRmE7A}~g%=2EDY*=~00et+QtsOhMvts7VS4nR zzhZ}%sagURqUq#TQudb{9v}4u8`tQ&Yy$q|K6YEa$dkPLk?KEvIWwL0WS3d{UH~Kd zO%jOt-2n>vV4L~~s1HrhK}TvZSJY}Ti}(6gxBNOr`8|E&!&p_5Se}Zgj3+jDV7ub( zx7AWio(TNbOP1>01?tu+M7f9cQq}I5iM7wrH<*d>X&ecjM!{Y~_CF5y*kXup_G}9# z9WkouQiVCc_6BVi4>RDC2Oyi;r2%*b;$!JRPA8GSBA)DIt+Iu0!{dI4+8J^Eg)<7K z{w6gIR~w#wk4`fwdetvIB}V)C^6dVxbcf+(e$4oeUuvwqem4JvcF{phs3seMb$nN& zRka&;B1x6Z8G}r{Ff)j^3EqQoJKuXwJ~0?uT%(Mle6cupP$@2sd4;$MM+cm*LG^^j zZ_7cEk*=65)R8f;z-8)y)?lJ4({V7Cg8RD+G1BY}vLJK)>DL09w&|s*yIo8uL1(FiBuZ;M97URM`%C36$ul{>c=e ziY-`9R#4z;PO}rmqTQEZUk2{CA|+W~;6pQA_H4Pk=na~YtX7Il=lTWSCCbm8KgMZ^ zquU^K^Xerk;c8>_dPU*Rxka~Y;S5-jQfl$3*arsVocXU0_?}Gy>5=%Tarig4x9(48<`|L*8lkN5T%gSG%R=yfELZ!w={3BrHIHi1Eg&9?z|GqKIz z*eD=7g>2{pjj-g7SnuB+$=Q(DTK|G96s|}k`6Qp>Eg6V!l>`Waf1B(yc!{SYWVUJh z*_Xczc4UU&&KIM{CE=Erd}IZCK^529S@lZ>r0tO_k6Gc+YM?#>VUf3$+rLN@{~0bR@I5`cr@7rG-$zH&V&*;j%J%b zx0%7>6GDm!rz|UoNl_byRzONpZxJ@oRVCRzDwOWCNyV!|y*}k}{65D)BA;~Gz%0t#$RFvV7>U3?}v@13Q8XN868}XZy7mrC_3!aThkoVpIJDS8Qe%>y5 ztmQ^OLeMU{P~D_f0O8%Y>VzsJR=v&9&&p(cY=)K{4o#<8sY_*gf&(E`hu#e+UUF=H zr*)n%VSpK^$oHY>p2Cr7fYfWF??FBG!C^d)#z9D>L7L51pjy&R)VC~MQP7~o1-f`?AwEp8uZ!C#wA@u)cY@>) z@oJ=xR|iG#sPJ?ZDjKF3V(|t{7V^a(*5-Gd_rOEp3i;pda7Yl7u50NSd6&9Pb~ok&Q(shlp3WH zLE3MD2n>r{<<*q@daInEz*}Slo`_oXs*dYUyXM)Q`x_Ms5{k2uJ)`*&i&%unl57#2 znlcwbZYxvh!ZO#PuOsm!n4qD)VpARK(`W#yra^AwO;%Pzk2AiAuF%UH5m944Y?GE& zcwX+h`60&x+{!XiJ^~O7m80 z6Im%@THnddSA72y2L=KP1cOpu*CjesYvOvo_LMJ1|7fLBasmhpCE~xhFkPRLx_qFk zHY5B-T`PjhZPsjv7qK1~MoagoU|Zv{y(%V(a*_qSzo?nm4(5e^%q`nvZafxsseRFw z8j2fX5kBIGB*aG>W8tJw7R6u1SeznYany;~uu8pJ%^}52;QLF^i}BM!aDOV*vj*cV zDC$0PS92cXf)`LwrHDDWrfjcz01I63ItHASQ@0u*n?>cZML(_XMT91ohD~Wz=4rzq zmt5OC3yBkB5XXhX_5yX6Jyz39+eLtRBuODcA?9n`jZn0_+F5b&hZFV2NQ1gu~gSRsN#cp0_3<~A;`GTw$XAfv2{0N?0Xu+ zn04)W9jE2Z-jlvRk?0^;Hsy0DYY_#T?yi=yK@CKl#M$%W zHY_)IIy-gtBYdJ{_V|C!9-!A$ACm2}pidq1-c{yS^)VQ1GRGvPEj6rj;49c`he1?t zWdj(sT0b0O&GiRwRonze7j`0j7pznTViR7l5g;gYTZV`aFu`#7xskx$H*>wX|Cnbt z!=j3sFYh?)EmaI+V&Y2;@ghO}c5H?RufOSWHm*CE_gvHrAlojiKghsc3C!-q4aniE zj*?y34}eG{?S5R0hg@*C_lx?XnO%@I2ENiMHz@I<=|>qVpU1g`JO*q-*mnaKeKYRPcC;AN~T>4vd^7GQv!rH zaI~Zby#(a^RkW< z)mLr&yN>;%NL8xftlVxl(YdzUNIFbjr>G&_O&k-v(clG;{816?t|GuAQpmiwa--QEZSH(O{?gKHdM(dRhvmD27VYI3Jo~)W?}vkbu4u_Y3lW%)Vxm*2 zrs6_llhg=Mv;if&(7%gty$`tIk^8|!Vrnhm|99w&2>Yy(N^Ru{ zzx_VFv@Fx)$H%P?ZY*$d(aQ|RNqH$T&FCD6BCCTJl+CalUV(tZYnvH@tqqTwm|gBS z&f00=+`_KJl|q=2C~mqkZ$ZYIc4SXc+mW?l5ms*u74ht=i~gIPe&s>iQS_GnT(_(z~|9P&ej;ZRu`*#{T3Z0>UqBUtJ&@C%y= zPX@D9P8^&TPoM(9wXhT}T@CY?C~+w1+IseVAc?+rS(KaXOi$C(KU(O}SoR|J!TQ)Z zCZtr~HqPExw2UKvz0q>Iz#Z)<)+jg!eb?MtmN{dO*GmgHRRRhQ`PblxL1mwX7$hwU zG>((g{C~f9KSiPv^j&P|h}lfS{%}j}ha36n3zyM^v}4$)ias@}NG7*9M^DavL<*f; zwjj}Pb4b<*yg7DO?U$|b(qW+$3pVwcuM^Luh1i9;i@T>r=Nvb)cSs_Hb;do z%VHBma-O9G%>eh$jb9gU4tHQt8C**31peFxn_1ZTD~UR))^fyscvwS?GpS|2vwI3` zd^MZWYI7LzWp}3+lBCgd5js%w-_%9o#c||PP(vo-fuxU}4(rf;pyW`wd*SGiX`5`x z4w;7xr53GgZ&KD74xZ7c>r@)@QcQgJjW*USJxN$MHvq1=e#uvyj>U3P%o1<4*htC# zuqP1PB20wU9J*my8yKjPDW(t+8t&GOihnpIBw89RlJ!4&-G|arU}$QXRD*?5aeGCY z9`bK)e=njaT#(14)L44DtEYO|_|u0RQL!uVyE1K`o+=uQsbvj9N!M@P!QHCeh1wPB z!ZD3vZwh)Uw7Vsc_;0w{FF5u zeTBVKvZBt)0!)6?_xyt85(bOxS9TD;{Mvqt*(P|l@GT{%sTEiA+#;f!?{!PO^mC&h|+k{(+JXsjv_m92S%&%v7UdhHZP{7d9fd?OLQRV3q%`V z4h>qwiNbF1G#fQo;TRw;H%wSw0YZh0;NCA?R>DPKAbgew;CSVxkbRQT(3bG)Szm_f z8o$=x7!&^@Q=@i!*PN&S7>K1qI~TSIw$Jf3dBR|XELusx!?LOzaqMkJsly`-uu%ou8EFX+!j8 zI$VAb29x)@<|hVTyu1h4BkdfKL0~Mp<;H`hRP0iw`}2U5Ty^AJ-EGxvwJnmzV9GNb z2TxfuKAF#hsg-jv>Pw5;R)7BW(_ZRTR}sy%F+ z*q6SNm!<%VO*Zi)a~|`|(Ae`qa4F`>ycJrpS-+YlCearEdwTDPaMKAKZ{M zk<@)m`;<t&U4cN^Wpy2;GfNos_{WpI0J&4X%lFr&_|DBD|icv4OW)jy4YRLSX2jVa@Qo%rZL{MTWmPCPKWhR+aI zl6C_U?f)dSLqw=Zo^}jU0TK^@}x56f|bs@8Ew1I%ogNU&@IN6%Yi%2swsH#Xi*htFA gS~#n^shbL0N*Fo{yD6$G2)Rm&a2d+EnAr3EF9WZlX8-^I delta 44842 zcmb@tWmH^C)Gdl6NP@e&yF+k-ySuw<@BkeoxHs;>-QAtw?i$=(g5M@N=Y03RG431h z$NRCHs=3yjbM5MC(yY|}_6TwR00B^thJ1$x1_lNL*60|o3_v}oo&yI16MPQ_1`R?@ zj2#%Zn81ZB zl!dxO<$|(i1;d%~tQhIP8%al&*b32e=VC?r zfN!3f`ZSh}SQOko5x^v*SqKPx;x)`|-PPqioGSy?e(08L18RTiR9+a4Z0B{-X-nX`jB2LK+}P!)C~LfEWBRrCQPVyvf@<0W>mGgLt&LB0jlQj0{qrxV5;NKk z7RRRwj<&C_oMSxc>k26U$Jr~`}U+@(CAb8xRztds+9nBMTRWD>}hBRYwzCu=B>|@f_FP@yU*q7ixayZ zz+1;>e(AL}say?+EnJ#^2P~QW{qh67VXF|mkN$SO7M;ATc6``NV`QDa|E{b}eOi8N z`CiYuBhENV$S3?RXNzq}*oKBWf|q zm3yeJpB*UJx7W769?9NbBzSiK1T-T6uU6>@<4`AR6fZ{HU+0$|udfSsHqGv*on9Or zSv6m-cW(=nYz1Tqi^u&w0JMCP%hrxM9xqUh6-sEe=5M5mtvz3v^h*;2p6|}~fE{WA zvgU|{>PJh%N`R;97d0Bz{DfrhpCe6lVK#jCkw+&s4`$4IUY2bg>5&8&(~k!Ls|U;2 z#rizY_cfQ>yU#X0oPfK$I)O*`SF>ua+jU`xx~qW%2p)7If|PwtJ4 z1AikP!Csz8V5S^@gBfsk)wyZtb<-^!v3xvGeZEh3(R+H)s@k2WJ-K#BIvLj#PaCuB zdHKM|spqKe_sPSK{k+FX-Pb_C$%AaqmlM92KEA3xzK}k?qCP(Sjf_pmS8)}WOZvhk z8G)Cj1Kh&&3>|n5i4*mYRs-K=cIY9WGEkoqXg4r&d>A_LpLHM_u&%F;pG^D@J)nvP zh$c(6w&10%V~G^!ymE2Tieh$iTA{7@hQDSBnyM-8>C>Q``5H9|MI;6R7$dX!r9W`y z4?SNPF^?(L^qFw9XV%IwAjmUleSONDSKPCF9B-p6D}3zz{7Xtw+(T0Qg}iMf*JE&K zlTc6G!;rbGG^d*GwyU7D2M8SQ8AiR8x30JyIHICn$p2jA*)f6UdEGGV@}rN??mI!| zb1W?IdH!&&^h1fZsV)K{Kt3>;Vo`wNqc(HD}t(}du*dT98mL{S*MVEtVJGNu_a;c38@5aN|MqzrBZY`ZHMC*wo(Z)ZLbsZa`hZkL$m$)g`wkrYmgRBw+dx zlV{d3OEd!dTtU9BneU78aP;w4{vs4%pVh@E7FyAgz^=WeQ>jrSq_t!QB+;qqYY|#O zx@4}8pHbNJGrXF60v@B*$HxGK>G0#wq#QW6p9 z#7^uyCpaPJGo6f-%Lio+2d}41oO$#jSiKnt#Xh&g#v*@LBV$(ArMbF3?6crcZOyC@ zvpIK(mAtY;i_rR7@jByu!)>&**618|@w|VggGS7^?raLb5AfDao-kaHG38uDz4Li+ zWp7Rfq?M)2=1t5mI-6Po<6%jQQp-!1O-$P;XpcBG7lnZFq7nQSiaBL!GlDg$C1sOp zWLCA-`c>5*@XFJ-I50c)Te@FI54Q@f}(gv^wioBz?2 ztwcS}t12Sjh@ zLls+-*X6Xnri4xGN9p|>7}h*tXMr4^*SmA-FU_dPbo|v$zPOaB&pGb5k(PRPGO!4r zQ;#=#nIG-eQ@7r>n??^ijf*bQT3WR>?UNY7X~hX3+F&cO`J`#vnsF0M!#Q;E9r!}{ zqvv_Z=4l&~4JF2R^cnnHooxvgIC=3VTY6t%4X~F%~qJxW(Qp2wgxP^zV z4+k$V`HC(>svOxe zv}8eRNcUvgiw17fXX_1*Pk?**+lnenj>SsU0>{^^NC;I2fiarbk|f?>vEx@A=$oU8 z9D$nGWh&wu_0Kb-aT9jpVw>ui1@k$3nx=aI#<{{BUe@{5f!QASW{HRi_yaoTeL71O zbL0)W!Z8$5XJPImEa$mj}+yDf6n1vFh7F8Jt=5ahTPBb`)Xl1h(~r zWLz>8bNn~TbiJwMw5{ghl@7;p0(oFPon@`5 zd6ADZm(^B#&bpc2ABv11^G&wmpngQ!eD`!N&^h0B*t6bNvrwpVvta({0??2h(3=(T zPWf{Z)anYCZLG5uQMz3!fbAjIhAvcc>p{7G3VKRpKp$1|>G`qi;J{Mm;@PeRx53sz zwMKv{PcZ7n@?zSK)##no97c&^7;d(!io)=~>1vTnP9d;SQQE-D7q4oS|G4J0Nx8a4 zZIPfT3~fjw*Q+MoV&+WQ_NXK{LlL=+0$q_N>uE8Lq}U}5tmMWAVC7~;i5W!No>>(a zmTqm*c=hvAF25`>$JO>;bY<`>ww{0(I_AwR0=89d`x3ZF#7rWaj{cH^cY5H_5r>X` z#o$A2l>VH5Fw~-b!79JpWk-}|MfPvL(^7$w4hff(f+$tD=x4DLUi6>*HJbgNRf+-~ zGmI~Ciyb;}x!j{YfPqk+F&|bl#gu37xM#ChiqgaC=AL8D7J{l=+Q$(fV>v7+ttJs2 z)BK#ve?T)v^47jPw6rR$=5R|U*Y!4)NpYb=hOU%*G$#?=t+#k+p*PttR>s;}?I}x5 zHx4zFRdgVk3KsROH=BDDs7_Pb@-lMWd(sm|CsMq~T7C);EuQ9ecgbUZbR^$7RaCXV zmIL{HQCEwX1URSBCN%Xc=2sf;a+e+gyW1^)smT;U<{RWTw^wm5F)FPJ)y(2^Xs;@Q zesF59O4iK6r<9%6P982$^iz~f5AZCnv#ed{uLY3K9&F)1V;0E~p6!EH<-wiuwc4Fh zfBKa~bT)ZQ_c^hT=RV~Hvj`#5t+iN7q7M*rIC6pTJWArOByy1}MHhXT65(dUX$+iY z$34q7+tQt}X$=EGiZvGuRvc@6Kp{8+AOV~Z=z<0~xJZIvOO7@2(f*d)MfXHD+_P8^ zXUe8k8pQd34YCh}KZu$#W$$WpW$PGR7@ZqkUv6GLI5;~cJ?~M`?j4^;g{_xse_d1; zxUBGrYd4an8%iNq%F(`Z!fHw&{X#E(4bakqE6>zV(@E3ieKdA<^5FGkZ*9~ves#PV zxWBo2-LHS?nv<@5d49M*KU{ykmp8Ud3}O^xWRSBObMd~M^5PW0^>+4hbmeHp(MyZU zcs=>O`Q-fD23`Q$Bmjysr$ZN^0(#dEDrk|u2Y9qMa=n8SR@e@?>iilr`~1Xv2$&om z{v}E=K4CX=45STVUnS=ZK{|CavTMo$eZk~@n#yUAW+;@w?2RANt8JocCS!}F1oi!oxk zaZWdm(*eJ{8fCijj7E3n8&a5TZxur0$_UrSL3gJ7J!#CFYeTp zx#At@C?>7PJ5*Psrt`~iDvxS4`j#TCVai~Hgvnb*5+n1U_X)`Fse1gS?+=j(;}c8+ zka~pkRIv$Y%7%!X2K61MF2HLbj|UC1(Z4V$-hE0cyv94uJIO#yYGVt0XJ(&8yqxSi z=xSzAosOMkV-7{}cMAAB-+Ov5WHR?DZG;CD5AgqeEcK=$UKiE4!Rtk zhi?+>}mCLFEkG{D&aAnjB_=f&7M)+cwJO895didB7;~g z0o!Pj--CVV$<)%BZPN37ibXqwY^}a;J!=;D=vWkm|02LJ6Jg^J-2x#gadWjIGV128 z%=;nwg$pn6E0*)wYM1_?v5(RMEyIytNDJ#t?*c7jMAE{y5YzD1Ce^5xXu})ZV9#JV z#N<#q^s;u0fl^*cu9KojPwX8ny6?1Yf_z;VJWF#jer-=YaA7)d(loQ59?b@=?6Z0^ z)47Yiu=4YbKO*V)On6^O(^R|}B!;0_`QBmqwa?#4_J>3@{ZwW@trn`h)9q6! zDn@k!C>9yH6`>TX%q}Jus)V$tP^%UnI(w=V&*&B-fQD(7D#ej4D!QPAkg7rzUAH1n z0;NUvY{hZiVt!DZ%}AxVy+s8YBzCo?ScH(4N5ZK-3+|hwa9W1mR8@?C)@R~mU-DB{ z)y0640{B&0)UL1?l9@SqWtrNERTUq=6Fj)RCKZEQboeALLvhCux+0>=IMN9s{rCy5 z;&RSM0knP0ZC9ApVmeu(Z_{z2Pw2*03t{&8Dcv(dwylW74SHY2X$COMWX^A=Z!-rl zt%Wi*HhM9S!QcH@>-cpaJ79#@-(^~OTUFm%j88AXHX{q1MDXdf{bg|n3YfXe*=8>&Pq|*u4^6xAC3wJO2!L`EmHYd{LCe>o}9In?cGEDLT)@A6dQ|Q zFtjr$UKT4GRl@dTAEjQ94n)Ef^6q4%U35?w?XUrgSCX#c%_ zocqZ4PNN6TuqqI{+X$XDKorO9Kr)-JQSxSk!VZza#TQFFjK`cV;JHp_1>iEc(t9VF zS~Pg@O?8f;PJ}_TWqTW8v4R($^6_KRI<>Nglh0mIV6`y73|1Vdex$fC$6)s2W9IWK zJB$`a@D!sPeMVI3wd~A=n1LNFBxHF*=cb$0EGEQuSI2y_@lpJ zC&iezaST9G-EfMW$#JCRMy9uaEm>0DaEg?Pn1X}^b?;cxWJ*2h8dfHFxK)&5tVs2} z`A1sKw3FE_x)zDhnm(4%6ukxXBQ9;5?JHYuE=o^>O|k%_VabKS1-}6Mk}k;!V-!ka z5p}t4j%ExpFI+e|KY~auSmH`2lzQJfqF>8u4k&qs#R(Ev&!=Bx0TM%!3u04g0roHBpC(8V_lSBG#=1BHck#Sf0b{;M zUo`fr+Oq-p?%Vb&*-_yPpQF7Nxe=;5b?kcGY8zHY8#K8;8o_xV)ybM9#Qmg9R16Tf zuXX{zmC{BZ+c=%XSx|u>5fG$Pib{INy|YA(ti+H51H3dn($J(7I}I4BQ_jxU5kuzQ z8J@eSksD#IiW{)B%`g?j4%nv!aScFRymDIqv@B32Ni`@l0hC$QBp04m3qrbUK}ZP* z0dD~zKZojC2JF8COtgEBYDjG+{?6YEru z=J<$*TKXk)jqBpgk5V@-4Y-7IP3R4y!-^X~!p5Zx368a6L@U4B;!Fc>MQ zP*poyRvKUF#w~_Cq3%gEq8a_(-+$Jyv3>7HJ{(?#`K=Pamy(#Sz8<10_Wp35dWL_V z-_Q1=wB=5HA4ewr62^cd`MF1z7eSZbgGeQg_mUxVrg)`EGIM57Wzx8?#>gHrU2?_R z-bn@~otY3o1M~vAh&2?{VG>Gdy+?|@%e~(%W6YJrEn_S*n6A|~BD_kTZpF%yWI%g< z3s6-y5MWCZl(q<>(~!&ZZHMUkUAjk)yI#MXj=S!-KQ&Y!TO3_k4F5Ed^`5*o?0sH) zVpxZ8j&VK5(*XttUbW6Mr}@ycPV_H`uZRLrE%EUW zX8d|9PA)Y9VkgId9m0Fr5=KPeTus;ghLgJvS`XqZ!}E>o3uVWNmxDJ)Yje5Dwh`T0 zq&-EMludbOclYq%vFo*^7iXL8$+{dSFm=|M-&*&{_4%^Fh4>Q;c?yMO1Ak!Ui^`nF z5}+WYx%Uf@LwEhfy$Y*h-7-dTG{v{ion!FncVv2w((9wk9W`OF(bj8%pSMK?-^JDA z{p$AUz|O0T{VVZ@C;2&!QGs!mkh=v=G4to%R2|<`pkhmVfc{Fur}StO3b5{)vy9Z`3CWA&fA`Q0Bo`Kf}Mo zH;Un428q2m@HnVVVE=l1AI9tJoY*W<>I{;xmBiC*ryib}4{&X^@8D+NLv8v#jNRnZ zc=Mqfp*rH$0f|(^g6u&!BI3SIPzS)LSaN=FmC{eYwO)~ZUuWQyUZ<)9hlL&w+fqPm zToVm?YQLQ-Ap}d+)zgVRt1x_)olBsd3p*{myd1FtTD|U9-q+i?hJs|s=VU*G*+Gnakfd5Z*kP;J4B(J$y;;$v z`g?veV`yIftCD$0#mxQj)4Pd}uNX>U^&{#71A>1#4AM2j_Nr8V$?l6R*l^Qm34ICn>rp@VdKRmaI6mtOSzAuJ>{S`o@xJq7T67 zX*Q_Kw1J{Jk&3!^(^^S@kq2jk?eJn+r>OnN`tS zeS-I<38saKobkqN;`h6!kc5qGrp|&CwK{r+n=ip)jw@#k-&NoOmP+DRh0Hk^R34cK<4@{j0F@ufp4)7zIT*Sf>7uLc($qyOafh zO~j5}QwollW$|Ce)W3}C??_Fu@)LnSbAKT<+5S6FCC?2+O11+z(i_Xb6SLTYEI|sO z(dxenOaCe~|Eo~@ufp3vmE0MQxVi=us|yEI@t#ZM1c(W5s{!*OiA7xBiaUT^LRz%^ z=T`nC{wYJQhuCR3BMUwzJrI;0KfxaG^AzLr_8d!pIf#gh5Th-d&>V=g_+K3j|GK`V zaqtP@lC*%9-u5f#J@}KZ`gt6HMDkS|CPsT0YnU_;v>tz!;}H9^iTy`nr_?vIHjr8U z8}}`5`TCu0@G(1R;ZNRbv@(3%B~WUJpJ+8N8;K{VxRITp(S0 zqJz5RRhvJ~l-JqDUqsy@NYYvuV;vV{R7V_k_WmEimy^GO&#p;;KZ@QhQYmLU)6u(M z?_K|TeEr9x?H`Y|zlxale-*zr{8g-5R-N*)PY+~U*Zv2|TmD=0*gqaS{|Gw%BiQ&? z@NBs}cK7Ql8zA>yui+n$<$vzO_OC}v{a+8&e-!ioQJi#*XIuZ8Pds{;@eeZkkB8|$ z9@YPNEc~+!HvcR`eF?~o3Er|D^B?4~4)E9GX6bL$uKy@D{iCS;k78Lx+O^H)U0BfM zsC(6Kh;uIf*48J|JSOH4^Lw+g6nC$hG|k=`1^ZV%2Q`MBRL^Ty^EN+j1VV;3Hg>KV@Y$2TqPYMcPW>X6WliEtTvOM6Cr zfB0%E#B6z`^qgX_{ zjq9PJw3QRp^^kubjbb9;<^8*P=0}bTM&TFcQe&E!J^XM-f zh$&JE6_sjl2buDEK03OxJ}})^k0iz0Q!BI^e&NQ@)EUThN4e7Qj$54K6Ek;g%lu81 z>f`JB5Xipcs4}>S=C)WO6yCF_GdV4wWWAAsloJ#JrS>`H&XUhs;+J_^qMHU?%y^kt>Naj4M zwd=f_Z8So`HLEmB_5>F`@kG6gk?1F!QSReXNSikEp@7>|@m70s7{~fAqK~7bYb_`N zT+40Q&%4NH`mmo{&B@jtmqJ*TurnvOD`rhxhm|o|)1jcUWSr^5wa~bKiUkNlO75%( z@(hW`t{k|q`i)&<{4|&{#oAluO7GjYW6_gyIQwW)?$mh}{R+2Iz?g0Kn1$Jb>QxI> zL#|Q}3(dJ3V=t83`QC_ck_& zB6xgiHTA{s`bbX?1F}Xvx!)qXsYPseT?(Hya$+6q#rDQom$es< zYK%hbdv3H{l+>zT(;F)+;#Vr@d8k%QeIE8nzt=RI`@okwknu2W%=5SqHXIRmuF5o8 zlyg4~ImKZL<}Y$K$)A?sJml(nzvFZ7lX5>W`m|-EO8*_1QM=q9mbH7%C4WWQ(~(dB za4;a)r1M0gSg(DLvY*Tk`tC#@!9RT(l=IuNREM6_vUD% zLY#kN0mX~eCpr^L`v<#%b_~0MweK1KIkoxhm{_n^Et#~4t>j^4JsqNphIR_K&8Dv` zUnM@GO(IZ~v;m^z4ERDy38|Sq45T6>0o*Mr5&=bzOctavg7dO+JlJiHeIs})ZPkyZ zFJ3_3PrJR}nvUJkSuDi~<9cSN>vkuD`WRo5j-}ma&PC#+8KAGzKE6x+xIfC8Spl8}d zVQjiTE2O1TtP-y`T#y$2{woA4ia-omCJT#?{)jJxmK7<4wa)xYGPvrBP-lu-CB$o} z0ee|RV#?J$+16cOD{a=U#to&Rhf#Z*h&;wDTCN$cZ1U2Xe)3n?bD5RL3t_Id>QA~7 z75Cc=S?VL+#FRK<#fnzg<;dna^h!nV>l9+yfNJtey%mMjp=QNnEJBl^yMq-OX%bJt zMInKigH?t;DH3O66$C&RavpFeU==w!ROT}TteZ{E2)QUYVEL|-V8Qq#@ug6sBwm0- zrsmziR1CX>H0K;)SdnoFF0nrD2&W z&3*+Sm%8Tz3~?kglnuEspyq>04jpmAG?ty1HLE4rYo50c$VI7u5A0m21IkN&B~%1f z<2IG~7_v1t^Gos-bcgJ9YbbNsze>W*Uc9RrVnvRSGetbq)+u>No>hVL3 zLQ1%!IM66u>-+6{z#jB%r_GETqu8xX*RnjJSeI!L zjC@C!%1n~k=0yw#A|VQ4qDrC7`b6pzs%i^tq3&Qnbzt+iT%v?1lcXx^gjFUw<>QBgpQD#(&+vt19F%LYCzp>z8;qS;kUJSe5+m`*664M zziUAOkcQ0@-|DM#eKf3HCbv$MpH3m~t3;9p1&ICz5W6iJ#OD|?hghXU4T%##7D|(U zN~(tY6yW)2a&yTpWTwbW7Z>ZR(Z2FM^7~;~kvh%y;x))>&--rVnnEm$Y*?fF6d2H5 zHstGB-cTyGF}J1uRL4vb1;SIq0a84Bu2HvwOZD1iCh2GbzkBu&B~*0g82|9JK7^lE z3_rl{Tkto7n|@anBHjk8V=vog=o!kqq8uP1%V&|#B#X3sQP==EudQsK#9#&Irubuw zZO+ucQX;WTj8$fxI`C00x=a)iy8>fZFX#S-f}+ZKbVS` z&ciK`=0wP>%M8G^3xJ8qzAwi>cF)#+u`rLZYh;hwL>{P6D--%=sBa24ZhU!~^#=sxzuSsl1b(V(A>{c#}xGWc$!^rYiz5y~k@o9I4Zbj4x7`&^AioK-3EejksF)k||CTzf#k76eyfNGOr8M_y$08hGv2mfRgxC=diHC&9 zRpgfubVXn!G{=w zd?Bky5ioh&*~ucojl=h3NlEdT%6-^F~_vr1rO5Hl1U@KlM^0@l5?!Z?536#DDe1Kva>!|E;$P zbkh<4%|JDL=#2zv{3C%+%*#B-5Iv79wKY8bG{}TcjiT~eX zf8BCW|GQ5HB%bEC_2^GGCQoM+H$L7E{C7ceKK4f1{kI0qg8!dGa{tms@~$>DY%wMs zjzRmPQxg|S{8Wf|-|baAD&raX1E|SB1em0E z9iaepxMOtCMAT^%nW0L$Jia_{zl@s1bZMPXlF(J0n6+wnO&aguGYRvJe=x2XzjkfqqW9W+gg;^N=;#pqPB*; zjBb%ocRTZ*<2d|;sHT{!^1InFJs?yK9a^EzT>)F=tinjQo-%C^eSxEZB(k?ZpFT!g zZzq^UHpARgVP@%uN!6uLONXnaUfc@2-l)cE-!IXl->a9`lQ~0HjPr_TPG|@P?t9d)%}U^uouVoQOxfD%?VOOF{!TZ}_h2`or5FTwCctdLUIAA) zL8*Hy;l)Z6@#YgkfV&lC3!~#j@&#!Iv_&vi2P82=TW-HfCZi)Wp#!BJUy_)5lwULV z6jEpJdqYT+`4-Rg#*Pwb($k~Eo9gRwJ<8Lw!>-`RW=|d3l6`UM-Yp!*ur)TLi(>u{ zjzSCHdT++v(;G)w5wv-+90BX*yh8LD@9NxD3BNZI*$8fWhRugOzJ>I|3JUwCvoIW6%K zL*?NjMkD3+JO*Rs51TslnAJrFqiB>N*51sim-H@xPpL{sdsa&P4@FT5<8x@?KrIgzrUX7*z8P@RMgnwr7F z;EA0uxGSJ6D>b+Z(2Z3?M87?=T{psRm(ZNnuvOwIlv{&L70fgOsQ5sdLtyH6{UBW6|wI0U#DEJx#KWH?OtXwXVqg!{Q}39U6BKL-ncOO3P)2ZNY^9m(HEQX*TEWNa-(3Y3yTDRw zeD)6S>39cZ{{>2Tu$3-+^xu(y-$1NI8384{1Oe#G-pGap78eD|)#2K7R3eyVhcgb_|`Bl||V$q`*d(?`lI~Vzfom17#;}Z(UrPIxDR8OUtCjne;4D zDeL_bv6ecHBu32ZYQR!5;ui($G|^6frUcvCP4Yl!a8Pk_ihqi$2?G@uIteOn*~pYp5zY>vP#9VR zlMs8cqDA^sTV|9V>s)4pEPB%@P#o+We#xdH9}9pvyyv|$yuV!$5ths$?_X|Yh11DDw(h(B;_Qzq&pPz`2PEd4SF@^LcJe0zio#ZpO}>6PATu{Pv>IqiTPsz6dQ1U3E5d2S3EkfS2Gw)JK_S7Be3Fpv~Tk!}i7gPkAbJ0#71df-dtlZ+j#t{V$M?$B`CD{VnT z)V8BajWlEL@$S3G@V$l*c_$59WiMKu9C`WanoL5TXHvW7NGb=ii4F0)?SxfFt3|Jb{EO>xM%|Ai-9t z05&a1;_Dme-hdA8x-hmJRfFq#I_bnlD{rgT?qJk1X7 z>A(XR)fx^MaQ$*F#Dr>&O7{@!o*&YAe#sm%(5@+ULO*f0LjhMwwAPRxTR{Gvihul> z@<9IA!sSWneuX-sh(f(}lUK8W2XLY_9BDOJYMpP#Y1Cc3NQaFPGHzdIymn#-EjFG` z8d8q-c^qsJ(vETC$mMAl@Ck~r<}Z;X-UksvP$SARxf0Sveo4@g@H3^E?2+1=T6) ziNPNF#zYs#XrMvWY@|Vj;k)p&M=T~Nf&>v)lz=0Y*9OgFf$FkS@z^kDp+~GLD8dL4 zw+|HHvqAg1Ky^{6xM`Tv+aned6oG<>OHKgbkm9w8^IV|1sZ=~P%$eyCD+-EGLd4A@ z;5c+&DBLp4i42P1{@*9fpo!-~;gMlZc~HdvOfrHZJP9~9r4>P4jELwuB&-A*QWFl{ z{?KPf>1dYAUnW}UCq_D;OAmAzfG#7@Wr7YkF)~AkhmRWEcXEIPIL1Ly!C~QX%``tb z$>TFcXGI5L^*c%x=lbG>H3Yr&FKHk@ePRJ5OIV+|T-J_4Ls=*4Lch13Ku%qWEB~RK zN`3|m%K?5YnD-pa`SQ8uJNzh-#>6Kix@~+c3>!Wqx&>Dvjmn*Gl`%mXq=*N?BymfLoMtng%nf^eUKfM+O z&|#$`Y}{hOxBg2H{9F6SrV$*}$O(t%{6iv!{6jMN_J;)bM$%{?d`ob7ME+-Dbq&yY z)Om#2y|GEoNSikfyY6jW+Yv22q~K_(fVFxjYVBMtM!Sq+!nW^Cg>x-{2JcA}3gwL? z>YoEirNzoEcrIKosB|lAfin?TS#AU5=Y9DswqcoUdZd@ADYvki7oA^zAH50Dia0R_Qhc~zb6Hd zovh~~(Qsma2&lvlJZCle$!`NAxr{;&6A}icd5Ub_6y!cjeie;DT-_?%8eone>y(?L zMiO>~n1_OvJR&8QWxxR^tQ%{HKT~cRA6;uAO*F z&79l@%tqHn2Ew%YM+aVhs?3dbXnT7k)n>qtK_~WXLA1SEa^Kr@R|3wscKsQz2q?OYAD@|+nm&*orrj9C-q~vQ)2M1jbVp>ys~hcU!@a!Y&cmjS zgNJ*DDiGkEsOxqAbZ#|a^_t{8@&PYhr{)(IhY#o(Gyz??_0fJedHd5{PQnZ^f%=c$rX9OZ@Ons; zZE_9z!es)pGR!Hqb67cxW^rRftF=q4t2PQ3j1>SQ>)C1RO&@#RsFskhy~CZp#a}z; z4^eZ!E^f|M%_e`-exWg-Ti2rJKMXvY8gz88h%Y)dl8O%%%lq)2c75ZdOOdD z4ghRk=ww;E8w$VrP9g286qdaSdY)tyult~JhzR3qT&Clu!()JS8gg0pYW6_Qhz9?< z5RW67^#oQ%2$h$l_~`0;X&xm{v^&()?ve7y&y1v{DY}y%y3G{eXlKaS${wEuwoCf@ z8yY^|ew%yoS{)A|@PZ#N0DD3z+eun`xd8wkWT2=&EJ-WU+T1fWCCk2O?GV@e-m**< z+HtJWU>{Jm&>jfVKx^D1cPOijfK3aAaBF*4j$Y5~tE{*Ynb=v^%|YQHj>dI^(x|r3 z|L!ytf>IA+{=;%oC!gZRg7k)#Aueho;|DwsSRGm$V+g`vh=w;lhQh`TC6{0VA6mdt z=7Y?JHC(jMMIaW@u=g{E%TM5j7!Gh+j1~|tZ2124s+S}5XPkwTPX<;IYw~LI^lZ>` zs)y^9O`T3+tG?WE?Lv^3lK<#MoHG9X3`~pC2bvK-6+rFnS-w5SRv)J85$I{q9#r-F zX|BV&qs#eHMO0;h!k+w?64*)!>`lv8&Buhrj@(bFrKkDfZiAP{4M!pymoKi|o*Dp| zvx1U?g2AbuR_^?*da2E?&yTKFwHyC)>M+G^WW8MMpHqj2I8_uUE}LwpgbH=)nfxks zn6^S+V-z79Z@I;e&1&c0*Uj7NeYIRJ^c^T`!dya8jIjJxDtQIIHqWQtp;yIx8dpcrP?YGaT-KPd+ zS;;6*R%}1GQF&miys#uc{zRK?yux5XptmPJI^UsJF&|7Vy8ytBX#GU1E&XoOl~|{c zj(+6MI)`DzJCwSP9NJ*Wp0-Ko)4Eq@sZld?)6$v324gL>ZTdc%>$0u>a|oC8WSpWl zf7#;FmU41;U){+C`51&luNqg_Rqg`Bp7$=aCJu#EU%%B!oMHDP)py2AbV~zkuN$LE zc6ffawDozaDFcACi73v(2hBDtU3r`_MlCeU$~I*Zc$=*_z3@m%_&rXtP_~6g>KLec zT`5MfLrKz`oUU8R5VlHLB~Rw6N;bSc)VhOLImDpJ+$XDkX4?5uJk}K_y@UMy zLu-^$ufdQd7ql`%pg0=MxgJ}<|IbsvztUrD8lPG@N9STOAJzIw>>LXt=^zxJQE)=G z%UnBQd<{)R*4T?85j_$Nm?^%;88DAkaa0-*4_(oqZ|snWDqzTjikkN3azOb?S2Dxs z_kE#U5K47POZdFxdv%55{^@YsMzUE{5{#$vN(CMD# zAq!GT@qsku^Hb3dAL@Oz@SO-KpX$%N4IMO36a~%c|HiREb560qSSM0h;+L6Ay$G+x zAJza;VaZQ^`A7gO4|(zSqOM=3w zpfK3qa0)1#0Sa^e21tSXsA#|e3m5tsB~k96^r$Gf!`C=m$>gP3rXx0rDfE0 zvDi1IUVRj5X7P$wt^LhH|0t%95rWb^!_sQGQ`R9f%n$aHM6o+rE0D8{7v*Q|vA-`J z3VCgjsr&R$jvpw@^cGJ06aM@b4giI>L16$W{v8xIc#CI(;`DRNisf2vu4rV`XvL-m zwKCAR&-~o&jd7d}Rhu=j>!5!}A1u9i?E6l*9+)}o0=aznxn4}2Id}=u^x?(k0j+qY zVMcvaxT8LWG`|M~M)O#je#80%&G&?TkrXLi=@6R^N({R{8AzQShX^BF0B}}-p3=MD z+`B2#c{pTS6x2$Y|GGSZuK zzdhah4a!&dAO5x2$Le!o<*Ba=V1Dv;xP)A8Iv>7zefbpmU?vNvA1Mb_U}ODpx@We} zK5>8@z9Nqu!-3_M_iF*C*SNN#-D ze*VODKs%F-2g6xK)I@Z1w6aAqZQ0cGz5yP*P5IC%0Sk{bRV&dVz{~3qAW1olbFjLc zpJr%L{U-R+-!J2=b~BuT@1Z2}uj?|l*u%%Y**AUU5`daWSY4@&xuCBA<8Q zBUDKJ{9x^(mj~WxWJq3*l!N>RoXLTv%U*vHKWi~9AZq1z6gpt1WwyFuT3!6qU0(+A zdNUdcID1w&vPH9Zx9aa#Ic&9>RBlcT5%hp-Q84U*+1B@D18o5l!8#hCR;+B)-`jKC z+7xRk1lWUW0T9w1pxQLMfKvN8R?cL*S1N8XvZZTnfLdeP>!8&)>)39d{2O(uIAnOC zJ7BV!;l96ZxTZ2OJ@zE&(xz*FVp++)L9A*IVym6UmTi8t6m7tz(zxNR@rsS@a>qd# zfXmgtUu;Tba}L~+5JFPe*-I#vy%<+g9XoNq(jweX0lJ5ueYcu#D1GXI3Iv*U5ZIEI zdSexI2H9z&#|uO$NkzvjS<~3>U)P}IyV0;It$ejYv7((hgUlLpIbo?vx=e-_IWj}M zYBV|)hYNI6i>3y&%MD|XU3v&i649@D0E3kCCtBx$voeSqw>o<9HUu-x$LQ+8u|)j; z%0blNvF+E#26WoGcT)b!ygK$-|O$4NvmsCnFq!O;k(yMXQ2{UPq+ z!!qp+g!vzjo%;-jdd3#A9B~OKN`|d1_ox<2J~%$F%AEjYZ`$MM>kChF84?l2Ga*4T zT|x@vNHhMGm&r*jw~u4E-k_F)dDvKpoBguhB&{iT3H|X+I81Y+;U?~+@oa+eh~FkA zpm;j|R4ccTnOOQ@45_gZW=W&0wOtnf?S@oa;(m5g7-3X2JtN^M{_6C2iBUhTQ**n@)H z9#qcw{K3${gE_B)WRWwJm9v9HC>MYydu*^c5NF%J0Urn)>%S`;?PVw*LBGr^UXWuSD);fe5ies!K4i%y;Hn;B zgS(7Sn47YkVf%-+d#Kj9Te>p{XpgBWoJcJ|jGN%+c~iXAo&Y0z&jP>WbOMKEI9^Gt zQGArF6g*q_DLHRbBq!?~P+UkCKov+-NbeF~w}0J=jR;C228`-$hDNfPj;U5*=B-Q= zhy*oIuKp4feld04K{_GJ2}zdTIqcM%8c@6K69=t{Y6oIfgr62NU2RYTtbk{{45EER zZ|0FP9)6-G9g<;as*S_BZBAidl-!JMJwc2y>M_X^9Nx&(rwhc>l?|%dOtNLI`eu0= zoFib+uGDB&aB!9WNcna^{Lyi^t!l-WA?3>b)D+Ek#zDlh1VPR~QUFJNCxoBn?Sst} zeKg#9m%p6ZPS`Fw{|d=hD`RCqr3ujAvP5WAghDg|lCtziHct5W%bg#}M?mg8HiaAN zTlPLR!|vL3EjAX?yhFaZ;cElE8@SRSY}0}KNccY=yeiJ}gI`NBzZx~b&U6+1uPe&e z`(|8yee+I8=3q0kY+z)rJ5}~EIrB03#$1BXl(fz`|0hF*UmWMTB7L z`=Wv_SQShPOo@Y1?1%<*D_m-n(t4#156!~T*PPe9|NJCbBuf96-`h^}uIruOBfWq4 z@%{YM^mtiWxrHO*IZZntG&=e8LxxM)fQFEUQG)?){QNAQL2j3=6D`g|+D0eWz>#}|8=G>FvURSSBdMy0l+D{!S2bE>p1^0so(zB@$8lb&X%8ejQZP8_+ zq^DA`kpd$U@dXcv%22R6w13oMCXgjVmFTKctxcm|cJ~b_kmun+Nv4I6RE<1Rvi6*T zyv0kvrI9A$nkY|82Mb2HW3>pYCs>VCxMLloLJoO0qk+3G9dp}5%jI zz!mfrn-5{&Qn_&qgypXO$c#9H9GBcWBq3;0M|re&Vm{|MRFhf4Z-{SSi$0d)b$e8z zXPG_GB_09K2#c{k-osVF$HU>)vBMg-` z6~!FgF2S-wrLSf{F{ius``UcEq`(fLdr+VR(Y^xkao3K98}%467f%F2i8QVihm{e6 zS1oEFP{)m02Hh$%q{oxtP1)D%(!*yMLvcYl zj6eXOGNT&Ui}cosDlN!cwu-Qz&*KRtBB9yi<&u*BY$U8Q;fVhFGSS52_4bt-3UEmY z{b2!GpO9hcNu`Dwz*UQ{ACm|>HFu`hgsse~U%zZPyB?RT_$nBB-62OW zj3#W~*O}BC0>yEhib+$UM%)P~)=Lx4{Bi=6pv#83>G8Nm_#8Ki0HbElc^L(9#-74H zIwRtp$!{(;t^`2RuwA_k#IkMOhh=+;HYPj{k^LEGE%r`j%@8^LT;?SdI;_@pf8UNGL1hImUnb#x3mr$7xgJWYjBgx{llt#ML_ zZ6z8j9@IlQ;iqu&T0=e_|q!lC??4YHxb;BR}sbafC;OyN!;_-sl;aT2SSTCc;< zaRgjHFk3u@4R~MCLv>4%?TwP?9-uy=#y*?PFkit#1gdVyj#p=NV_8=*+(S{^6`zYj zpq3qjwH$}GjlMKbl7Os@zbOurDIEu^6y3n$16O(scvuNV%<> z%-_+w+c<5%TED+yZ_?M#wr&5y^&2dEn22`YH-7x(_1W`RU-kgli1vZkY$^OsmfgNH z(j_LHxwm}OZq0pfmfd#dueap-`;%^E+3P=RX1AffX}A15Z{sdNw0ZNI#=p={GkklK z_I=p-d?I!`ronK3JV~@vvfH~1fyeg-Xl^jHJ0tK2Gb>7Q-*YI8c&BwiXkSs9RRXTG zd5|MVn^ClRa5Vu9o_oZyl*Atal!pAW?LbX#f(Z~kh*#QIvP%K@vP~O>uXMZW6HD|G z0tdC~^Gn!Ln}f^9+C1>r+Et`W0q9|L>MJl9KB;`e3>5Git+ZM-z$T46R2(&7-f0SG zPjK@%Q)GV~`DIh1=vJAuhqG!eD*=h6a}>QBldp8{ludw@b~NU{*8H;Na9&~Oh>aKk zTe@9`6zQI~h}zScp(XoZx?Rx|>^cxe2B|DaETJN8H1OQPJQ<@*yIf_1{qG9C2EH_Fg1X`Im zvX)FbmaGlA2m@^!TG=@gKa6ps#iZOAFNeXWXGiGT-71NyHb={TmF&cB-OQwL&{}$9MfBR!3}0fEM z4tDNDv#8(f*R&Pye4D80*31yB#if*&BOKRg-e|Ad5k5yo^Q@+MH+M`hewuS}rP5fm zc5Y6c>?E~ys2NmUC|{Dy9v!V}zBK>>F8I%{sA?@t_OGhDwUu5%R~2%ysOA|J6m~nv zg8SS(BG)`v85o$4bC(em@iQ|sD{h#8=z z!NtBIv}Ih&mOu$T5+#(AnXZSXmsy^ltCN|Mua$ygsAPwRcr=1Lf_qVVUTT&90`>Z^ z!hh~6@E)R&F*f;ph;!~cpX2+U5=lIeofsJi+`dUP05!6WBTN^>0q)t{B;3Np@(y}Q z)c_3izct3_G$knb90(AQQSzY?Etp(%%6Br7F$I9R%&{uXeUS2+?bDQfvC7qfL#tjI zGesRY&r+VFpzbn>^7?^d_-fi-0v*lUw+gPfr1ARUZ39Y8JNHokv-lhKCp(4o8&_`e zE!-)eZX0O*;!AYQ|CJH)>wE^2ckb8O74TYLtoF^f*RMId73{wlL+vy{#}o=P#XyU6 zKLQB*ed_B5af~!Jgx|v%^rz_|5BhQQjDGV=_4EPrv3~pu^;hNi78$P-;jj7$JhJEN zURDoz9AZ_>)r}B;pqo%6lU6GgFxdVi-c|@%pIr_mm(2se5Bx3V2E&ecz`{=~RKpM4 z!5A{hfs~$D-hj9D*g$bZwTH3 z*C*dIx+p6rbH;;wVer8W7KVkc6NVj6xMku)5HU+Q?CeM&F;wJF`92V&TJ$3Xf74iz`tSxFsg=rt3-w6PS zX5DOa+3GY~9n;AGL4an(zK+m&Iv+Tt}GBGY{XN(}s(r<;_>G)$5yX zQ8T4W=K|V=80Rs@J1tQ-nZ5nm?o&G%~&g7TpM{-t1Itu7dlqfE^Mq}lKK6r2mvIA2j$<1RgU$nrzQeazP;V|AssZy z4kVDob~kM-IbXAAUgUliYJQ{l_Uau|5+oDz8bw%Wm{YG_D}DUZYqZ-toFCJ;!RvK8 zK@MTE+o=T<;z-RYl~Vd@E|BIngU=1P@%?7H-xS7Pw?CtL_hD9kHwA66DhI; zRwYH{2qb7GV3j6=4_j76m}Aj}iG&&T^aKr{``gqJ7L-aVi7!fiex>ac*YY_Sbo;Nx z7+M|O=HmuWF~e5eBl_zBU3hDs-JlqG*BV`x1A9O?mTuf3Yr}b>#Hsn0eSfOhna#4x z*5r)KGiLIe)cFbD3GLU<&WM`> zUL#vJi=K~(V~(o4_xt^kd_aQ%c?x1W7a#I>}U;+6EgJvL%=Y%>M5&wrOC8CfBIsdl%hbUPP%R zsWLr;{&<^ZUgB*xd@E#6r#@c^NnM&x!OvD8Y;C3B*0vaIA}xKSJ8MB$J^1nvaFd_y zP2&QlCTAe?B;-`Mo$I(SqTvTu&13TM8LI_PlNvYMtQ7_S&A1FC>?mKLC1JJ_q3LZ( z+7PJ)ttKKt`zz_2xubH%yg#+14|FIrU!|)mT>cf6g7l2z$eP`fQRL4<;k?71b;x$C zY+{SXrnoeuN>5f1IPQjGPIsq9v|WY}dNIMO#M<60-Q`(vK|^B3%b4;_NGI0cI4o|zocC**(VVV^h}>Kb-N zygn2|poexMa-H6#r?6FRXB`Vxp}T?`vj=**ZpEGfG`qF2jAph_TES{zrh62|9~8uV zlL^TMKu#RjtJ2&k3GNKEui?-VXJ;M$19>Tg^gMcS;Wyh~6UcI;3W20;xCvEFk@L%q z;~rbV+m48%K0f(~RbI}VEtw56`OTOHRL>=ehoKbArh}F;BBb7K&svE~BB<1P74Bg| zjFWHyc8Y1#Jbd$`oL7OxLK%~>)8Xb-Az9kgF^RW_KmTIghe>cJWRrV_MzCf59%c?B z>7|#4h)x)+d;-}7g5Z>-%Hux~1?v3sFg|&NL23+P)cEIXN?MjnG?a%Zcq9WZpg0yI zQ84DKYx`R$%#g|Cq-;{7m)Hb3w2H*w@Eu+NfUWdOC??Y@mG*bzU3U)qQTC56okhrv z5YtocBz=`(*Zl3ldIosWEfHc=mMuKD#bi)~|0&R?9!n<91jR75PeY z%rTWDLRUKJr@>C8z8NuKt9&nLx)jR-V)?F-F#iIt6Jn~@co5#(2BpvWT=C<}<(0>j zVS^XdI4Uvjm7;B=oyO<<6%8lAAi3C=KsvtuuosWL{4APXwgP}B#;aLNmw74x>p z)PW#-5>-`5(Tr(YhEr*+B^=andUSLqs^x#NClAhYm%&MORV200W z2CyZz_a;4U^}FOU)z|7VZzTudZ55>K93y0tn!&rsB!t}a(;op?!-w+@`S^(%&B-3b z8f-~pzJ-i)jR=4t%~iN+ z+vn78ywf8V*$)vD8aA+(4nWKSJSH;#fxH+-v>k()d@0d`#PBR8gOX4rb_JW9jOK@{ zrPRQCtD8X8lCZJ~{iM`6eFJTl{Zgmqzp`u(K<^_^&%p~eu$ISsBex8_6%ys`7JDU- zl%pqI`h!h{3okV$_nQrJoR(xO#4?=^w2(beylbq6f$OGA~SGg0`yM&26@nMhHtNQd^`pg<{ zEyqjPb@IA+S`bR&ZO#ysvjFjX?u4>xc+KgV?{p*ZyI|OtW~f6=|Kp~)AcfV~^(mNP zzRb#= zPp}G8lNxshl5{BN#3E?KN?_uqq;cB5$6M*|B!m!-~t?8Lz5 z&u8`%{+xzcV>w3{MNn}Gd9D|fW#eI_bApV;94uE=*Di$O5!2H~#VBWSC+8j)hBAb5 z$oF-v8Re{&*dWJ!4S4XW65u0!&7+f$XtD@KkMY!=LyQD{bFOY!16N_OAB=78`RzQp z%)u|z*j7gg-T8V`Wk^??TavhC3Emsqx^xdG>>}{KSd= zBWj;Lskd}upx{QI*jmHaldrFd9%XOQj5FuvrrAv2vNZ~5&UlvnHPPG=snqb$>EApq z2|C#K{zf^++(H}Nw$D3W{!1HPZW25uDrWVs;M6jag=Sm|?P^6QOaxJF5uT`iZy2IF ziQGy2U&iZII_F73pNq2Pz;H#*cyJ$)y8`q(MuREEBE%6s8Rame-}JW?A8a%P{%AUA zEe{8!u=_SZ_Tz3}m|#-A>zWyXf{?iZn>)X#&B~#XcyO!y5m<-^sd~&)8f%>PEzCJQ z5`4@g4~)@=p;~923^7&ZEG6m=2>Bq2i!)+Mf`qTO-QAb!w>#+N6qnrLY@_^#aIWzS z`#(`0(G{RasNWhdl2|(HN363;JVI25_ODBa0%R$m2V1BiUe($43CT0gbxKOS&ws4d z9Sglduh8F=Xyybfsd|1cs;PL)x}SdK-mxTcjZuFQELObOG`(l5NEuR{BnlJz`)}#& zrvsqEY94>iesXw3-(+;6R^1Mp&<1V1fk|b&WDH`XA1~Q%oXgfZo4iiPkm31>ENB0|PuPI@LIYH+ zg8!xQF+cX^#b4QnV?)MTtx~SS zQo3RrXtk;SCNMU24`QLCRf|qKo@m0hJVpf|{E+mb4e=Cn&bN;G`{5SJH(WPxO5NMd z6i8Xmjc@0Pr6|qlZN#$Eo3=XdD{EF`AB#176gX1#%j8{jf12g5^$Et*+ahiePwUcy z{*^D0%u{4OT^of{V+>>AyMBF+S7I`*xkllg{FcpqG~`$VX>g!1x?uH`1ZI#5e(4Bk zo`2o;N)e1TPLEa1>(hlB9|etj2b<-y3uS6Qu|RhU?$AJQ$$ONHn#$fr5?I8{EUcF4 zhtc}rh^0s*_IDEiHPfE*D)Ky^ZxC6Evf4KbAHXf9n^tBAOMd( z_jC`zHI+(hxaS`#%6IHh+mHNz6*)-~Y6gT}qP2E`jcue@)75>5=w~V6r zCpEGWi|~cFp39cDz98H*mA3j8Na?yut}3Gp*~jCO+;XraaabTG>*5#?x!Nvj#mib& z)A}qBlA964(nf`c4=hk{-Se%C&O}23ZR=O=0^tSnupdCNF$V3!do$y9lF1FuL zpKmHlMZll^%t|L61L6#L(tAU1fz;YPbPGkhEdDQDLwrcNA1N&l$;ja^9vr<#yaGLA zFz(qVMWJ9Zw}=f`Dc7->Li)O~{HF3XksyND{<(}O&;@&JQ040r2qU`!Mos_Up!?W~ z_OXy|l2cTUB#>hDplnpQ7DZ*)N|cyS)?5e9OC#sj?O=-}ah@7Ldv;jct<0s50Ep@e zIX@w-1fGXuSWiFO9RoA=A>>RTq4I`Y)An~%uNw}t+$U>oTzq9eBEj8c;eCQN!$pMC z?(l;T{%LvGClA4C5+ukAi=!e)#JcK>kZ*}t&UcQQJ8JazJ*)i5^GT2=7v?c4;nkZs zcmV(NNqV*J6fha!75q}iGYx8h;Wr}2bmz%Lp@mRE?56lrx}b^Sv2!10x>XJ+WGvD0 zY!K(2`Y$rdn+>8UY`5vt<88gpwz-D;k%;^+%5*F;=@HWKz>uLX98 zKcg=DDAtba+7q-`D;?uuX2ACz`j*wP2MsK}w>%)tuoD(w(&~g!gsdFX0Pcu?h*Of2 z6ihK7dCiTm+8rj+VWSYPYaCs%;gg2wJW5}uKzU`7HS=rCLWX&T8y;|HcQ6rgXwKA2 zLsUpCShJ+Q*K!a@XWgU8xvKj_mu8t7xh$QT@)jRC9>J|BciGFLN5Dqv4?E;t(10q7 zP;TNd0t63mT;K9dlnJLa@~{6`jS*~}{kyqD$W_}N!&W%y@EB1qEo+T6e@c$w@M6qn zA!=+VGx39bnnRPSZ(dQdXSDU^4YC>5urKpPdwZ1MW(?J6ed2ljjhiW4%wZx=P5^JD?D)_millL#_D55tT*wEimhT z(k~TYQEVh8Uf-mcNtN^%RK|}>XwYabE%}4hZ@7Kf1$37t<~8=N+&u|f59fZKgf5%9 z1x7NY3weX*{cT@#jv=Dwp2Q~^#mg%Xx&8S-g$dciCW-gT!7XK35$Pof!l9EB{uSW8 z+skIc_4yo@!SX#Sn`;;ees&$;+*y`2OEv&N;nxn>*$Xp7ci*ygOb9K-V3;~0&IFes$dPs zAre>9%C3~g$lUckyM})mF%krSnEXM0f^u(CEDo>hG|VtQM_h8~y3Rmp%9;U{ytCfK zF$DUp5*fY<-c~uGQ4wo=K`W}hyX}H=4!a!XUzE zsQX|U$=CbJBilavl~g2AG_XboP6W!ycG^PMk(V=OBQoiCJV_HLBEgQS!A( z?i*anRm2y-&0dn7Al|6-1U}$!q=+1E7ec+u1gOy;TzVLeBxL?qpZ-}6n{Mg-qnUk} zJhe;(8&9-{``#0u#+>#KN`aXh{99E#Mqb~x+QP`ZVER8uS(=4~gHw=5m3{vpC}3GQ zc$zx6w##GjRjWTHzk))Lw+l?k(uc$-B`l}asc}yd6izRuHhy47WSW3h*H`Ir4cox# z`KAa&Liql%v1-}qXf{qDr|5Lk8(?!tn3LX8#A@N472j)*`v=?T!SzzQL9IOYter zGNbf)!xcFeppz1Q7i@T-f@oAe@F#muARq?|NJK=`0KX>-_|V}(bG^(?>GzBJs+Yf# z#|5=7Jq3K8OLo7tiLb})8s%*qCceU7wz%giP4mf?_W7;*Ly5(rZ3!phsmC|+@A}Fu zU0ub3=!xEUSsma+b-U}y8D78djBBQStxQM594%hc;QqUX*gZ6JamayJ;Hi++v0mXs zBd9NeLl_HXxd5Is=?_6o!dGX9CvEg$aiD&g&Ozit#Donmr*-MVtxfZ$QmyQhQ)%+; z(v5GW+18@EpLEU+;G>faV1%vjPM!GC+F zLWxJiC7@*ouDn#e>Osf%DJ!g^h^bKJ!JRZ0Mv4Gfr@tjKm{XD@{)7y-3VHyFLS_Yj zjRV!Hrx$fgu-29EuM@!8y;Dmr3!>?C2BUnrn4wV-wo0FD zI%w%8xj_VWFB7sa!xmn0?O15RHs!#cFrIwOi3j@aNpjO?3ex+di}V~B`#q;fX!gO; zM`%Rn@P%AdOsWc@+GLz!wK@7OR*d-H8F*{T*MN=xg2DK;qTkY{HtNn>F6^WXGu5+= zuDd~g!!IuUkv{KXxfC6G`4S+0^i%)5cLCd%P%T(UG4$ z8WrwS#2xHcH@}|wDh~?d#(yIrxBjW8&`hl0yyG05ZtEd7x+J{k=hE$26>q)be1U2i z#gZeWhyDy7UPaC-ee&kC5iY39R7bt~90J@Sm3L-e^p9DEPZH?lQu*dqxdy(H>#&;f z%;Z|SSS12@v$n`L_UEK=Q61SioqsYZ!q$mO2~PB8-z$xo{B*)6Q6M3K?Nl639l-oq zcfBgXrHfj>XuSvIAoGVhkw;mY#9=iMm@V?mq)EdlR=G79bY$Rt2$fZDhRVp|O8~Ob zQ2%lm(LX%*LJ{v*C`d7xCJ+^w@x_L2?(+q_FZ$HS`enJxf}QiCC5rCti~f^8z3RJZ zj%nQ9O0zJY>u+_bLhlk}pM%J`U?~l~z(@;F#R)=qM<6uaTM;(!AW17pCCff%5 zhJg0}!twr|GU6;~T``_A6pw;?W&x6RSXO7^zDi09#!%7S9ChDKHsjIlHD2}fU(h_l z(l@$jq_;atw4{;7fpSg^2wS(P#k?ZDF_C9*MLU?7D0RUxsMr^xCxjkkEunqsOm1P7 z{%~-8z+1aY@E&=}O45=m$Ra=r^{KD-2;y?_ZIuJ@e{dLBn=k2|D%*2X1pp$ksujC@ z(7_gR{k}dm=k)l)$9}%rP;KUhcJ5c4H*e!fC#DKiFKD6VRsbnGrhHp>w~>{@D7RHG zXWNTGw&`(wImUbM^5-8P4mVL9YgIy%F~PdCP$1C%QdefO&kTu-3Pk_5h=2{*o0Uz)gj3Xs^4s_5d$LVp6Wh4xe8;j}Bz|_-uuxvqcNCP_s|6{Rp&lYZK_((A*NRtghLMrVK>v<%jh)bf{NzN!_ z)E0zO4L@yoZks*=PklJz4_8i@#9&0Os%XohMIc#FB&Ve3x5fdDZ#^H@=gdHvBZ5t0 z9@~)p+{^qvBY67}a~u46`yr|F8WLIt6KKl9xVg>ncc2ZNr~(uW$>yyLY0)lGc(BZ$ zz!x6hVqSDkHc(V~a?!z&_~OBrG7 zw;QW{2e<_#Z^`A#`E4-nU&rTUNoKwbT2hozHw}s8(w#@IK23!GO$RaXDjhUnNR7`p zOTbKJwhtCktku<=fD`McX9+kPEs?2iMwTKYE+iJZXa~f~PD`OF5wj2R__Xe!0ikpW z3_5_Vnz+xB2JHpTy|iS&78Y46i58TqC*zZ~fod7FJ=P;dvil1(?f#+lU(|bAHj2RU z)*ebuyo1`;*=rf){Lu<4<~qKzGW$Deft^Giw*W5>{v7Kt@Q_bpS~@u2+Hh=k?$}nX z6mmLFqy*q<3sxCl6cH1W{vtP^y5n_%Jq_MFJcJkFtWD}aIc64j2{Saa_ePlVQfG7i z2dh2lw$USc2jdB@>c)urY$ar89b%3=uctD5+XQVMcwPRrbZGAIOW1%jOpG74ypXXs zAK*M;_v2!9Noo#$iU0at@rObR(cRXMMteIa&jc`8)hpc5>pO2$ex4XfDb<1B1d=u< z(Km+&?vF6AVLv%ghF_S?A-m$vhcP9swWj3WPBeQBRl$MbX{Z|MB4Kdy+NauE8})1Y z17@@$=@cOpG5ST>IL-;UIbByC!2YU`>iVQwC)Aaq`WJqCzOvf9GLE9)#mR2DL)L2E z;RQfi^pB?G-!%I^$Z^LF&%&XC#-iw_zjuxjSX;A^4Pk7rCWDh3fGt7OtLH-z9Gd;e z?)~9Nl9OsT7QSXSm@l)Zg>OJr#AT~K*?C67m&?!KMRVbf0=J(4nlP;!iAy1>{*F~; z#~qo5!R1>XX21|;L`)Rl8t5|Ei5h#Zzyq-S`4=xo^!dEN$%UB6R8L3QX<-zUrY?{MXJv#xri%HT3Mki%{w#6*?E5ZU=1mLX$=sQW(GsDq*@{f zS1ll}!eL3(FNfdFY4wKt0DrqNENgJhPv=ZO(&117s?;2C3`?&IIvVlM_u7qACPkwm9_P*cmeFhboG>Fz2+>~P32IAh2Y9~J)0mw%7D4B zjZS#caao-ptSg|mmZcbNH`D~dFahVR^*1!0Ko*)6dNIc35BYg2g9ksLMQH4rniyOW zw(8VLzB`Y+@44p)A`(DG0>h7L%kZV&jVIbA*Wk*#+x?ispc~j_w&#>lTZgMsXoM1K zakJI$mU7RKix1jJ++oZ&v!_^x`?XedF%Jem3%O~vi;o7oI+gM+;_B;s&wxlek8n|X z^uN`d-_WX6Gu$Ejei80D<5!)__FSyO@>0M2XaUVUtA?3$$1V!>aju@$6u1)SduWzs zCwhFE?mIp!Y^8Vl_3&2efiJqvihX1YOhtI$wt;>7@8OUFO8jwcmmIznS5E(;KbkAY z#TOgU_)am4cEaF|C$IlHJOd;;g^;T28r8(LBlbFsAZ`w_SU1K{CpIzFfnAm*wfZPo zbF0ZRoMc~W36Q%}r?0LOr;i{Oe?FkYoFD}EuUmBot`-p-@_*lv1M`lY6uDVcRp4gI zMJkB9{X℞YnwXe8Q#za)V+h56r@vkudS%oLqCP`Toe!K82wCSDy_InC_zx{p#Au ze|DbQYkLZ_w~qn?y|Q{<6M*TyRQ3T%ICH;r9biXQO!h!*iNdYu!GCPkqIJ={`1}Nr zD5J}q=9asK7ZVJR9?5xqJEjM3ARcJ3D12uHAM|t06UGx2=*H_v#dy?niZF&=2CnVr zJ3VFH^Qq>fxGerf+W=^fv~-_QS`NpW#J9htCR7==j5M2X3C^P|@6SFXQ){{dG)Bkv zF+diTaF_yM>1Mx@eMe2tEF>2QsNmo5|GK-!Y_{w(owAtI)4}e>>~Ya(Mfk01*9j&p zYZJ;S#+g?MnUBy7w?L^yg@<+4fTbOt#y1T-n#2@5cez^#+5-sn5zdQo%|+Vt=eDaU z*0<+MI!l4qz;4gtu!rf+^6522X1A|jAlX+Q(<-*PO?nwVD0lJ3F!K~)H7hC=g^Vbv zG48WmP2Xx+-OQw!!?!_F^DV>pssEH(r%3y-LHSQX<-_>V2*0EVa$dk0_G$O=exo{( zIJUFcj5X0)fCH{3^afJ4WuX$B16$i{NGNM1r7$V7vv>jq{$-KOe3e<0?MNcYzTvtF zu@7j(6zb(eizQK2Ti@mF3&)jLsaTzVA64qFnP&-QAQbGVlVdDr-PQ;KEOI`-p}Gtl z=zhM-I#hF{IN{h!z}nykJ<DXXmW#20YPxdC*F|lRPuQ)p6m5U6 z+Lt)QHM8n|X30FbaEGK~uV&|X3w9XdCFG!di10KhuftC%w3s_`qpd}|*gOBW%_>M> zAN661{{Z?yFzHq*3jfh94*hYz&4%ABTXDF(Q2EfjYRcJRKLMt0l6?~=5!5*!!Zh2K z%h4-bsb}oKbzV4%l6GbZux$i1bj86YZ@KqiA#q`^AtB&c^!C>kmZ zF|@Ct(;V6fh%DRGHtDPr$^mMavwq398Ur^NE)f+HC-ifi5#iGe*ZMC6p_6JJ(xndP z>>5yrcZIDN{3MblU9MxV$@LB~J@&JDZEE{RI&H7F-WSLftx@>04v}18yn$tdDpc0% z(na)h4j4(S#1<4`KBjrNzeg?oP>lxbGwBZ*bJYvMq-N#2XJ3$Ix?dDf z_OG{45(DN=@aH5E4wW@UT6fzGM_cCYGigwGfL4RO!W%`IazHYOgW|kjJj}nTOO~>+r9GjBRNSs-x?7y%>q%A|)gfwCGM!_Rb5ZuC5UZmy%_l}*3APp$^wf&5R zu;BW|xXOe3ZX@UB&~~1$<<}f0y}8=~6j$5|Xf81JkYLhkxPlx9j(MF;WdfXZw(QbQ zNr~M%1{Ei)Wn{Z4pgbShMQ*?`NJuNu2kE9vc|!@3CUIBm@!BM_lS3E#egn<24<1J% zy;q}#C)Q5wHXaT??1l@gLC+ica6Q~*KsY>JMhKk$eSiM3d5--AoQU49ESAHz%zN2_ zuwtLwc`EG%xd>MzUv~$&UjTsnTTlln1uNQ+h^^rYOio)gsK@X>w{R3(I{li2IY!e| zc{Nl7VHJ;aZx9ydZaz?_Pv2rDJTrgXMQS%uaBbppvDqaYj-kgm;xSW^e*b<{sQSu@ zfJ@$88ydyAgTgFKk>vO+O=ZXs<^kmRkVtQXO3z*fW1xE!?VpzU2>@5>q5a0Yz^Gs8 zvfiT$YOZc+FxWx|xyIL9FW^rW0GU>ZS~9U=^jKvf9M{0{`x3(UUFTzbig`{0u>4_M zy46Jc@hSPVhvNdcD|!uslCM}L`_GdT<=ge8nH&21=iMSRB1tsO_mNNcG>;USv^OxW zb1jFHh?dO21SV-OmUb^o>2A2iQ4L5MmeK1fU;EE(ER1plyqs)IH} zyipr%i2#*nh4t2fmV%^iv`_y(!*3$O)w1JAo=$Aljf8RP3(;2hUJNDtDKz`wF;gvo z?T=T&RM0ee+d)8z4yln1g!isF5FWmSC_KQF?+%6DcF?x*7^g|z@? z3tLK1oqp>LFf2#c_^}UNy37&&`>iq?W{BrvZ$3Hta%_f4vYY{mBG*_@JnH{?&V1F< zj4hv^Qu?0Xqy49H$$o1P7$HhAc2z#v?J8JJ#<3+b4dCu)Kug7LEj_?r%PpGBZj)lg zi>S8?;-ZjSmyZO73U}Y#%N>T`&O03&!R&_*b9+EMECh->V*_d zLJWX)ZDRHGBJwC8%^kp-ovSc~?%~auJ0~JhhTP}jEz}n@)Sm> z?jn^r1mIkVMDOUy;N1|LO8}lt=y@NT#<jFVG>x%0@Mn5VGR#u`?9K7)mu|e$;_={`|;#0 zBQcrJUsdc!Do+uLJ!^H)7N)kWL7bf`IP*+8lm5;0&!{*7fZkIlr`{8`_bs6aPI=n^jQxu;;b*{b>^B9wZiNsX1& z1VH#k<|A9b(lwdBtQLT9Ff1{8>@<>WcGM9sIXJVWdjpL45so%IyQj%IAaxH#tS;T(jZX~R%hi#n+{-bgwaQ93C9tP)UXqs)h0*5|@>Zu11 z)@F96Jhvli7>*zkJtnW-WXp$XxDs&4MN^N0VwKk8)a%QeH%gX?WWq+}4r;nl8>+2a zPZtBh3%C@MmOEY6)h2a1CqH~ywH5*(Q+WFl1(#2T9CH9xDMUSr^<*f~rsepth#4jAM6PYHs z2@lU&N{*}i*Z?gc#}+9S66`RHlXcYkq;!GKyFCa+oO7~ia&yqS8Fd4aM4V*Sjj)67 zfH-V7SC4CGOK$5sseVmZK*z^vIM&<&A>OPR7;fz_1FUT~hEjTs^l@cpAh0^8!3NPw zl@ojCTtThgmj{JcVcyB$OPgy7CuemO(e(+*!*r@Yu!tIBrdWeH(|clEfVe!0;5NR78jbWx9*3GV4B4v54Vw-{SuZvex{O zTI0ne)M4IL9I;P8W6;WpS8%tR;(-4)vhN7v*K$Q?)Uc~uB4nF}k-if*|4d9r@2GMV|E{L?+Z3IB$lH+56Fy?> zZvyT-i=<=-B^XV(8vg@Co>mpNke`yzfmjk-)iElxcY9Yc1vU9mMtS*4;W-5am>ula zbM6+{if?cDvYF`zgo<*mDDxsEfV>s>ZM>?EsCv71lh@o%Sw_`>;^<`}s``Ud`yi`P z;@9&AJ926zpRhfFIAM0pe1)h0(GRGwJ_sbDuwP76cQ-4Af4dt#$ZFyg-aFlx!e?Xo zk2fhx48$4;p)7nht!u!Hm-`cb4<>HD2x~G9Rt%*%J1Sm?6D(u9!jBKR0OS|09Fo@B z?NX@J>hF-{$HW650!qBR=vE#jI1eMG7qYcax?59Tl!aETxg!t})23n=h0vBx8p1A| z^bQv`ck-Me*NP(+cv@$y`Qrv9LJadIyEu&b-ue^jOlZ1Z;1AkQP4DAJf{wqgUGhvp zKkPp+B}Pa(McS9W_=CF#0uJA*e2+7S`*Jib65C*c7@$oysGWO6<7&do2!6w8G@6zM zDkD{pUv3yj6o@daU=RZ{`swD^QTJtvrgOdAzYPu`Zi`^jo4zcO+b|MR6#a^-vzUq) z6ARm|wR&!8=f>zB*#cul-c&{$4+SE29sDTpJA z0A(mEw90Rxee#54+)dZO_^rYc(-_W}!Casu1umoEP>+r?GJ`6qFDh&Wptwvq_vGQpQW7>sd}=x8jRao~`> zsAcf-uyI-L5x^9Aaan?Z-2ZgA42~uJ-Uk8Es6OSAF2r@RJjOT6P|@WP5wmAJ)V&^I zUyeRVg$Md$3I>kk){qBsm>gr2R2xj95A%qOM+00>u~VL-sn6k`%qUU@i}>_nWNw+ zgS1Q7QP!UFhoy84j1 z*7FqbD3pEA)r+5IPbJY7)jg~8X|lN6Ja81yjh$BrIYK^>CU$3j@CsTvpfBzz`rQ$S}!5o~Iy3GbYgy z&ah_7r-TOb3YcrYzz(+ep$PcC=(Z#O_dqiP3b5Gtn0vR+ICu&{qTda<^I6dxP2|4t z3|{QmP)o}RmP&u|`xB!}*>-C1*86b6dLdF?=QFc=v3MIdTg>r;ORT`Z-@`s|J9gtf z!Nn<_2nYR9?HDsay-Sk#=tJ<;XC@E-X7&8%L8dXNFin1t5bC&2zGGpP6pjmQJQf~X zIzVigw^wDZrwUjQ-W6U3jt7Z+h|AA6tub3srNGx=EE&6z?Q4QlT$Hf}gYnq^X=_=D zcPK!OQD>}2gm3Rly3V*qu2j|ncI~+ZL1GcLHj=4BR|qHHgRR=oP#xq2?{gH}tU-e1 zvkqEaIFVh^U=$L(mZDpWwcoNWJW{f`2T&#Aq?kX9tvXPNkCEbdJ|B34N}P}%pk^E- zb?w}}YuXBt9FS20eko|A)KnwBfyEkp(-wY1iU|DEk8TNf8vu33!T-Q)qNnI3@Ki+8 zb4F=5`3?#_=Rcf1rb!-A#=2bqgAv;Q1AvF)6}fzG(UC8m22lSaUAyz6};S;w{7zxa@0e<uC`9{)SxP6B6Pc`GIH- z+El@D7!3V9was0j+(6kEDFpNAd4K+wEE=o|la|yeEnP&FxdJZ;()$iQ?gd~&0=td4 zFkp3eqA|#=D&ZNxgeD!A2!M6PBbP9c{G-igYXz1_4O>19{Uc45GcdL=Z6Y5SfFNQB zLfOfi>cCrkzUU2BuFM%n*$IqR&vFoB%}q3csK%Ux!Z6egPE~`w)IWAF&T<)UH4_DL z8gl$J{*1)Lj-)lGh)zuQVI#;KSR7!W0S>9~(L+b^#&KXb^lgBy12#78(&kX^C$kJ( zf6Tn{dJ_t+a(}Z4G#scs4#XlYA(7|gN1v-j-cQz~6h-Pq$EhCWQt0-9ob(0AJK}Fm zMUG$$1G>X4H45WQg2Y7*#{Q-=lZnhMK&*|1Mt1s3j<$_-mrn=y4@yxFR3|gh-7a|{ z7Q^p2)ec(yT7BzR2h`(3Y!?5nJroAnjF|z)iB9b9!**l>b;2I)`17|#q#i_S>&d&3 zx|-$BOPf~Efzmf{%ly1C^Uur#S2=?|oj1}Y;bWUc!O}_2K%CwnG)^tFeiy}DxeCFX zRB*l0y|c<%fh)y%4mxvj8Gx)nR*A0SREp(+HW`bM03%FZPpvJ>Dwc)u^&rp z+}&H4zaFQqofloOvYBPZa(%Bw+1)P`{W*+2?NCqKg26Vq2VVWkmz4~vY7Qew>JkBZ zxBjzpqu&as1(>s92Ic=1rmV5gtM7T#`b;I0N zk6!#{SKT|g{-gt3+0k{(+uU;1AC8p9Jac@$;N9*arGj)mPMG7 z*nn;;>QHzbRHWZDZcca8yZX`f>LYA@8c^EGNqOQy=ijJ7SXzc75GB1f;LTdcUj8`i z6<;0vQWqC@Rkn1wGnhgrx1d1Mp^mCXawMy3{|WJH$n;45ENYux@^xjRN3Qkzaen@$ z^}LdTSiTq;qElw~7P*_U33Ik!e2m|SbOm6RYByHg1vQJ79`(}m=)Qbb^c~!uw_aNm ze6$w>kL)SQH;&I2?V|=8iKL)$--?v#CrXodObKGG4wBhww+15qK1p!A!4GN`2wQ~v z{_jLm8PQ_#-uSdkT`;zNd`q!fKW~M;!D@j_j=zOO9J&+@ohTifZv-6c^lsC!dWHb7 zzU~8`z!txTl3&h)`o0mlblaU*#iC54N}<|RFPi^VAM@C%ncLLOAWTE+L8{00VfVjW zt!Es@V@hL~$`HMZ*hbpZ9eqE%0`8)&R41*SP-TgIfGg*Sy|^b=56KdF7(uj6H+`N$ zP0WqZ4tv?1d^ootZ1E6R7G?9Y(O$Txk`$| ze3LU_EJ!$wcKW`+%ANl5-<5&i4%(EFtAVJ8?*PR7uKQPyMR0juMjkW2UO^r`PC z39A2yK&pNhd$yDYomwqm3-VG)+>*9dh;VvQf5d6#n~9CSx8X_xCV#GByNlcJtGCBE zjXx!^MTZ>h$=xgL74IMY!P9(dvtq z`Jsn%#T@%0Qx(zQCKl2!Es<}rpP8kkwHn~uwFfarxSbicfb6yaV+1<%d{k#r!t<)i z*K`S5@arcgHjM#Nx#ps^Xfz__)2iOXkr#ZiX{>9J=R9~sGs8{}DJ zrG?7ofDaFe!uOv5O55{s{QlM@E0^?p=#F6EvG)Wi1YLI6=%T0|ou5jMU4N%dh$W#k z3=E!s-)vGI^ur`&QLI8eV{7t;n;A6cgUJ06n;u>Tku!{qj`2)y#z?u)HEddE&Zmen zVWZN)w*#Epd|jdJZ3;gc7w0EL(^kXhEH>BL2G~&Zi~(SU#qAN*CQIkWv5&JwNm>ozUNFSW7 zx)wXZXs)aO6e{jcGY4rS@x6_D32}Q+Tpx}|j$-cU4t>K0;7D`}UQI55j$k#T3%K{gj~m8q zCV7SS5dpD(B;>@9$kpK7wgUY}1-_T+LLjE0if7O$Jb^AsiVTm+*GF7Sk~sS5;00b0xD7nnx!*=4yPS3zX~t6R1C!l$pCL3yLKa5+4m;b8Vc z7Be<5s$s$w@_RTEYI6hH)xDkB!YgL0CYb{(Mf5anJk*)F#Ds~z3Y!@#+dnn2DLa$X zcAN&HdE~-VY~hg-4+}rqry(K(XO^caBZodGI?8Mzm=y99f4`xB^$wJ{OC4YnMZ7{n z8Hr0_3ol(yMvYWcuoqt>2v-3t|7LmccP5EvITJt&f!}EmfSIvB^||j6{2^j81TnjU z5%{Y+!s(U!X8gFm;8K#MLz|nldC}LDbW8QgZFY*hUF(i#=3d2YKrd#qs@;uP;1Q!%W^*NK7I4D13yGjNq3`b|rtAcnhZ1Cn=(0UidKT z1uc(&q2f?oogS13iEq?9j3ZiHi}_QLjRzgkiaDFk@X>DPx&vlErgZ?saUcC|`p5^u@39y6fjD@_FW8>7u8${*nPBkYq8w;i=OZ7zFa zpO@mWTw`KfeEJt~S+v0>5PU8-$)Nxc^Dy?ZtE{&*S~zUlnuy+N-Tf3~k0k`Uj=uSt z8asvyd6e`@qRT)+s!M>4Br;^%2@!Q%ttP+Rm@>THF3u;rM%DthTF?BSQ1iU(g!%Xh zRGbq1w7r*CZsg6kgr9Hbw!qId8-}DE>u(e+;AfG3-!i?BK!;-4s4wOU7iKn-VJt{1xW``)+c*ZSF z$P3=3^=xOd^ZNh;nhG}gPTqGLOZm%y2tI7{oFm%K&^ko|Jcwqh>IrW6}G{?Cp(Yg0s7u#ijwYx@zl~} zz^j|m@(RwI&alTGuWLa0m^m>PXZRy$@w^3;kLj7xQyBxQI6s09fTakZbpp1X&<5gd zkJA;%k|8vRIB6Uc-HC{YkBEvRPAZQSR?1_4ebPQosjnEkq71pgwXQ3CVja=~ zMQY;I=EDGay;gb(mXv9#56(UZC%3-sO+{c7d~7yWYg1Rw1U9_l1u@3A*}rK7o~6-s zukYHX7c`|o*H%z@G-)HEgnH7F%qXZ~-lQ&@aMdHW>gi7EkT;N*!z>2`U$~BZQ+PDU zaLL9oheiEU>*SF?BFffHuew&~jIU*#dN)M{gn$5SUXe~euTBc@G;@d%p0L=UM{L?< zLQ|YGCRTno^rNR(XO{26Q|5Hne!4#p1xNk1^j|!&j7TUniBwFcHVYs+Skn?r;Et+& z*K%xWXcZca`$|_-DH{z4EW?S`r+8~Xi|P7~Awmb`z15l=tXL|44T7Q;%WX$>R%&f+ z#oYore(X!JX&hP5KXm<#Z_8$%!?Xp*_5MkH?g_%9Oi+0SD_6QuYqP`?Z4YZrXUQU6>=>%EtA$qm#SN2z)$<$`$N~<7%lPJ!=)OA=fJ#;_6Cv&ff^}CWJ z6>QrcfgVkk%~7T;BniB})giw}VBg{_VC%QauD8F?O?Mks!8+ZMtxOk4n|CFc($lX1 z!svjK6DUa8n8vUQ8j;j3w6tQC8ywTkC)e+C1e{MPU_zYB^%;5~PIJvThbNzy7rI25{G_7B|7${{f@Q8xY3~0HuQjo;(umG#d%l zO-`#pRz;YP^A}osnv?8IWb#*E=AVuOP7g94jX~lPj0$DaNaVK}3C!a<;HuMH&v$Ia zf6|~|k^ncpy{*Iusmk%_q;%%Hp^-3d-GD1^&*2ajwJT4JdzD_GTk>|`)IKt+rK%&c zT+3BACF_nb(|9;#Df8kVPqyvTviD5w?ZZ2J5{%jGtVTwLxLV3L3{zm+gbL3CT0D+a^8BvH2=9+tL_^4)g_V*7W{# z9OvkqM)U;|=gd^a4?J9~3Wu)(Zfji~XLx2{X;^(?IJC6ArJMB5*YIIFvvMTC{=hvXXe4?7gi9$w>Sqg* zH4B!X!$pMjIX*y7dNU0YQWNenfx646bI9$JXvtM?HBUV0n>M{dIN@x=-x0>JU1AIB zmJ$RqaJgPn{GGsAU4C+tRU-QzUFcMs^g!VKWS);*8r4rxP#DfZpH%ZFmNhyUPa-7c zV*c6$Hj?FOpYWYg4NBkv4z(QKeiT&{S4!{}J7}*!h(2@Un-A9rS4aP!=YeXxNSBz~ znYthMs>`gF$rYR{g0efQl2EjMe5(1ri!;uF*sJqykrIBz)Jj7ZcTe3M#YPYYwC{;3 zU#GzY44=twQgC|_Wg%F7+F4Dp(_s-lQ~Q<;!9{3%B~pUwr5Kq2Cz^JmZLAMwIFHz? zbl1!3tmd;5|Np{x;B#N`1ZDpzF()X7>EphirGOLvwcv85gc(Z2OFxMsmrP@YuB zq*Ur}w#!N+ReGgAP4ca@&Rw0Zz2{rjV1gsAyRHc!O;w?hpiTk9%nMPd%Jj`;#0o$!EK|uxnA{6!*uPp*HG(D2rHEh)ZK2+tlGsBXg@&40=LPYDPVa6- zpewDe|C6u)dGI*gJjJ?AruJOO+1sWNaenbC7k9HUJq1FmK3Qx(%42emmEAy(GN4Bz zfKLvXdAOBSI@Zbaea& z-2S+7zJ9D{iVf=JI-`*F;`P@~b20d9Nq8>c%1>VMLo3rbKa9ph2Xef!mu%|U{ciTC zDI}?F-a=hp6j6pR&3+dP92EKPT#ImuGi_w|&pi=_g~S*Xq+@)wO(-s90qn(T?nv+7 zRoGXa__5t0rwwhSx!pv9cGgltAnY|FG+BIxfBsB)PULP_gAf*1yu|jLSX-H#0!&zCjy}P8 zUp4N~I&r8=zZ%XE!o;XKK3!|JuEl)d%979UZ^jado2sKB%m_YP#!u-$0O_ctYf-g| z=8OKrkNJ~^z7KwyCFAM2bZzo|#ouLEo)hc-^_`UnVuMkZE!Z7P@rs1R{BLk~3g~^> zS}c|S!w#9m)0l|*kHmjL%XbkJi+ z3{r570gIvn#v%tMH`@H3*}xW3i=M8z+rXa_|DIvzf&W>K+9=EUFn)un0NwbTWO)5x z!EneH_f988Hb5oah$M?I2d7-AKfk3prk4`-+fWG-?0Kh#2h(9GXrY9I29c zeZkMfgL_ceq{WV;?0$MDV5*wTv7>WbD~?2grSE!$@M%}ge9FB_x1`=fX5FH*jVq=+ ztMUZWmXIa;7PnZ>ZR}tq*c#7!7I!A4iw3mGc0mVPjNL6fu-)!q4yI&jqF>fE|K}A7 z7JKwh8~RQ(qAj45PXv8fcPm>)*91;unbZ2` zltMpj{`17=u8f@Tw0m5xOAg}$(vfou!(-9a5?RM&9f%+NlCq&{I@&{)cX8gZO!B}%a6tYmpkGx> zz$@riqG2Vebs+x>R2pF~RQYnmei`n6IFSEN2B3E+_bw-NCFqW`P401pBpNB{zY_kYp<15&E~3#98r{dXAukhZ#i zd3wL>e}QO*{{mh95BLwW>c7OQ)c;@C|BZLxKVWy~e-rzMzLHh}1cdm@u>Ys)QImfn zerNQs`~T02)?ym(pT*GsGV=dx^Ivf4#;-QmNitoy{|@(m-`xL@{{g%I1Lkz06aBMN zr(oojEnl8$a1apu|A`2nG}}e@&m&Cw=)wbgZT*T*n8e+U#TI)#wIW2Wz6a% rCM4vcEv=#^$H^t;tYEAqC97%4spTrqEa`6UF6zbR GENtimestamp - Tue Dec 21 14:13:49 UTC 2021 + Tue Dec 28 14:26:14 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.v index bf6dcf0a..0b6a4062 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:49 2021 +// Date : Tue Dec 28 14:26:14 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.vhdl index 514b49d4..a91cb8a1 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:49 2021 +-- Date : Tue Dec 28 14:26:14 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.v index f1b5dc0e..837d467d 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:49 2021 +// Date : Tue Dec 28 14:26:14 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.vhdl index 6e9fe27a..22c19448 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:49 2021 +-- Date : Tue Dec 28 14:26:14 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/keyboard/ip/keyboard_ps2_keyb_0_0/keyboard_ps2_keyb_0_0_stub.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0.dcp index 3fb25a6f90f2a3a280f9bba793c224a971fb4991..e8acdecacff6945b0bbcf9e192b0d8fff5977805 100644 GIT binary patch delta 7565 zcmZX31yCGY*X`gM+$FfXJHZ*;JtVjU2(AGJcOTr{EjYmm4#8bAKpE~2?{M`9b&#q83@i=+06+xDIA>~TQRNd(Kmh=6;Q#=Hm!oE;4y+z_ zwn>R9&go(V0~41Te;hucC%{#4N2Md>#-mEWb9^ny!cA_$btlwi_Pq~SYS_zz>FAs| zfBFd$66&Z>A>;?=7iRvzQ3@Ve^JZ^ErxyL4$qAJWvI&8nPdqgN6^ogR-f%ZIRqv34 z+M4hvPENze5~r+8c9(&z)|cD!=aX~Pb3agO8PjRRmI0RJ<%ozTPz?qESLcTxLCr>o zQevUsu0lMKVJvNA6HXN;_&3&9_sh{lRR+F`;C?K`#h19-U+g9dqGbIjd*?x~hc_sF z){``;la5JCA32u_%2AZrR}knMs8!-QA3*%!Mz^pPw!?V{WW7%g8p-U#u`ESOM5MHK zu?oK?Y^{NHhpCXCu?XhWxWd$=1loZuiR# zm=BwC!H2PWw&h9hdiB68OBpEaeIiK8U#{5sT_+sA+c;?kLVZ)}!(-MTyOs3o5&tUA z>~TnFP^LQePj@U{TPd*$VFaY9WL|EyW}w$GCCe;{+WOt<_;JWwCE4iZVQt2|@N_;I zo6Av~qjbRi)LTCP*gJByKuu6$`_3$za_pCfKVM>hxWChPJs&~A4h3PA@PT|gZ;eh+ z{`=ao5dqRK?>)@a)NKa|0MOS407$_csH7lsGmEuMd*@AF>~6@8hLy)u_eJ{RZ&2~x z7%4@W0W_h+^cPy^OMde1H;YkrSkuZ~UucK}nf02?w>^%WxbUNxtFD?8I&7>R36(1u zJOXNBWA>C$?coNKTiI3pE$Ks?V8sX*JVL511$Za@pcCaamjfppzCOn2xAPyFSyzMH z1|tvmM%0$hl|YB2{%4Ukx1tS>C=mT#1IYVozhWy!Ri4~NKYbW|n9@(=&f9`q3-1G_ zJ1%XNGqLDpy%HV3*j1WH`_Wn3Sj(dHKKceIWVz>q1w62UU(siIRU7K{4-~Bf4}paoEeH2xu^7s<);I$9LE%xn}2`MLLNi<@(; ztZ|u?%R>0_gB@u69q24dE=+XJC+Au-hu3?_T^<=Z*l$ElY@zX50N8>nGzAgePZ@Ad9$OuBk#toHrSseD2G7{R$jK@#k} z$9ukpN##6X0Zy=!Ui>`KGsG|*wEOlJCo`p$y!AR!S3D$oQ_GnkB3s^k##O1X9L3HV zDPEX*IJNq4lCkLK8o`C{Nxj_9ji$%t%el!?b$vkfGjeuV#>wcaUiV<*bqUYyj&sfP z((c}4wf}MYGi9FnPJak1{RUUw7a+!UWe_u$riNBSdj1*lQLMylQVHQGsLZ%tgQ~Tr zB|@?5A%;GKbpuO@n3zaIh@cot>m--al99KfyzftX@k+DB{PxX>TR-WqG{O)3R(0xd zR!#60c0M}VYoxjC2#t-8lb4~=$3KoYR7(0(tJ2Cz9uw%f zIHDY?+a3}@G$mZ3YSIeU&!jj=b@b^ZBdjer zlGeldn%Ju4PV{XKYN#}BEcoJOG`X`|s%}uZ1oD!2McIDpDUwUUE++J@dWka7@{(xg z_=Vwlvu0}PNc12jfkeYanh^CQX=|F?h8LB8B0&UgwIu2A1-@@mJuXSuFVqQ8jbQD=&tKOk3)lPb(5G4n-F`KQ7PhvdaD4O2=`e*8s_d8NgT97ArE zIEF|`N@MvVNBmOm(VSPH-r1)h{eTnfpXJfMOEDUZu6~#>Bd4a@8ylingDR6;uQUi6 z+RLR!HP#uu7%?&vSaylZ91risaI62zgOvt{_EKMH{D0`h7h3t1uK7zlztV9p^uowr z_57TL#(p%XpR^N*Q&LxJPBy zHnsa8-zXR+a=iacrq)JLX0+kB=sG3*%NB^D_N z%N;|KabPI^#DP#7`6V?X{4v~sAzqnz6#Zg|Le!E}`VN`ttmT7fBT;t6U-ra|9-oSJtzXvMH&6M9=#6Ab-7P(J*WB9MB}t%Y>;jZje0wZ0SFdw#a7F&;YAt|_|7tEw_MI#6nLG_1!Lxf)er z1fI?`0`K*ANd=D}1Bp1aS(;vIxTy+ILbC-%SAEtVJ3GhU0tezg+M$_G%;G8t%-dZeBffJnX_$J&Twhc zitg;&62YrmN)1@-uaz{Ba4WnUij0AxVOsrxMMQ}%%zC9`hxx0&^TS=_OPIL&q>9g; z$*}>ok(w*|bv<&Y3eIi*PFfF_KY~Zb4y|=rGeOJ6s@3Dml6+kuag-3SRKylT>p{Hl zP2MSxwSgsl%Oci=KTOYPL!(P5@H4giu`oEPBCyGf>!*zNKGqoEWe^cwTf< z1T;x5MTyjq@~S(|Ha1T&rk=OIy;lE&j)7O}JHAz`0GCwd&`r{Kj_E}o;=nB&Ob@)W z!2({J%FXdwahN5N@d}0iaCK>(fOlY*hC{Yv#NDSL*5ISbKI(C|yNH?n2~~u-I~g9{$BpO;TE+W%jP0vU|4lKjQ+8uEOkh@& zM%d4m5eI~4ta@XA)^Eti*Xy=&f~`{lE)p@MT3u2>w=k?2i(gITe- zL2=C4*tX<{wc12OU|16^^6@bRR^;ljnF-}8#Ss-|`EWK?pwhVFSU3VQ&LD9g@ov#h zkyXwG;^oP#$d0q1<=)?F4^5*!2h3sw4FHId|7RiBzrnVH{=3G9LCTy}FO^{F%OH8J z1i_`aY@nU)1h|h88mv3=9y2<@NNoh9Yl>9qhxU%e*Qw<80r1Vg-uM|9&}>k9QYCO4 z_J^Vcy7AS}<}$b&V2*QBNtb(#(L~>nsVwrY!_7{ePAlnRO7Lysi1lk7dpsP4Xq8g@ z>aaVQp!3qPDz!qG_xR+^Bk z!NC$mJRd+G7;qKU<8;|r`jE><2?LVeR2$gDXLdNsYVsMv1k>s>aegtBpVgIzGAFC@ zBOzr&kWIMB&^5|Qnx_pDSovlS32nvpcYymjFp9Swzuv$_Q>!lUG+h#0*9N~|!PR5( zNg8DJriP%{ANoxU4vpj(XvLQrtejh90)Z9NuVu*NOw)K=!cK4z6n$pNkvP8?^g;vT z{865dVl4c$Fb7P)A!@SXzckY@ zZuoK_(jekyL{jimU3Vvtp%N?_*5xzswii^7lJE{XqVB7-W_T133ZwA==ber=lEd4o zaB;nk_R-~Pk31y@((ZC3qb`PzMEH0(-yK$lzqHY?4`wLjTc_Wy%%l2nN}ND_MmO3o zT%|nFi*5S?_hGb%6Zp*(LeJ_KbZyJAEte^`<7ad2U`_;w1o9TB1 zp}~=Ve*ChyJ#Gl$ze%%$YA+}4NLnS&jc2U5!jH&xdt8}E(W$4%%3}%@lZUF>yr@^1 zvCMYNNjio`(MbRg(Rk{TR|)=+(LURsZ@(H%J|RA(PV@eRwF1+#bSA8o$kw$?P$^ls zqji~D-xO7i7GQWLI9i$`nKn;1W7#+i3cNj9QG%d{4t$HQ3=|bODe%qO6{wY@P9s>T zPxh)Z!;2QNYM-|5xWcrgG_^rg+{5?3M@Q81#Gv!+P~A`gs7 zRtlCGDJ6!uOcfPaAq|@7pC%bP6b5pkeT4E|D!^?Soc^@DrK6NLEbun;5wXOUFyYtf zTK`=+<0Ybm@%4PEw&-3b(`kVaUPljg6(z!OYk)S=0^{8#UlYuonmHwJq$*O#$}gfr z#-MJ?`2}ZJqY07Aj`^@~l{;B;&{vshfU#FPN!#KBhnfdPxR^#leNbk3M6nt$IMl>e zWvK_dgp0(;?56kE(=P2Nw%Ik#?#H>Y{>tZ@TLBbqHCLa~Ap9rIlM){d!OB2XTF&%P z`n0z0+7oAto3$(eoD=bG{>cM`xLhtKV?>?(30BgUd&+4nVm2&!UFe+@D0C%a@%olc zpz$YFqqg^weu@!sZEE|ei6*Qh9&!X41;k*|wI2_dRn<>A8`J~)xO;weX!ztkm39HW z9p)`KvlGTOINY_zp%Eg6m}JUguA2pmPHBIpXvP+`TJwz}qG)V9^8{9%QqF_cK&kvA zA)P1^)b>jF6tlW&PzM4J$Yc%6QFgdhYv%lyV;`}UlRFMKaAc>_cIzHYSg~+aV3M%$ zmU#QT&8YQ<^@sj#-EVZF8ssNm5epj9vs z?c{+fef)d~EHVojM+fG4(WY;@hFamLxJ^+vvLyJ67WdQ8vbOSq2qIWI|0w3G)AkFU zd8RF~86={BxUxPkO%FYq8Sc#;`A7uVMn$SsfkY`1CXXB3krAZZs&Pvsub3Nj(R~nv zr)L39WFiHIPa5b^&Sed4aeL$j!4Z@K5^OtDMI3h;9&lwVvC8bO&Za82_FCSW0%?P+ z=g-Z-RtIHF^kI)$#+9ReumiRd{i*`rsJ%soAT+BXf)v~CBkO&Az@Q`KA%``!;0)% zfcPIj(mn&S8|OauU0^<#f2rWH32=u=bh)$+VmdR`sq;Jnr9qd&i?Lo_Y_YJZTt7?tG?jZefJ(xj0$8on=U4WNhmQp>ZVQO|AX`?%mB4+D`pRt(|B<&nSP+v#^ z@L*?s9$uqC8m6~cQF8+wuJv-iWIGOIyCIz!ExLKifSNsR23~wBIpI)3FVh3)SAO2;4vXZBZ8a#lzmbryFr- zF4u}(3ta2q=iKJ@#qVK#{s^qoeHXryF&~K!>-20UZMbYsZN=-hkCsuh7x~@Tj>UAn zA9~>i-o6IIC$gqV@#9b|dc;14l=rWE*U)D6oWhPsP)vKZ^z$Y_UTo?}`@DOBSJ{R( z_|%W7R`ByzWu+V;y}SG~C8GSSRxtO}7QI!`*C$0dadW6M?6mWj|JrqDo}OK-lmR)4 z15Zgy9oYn8f?d7+ltSG8L-*z=n}G+ew2~;Wq9MO&#$6gN@Tv20fuMO5=Y7P`j`ow#L44g`=c!~^2PRMH1#93&t<3ClTPy+ zvSNQ^24Xftpgt`YFw2n3mL{wWH4viTR<2FjvJ_8bflw%%vV!co+3y*+v&dq1NP68X4^w14 zD*9CqViy*23Z~1pc^mvpEI*B9up9TaC!@9{{w5~3bVD{>0W2*1f+6Dl&R_0|#_g>< z)aF|ATq3fgew57H)J%Nf4gEFWEoHs*)n8ukc1Qe>SEF;N~jRhzUUaQS=qbXJ{xqp|QJ-Hmu+V&SwhOaqR( zab@?)h8(>LsU%yjYk$u8mgPKM{YdgE^kDy_*34U&sMIU#>+ew{*gp2j!1rZ59SC>2 zx|5f&Nt0$Z@-_T;+d@Sr)b4@c*lzt+nFR#-mT-%E;t^!yUtq^HjqvPhvJ=Oy%D~%x z@-Fn=oYGex$Y4z)?-FNmxr$+YvpW$FshoRY8gM~#?eW`Fl$b{j3uVW#nm&>mp#I+K ze#D%y@Sv`kvokkQZyv&(N@;|xX#eBDNE$A*ogl-u*@bEUJxUzZUWfGW=V(V(h#UD5 zQBu`G3S==Z>~p(_`A?QrrKo?UbYL^GLd4f36I-bx5sX1D3K9;W-y#jP&X`r>kz%(_ zr=)8QFc`FO1LomEe7?>^T=-9KDS1EVJa-D^oO!^{%^*9nm_fa}jgAvwC|$1typ6WF z_^NwMZCD+_=&kF=M{>aexfHokBHUg%AE9V8?jr~yQpL!Z&@-`U9!LKikAeD|Udu<> zMp+>NiQdl69CSa~S<((U=w5hZPUzD1hypo~Xb^9azsy^|6Sv1`k-Xsv7qkELO$F$` ztaXm9*hA*Gfb!tG?rFbBCXtpPgHl86CjObf#2e``J82Ty$%%;?Xa>ZAZomdlOuDZr z&zLcvWu7SB17`;LC8bk@X)|lH@{ub+5(A$!jGYj)K{B{<1lpQ%8d1mL+@bo-@(!s8 zG0UeW+rqZT4k?I~^#dh_=B1fCT9xyu>b;wcxhBnCKjs&m|Lluqeyd78ZWUQ5XL6}? zIrORhXwf%s-M(AGAfw~%0#SIcQ*>(QB`&&Xo&me)QLVwEqBO`eyQ>}#$&7F>gP&M;j#YqDn6tEdNSggX2%Qr&sxd+{pTlcMU z1w=!19p-$eKPXH&T7MN}lpZWP@w)hMBZQ4)%#JsQV`vhNT0GBZW5J@_gK2)VO?9KL zk#jDROJ``w^jLqJX%{H6C$zSOB#*(TT1lNSwn|(2aU#k03N&%`4E3)sMFWM{ja=)c z#i#v}|C7HY_#|L5YJ_?)3>^+;7l6kzs1Yn1`&9Q1{eAQ3fzNA zg7wlLQdNL@@%X2C_#y@4(aQae{rj5$Y(*o$Nh@o`BQ1qnWSTeN#&*61l z;J;k$DJ%d$`5%Xuhw$R?{G#C?d%eD(5VQ2iA+nz_-QQBTp Ol21Tg)>wvJ+|g-Ho(#cQ<^X@4fH+ z-(Bm>>~r^T?|Wz6bM88OPOwUl3ILTyK*R%qKq#PUyI56f4Hl^ZI1q^Z2?&JzaMsAs zmd(ZLeQbq7u#{YE=;phuv59`H*ORXUtM<|VtuXLrBJWtrAGFe@>`iv zF`L2b)b)?AO`PAR+1pY{%JL^MCq9k6ZhvP&A!9G((1Yl5m;V~CG$WJ{aCpKL(j1V0 z|1;I+#>J3TVqqCKfjKLZW4JQXeqyU|@_4A9c6=ADlqoS+Y#L-rS%889hO1!otmVIZ z-@-V_hclG%tzJL1r737vhBI~ZNiL}lOn1Cl+E8d#^-vaON0nWoNT=lkrCr^Yp}>0H zyHq0sIOLqfuKA+&fO!@H`sm0j80MkTW;A_C6_cL zl#re{{<&s95oK-a3t8BEEoN`&5Y_c_ok-dW=)}DncPSbh4uP}R*PTZt5?pGuPw>V zhrJsR5I z9U%PYse}JK_O)wOT>w@% zcWB^V>#TN)z^rvGoqn}71p$%GQkTXbyey4lPG?Mfs)n)9C;;6Sv+?KDOcgvYdY(5s z!~Scm*wdm&`Ux-pFjHme?>1ew3|!Bi_{w?|kN_a0C-EW~QEV3^DL6V~Du=A|HnjEI zXJ+$a^7*F2H5@XPXTWVLe8g4iZXaZIb!Y6H?k<1-TRrND5=CzA_f5s! z@x3n`%lY?fh)Ord^z2`JH&o}&U6oEZnz_ zHEU9*&-YeXEm-1NcXL|TpP4Yq)uw-O;FU#j$P{Opj4ftuiVDJXq?w_)smcL{)P}bA zp9!WJ@hoF9pj6Bwu;u%o@&N7}O5Y7|4)cjYn*IEi7^d<)l9Kef|zLsqJ& z0NRA|N?h%nsGB>_y^eV%o}v7lFV;4CEHe(8LukL7xa@E}KG_o<*zMk1E8X8rQ+(vP z?npOX`nmR2#%%nPQ#vx!g~AHCN?SbxPA}=W8vbidHILR?W#8H(9tVJ*i_F;~`#e@H zax;D&$9VL)PNw;?n8TWHt6IiWa*2oIWYXW?Y7B+Ave1xUYZQR` ze+?Dwru7v3M8I21uTsSRahKQS3>8{SFXX#*<53^wMyfVc)&Hh*I&+4uK|W6}#5Xgq ze<+MZ_=?RHXKu~5S(O?<$v>0{^WylOq$uif)xz`i!^vsfZ?nkaz*ZgGFtl%<-X91M z*4=$?c4Dh}_$1Le=;%bUv2RYIAgODAV)H=1B&@sr-Ylqdpr~xCORi%@E~=}ir_;bC zG!hrses61qtcZH4Ulicfp;Y=k z=dgyTC_(ajGj>`eIbzx>b&w>LAj7LrXSj4CIaPw3Nbivdi77uv0|pH37?Y=Rs+h)v z*+Cf_Weml5F+dz?58Dn{BEL7YLKQmw;3y`CE*UMknVs%<1l>wt;8%`Zepr_s`G{Yl>3^Dw`dG%7R`9Y6!rq2EJGo@L~EDAMA&btRW$~DuoC(_v5 za@L5E4=<_*xv#K#*-V!*<9t*OwMTGElcJFJNyK_fK!P*lMm7V>qO`5}Us`DFX8hua)as=aWc`&s%1`Y-e7A`qo}VcO3OIy5@YNi)`N;9AG>vQ1Kca3VIq{N!QTHXh9Op&+eXYw~j zcM%ty0JT2N4a)t>3h!z*w{gYw-sS6Lh2(op|7Z;xoZ)VPkSjq-|#zUam zi9kQ4m3R~Eh3zZ@APIL5MHx`~l0{`i8kxy)V7Fj)4=HeRXA`052N;<>Cw62+5}%|Z zo|g5m{i{u(^`UDQLlLC)k#m_D>Xn5=j5M&f-!agzx#}Q$ZC}`FmEB&YOKDHQcGtsY zSEROekt{98GwVlif^^hQMQ~(A#0MO+;x{M9TPPR+Cjpy|)zT$;M*CwHV_bHgjeA+M z!>;3bm!gL!VI@VwEsek(zLLOQL7Te0I=nV> z2DvF5K<%v1Z5)%_vtM8F-EUsWMP#)B6E!4!)G2s7BrhVKMMez_U0Pm2?pRhR3;={Q z`Y1o5+7mFv(sZdJ%@?fN`ttgiC3CuZ3mL;k_*#<0xfK(1AgP?M%}E#gsh;I3G*Buf z_;Y_gpu-7Mr8AAl4P^_XsndS79Mes*!l)V#bm08v&a~@U!90p-9!#=-u#kSRxOuR+ z=!}2t%5W?GAQev)xUiGN%(LxlcelRbI6^TLf(hEa?U0M$g68Xpr*bYegvL?^snVrA zT%m^D@VU%VOgG~SBh6l~7HSlq@zGP)p1^BP4R2b-gxUWpZv_6Up5w8;TMN~jZ)^*} z-iU0aYjX7_(}QKIOu<_(RLY$0?xQR!wg>DhRE~DjjvME^Gn94Z0dGCx_P==h5x4%u zJCC^eFW!B`jeqgpBd-68f&ItM&&SRI0*9Z?S z!_J{1k|km2^Or=8%$$;n=hb@WZ%yVm&XB5w9d6P{sJ|a3RBInI4iBdRhdG91t%&V| zhK79UW2{Vo;dCL%V9Gc8W>@bmToDJXl>F@!n;pO1_Xkq8fL86N{@F_~&l@q^Fo>3r z*zuwel`t5mLF-sYSh;0icfyC}!a&+DQm8kfq?li*aX&2d?tqD#@GzPAnP za!1{U?$QT|cY?E+`%=0sBkrs};Mrz1P4~1_&1Q*IHxSSI{6~Q|sXmAo?y@#eA!ME( z2}B+XqLo>xZZHN zhfIxSNT5Kd%gefGT?_-bo#-ZvP`KRa*O=RYORB{{;#TAF#AqEywRJ4-)Xt0)Kbq#6 zSKr|X>MhEEzgbR^VcbWG_OppYKb!dQ=j6x+4K!5(79>nEyC^2uoS>A@VpjR@aeb!E zO?%AB&FSjeWe_UenHMj6dMFWXFZ&U`Jc&92z2_f0jxtj~4tKJU!d6Tin>1aP=kO>ugm4-2^H+&e(h81dyk0 z_VlkP48`ELi8B~6g-aziY-%RfO0D*bz}QPad#7LIwU<1;c$cZPDzuv2y5T1hinLDS zw(#d8d!u@HBCu_G!rm0yI*K~<3DrF)-G;W1at=^D-zo zx4ZGf(DY%1>Qb;cOI}C@GnXUs8lji0-N*uH_c@vwoQKR#_wFu*H}tE0qtVzc@Exka z*6#O%A`=>l67SbCIYsOLO4mOixN7@Fpgr>ZuzW4GR``;GcR1T8HFu2= zI8+fsuWNoi=|Z;UvB>wY4&PkPYYzDoomVM6A4B&Nm87^QJMROGAW1-w_7i*cz%ny(xdRIx;kk+K!ISJK3+A2dhA zOh$W^o|1}6cQDWIIB}>Os2zOY)BjtI;SOy7rmaEgLOWxF6LLlFr%SU2y^c8mmE}Wk zw0$9qjomX~qhVUV;0}LODphxDEnRts6q&{~p=fk43F_nA(I`nY{S^HI%nfL1@bOHF zP3sqPN6@3T6^JD##OvVcB;=;0z!GB8v|_+-bs`dz6TaYL;W2#X4dfk?ACw;!*~Hme z%y%DH|9F6!@**sHy_IL+GL`@GfDu>1la~e;0m1qh;)xSj&Eu{0YKmZ?zXxf!qYE27 zd%4LU1o}_hdq{h5vE|F)FYtdRbXp;zPVqzT%X?^~kGU^8ADv2ZFcA=0{zLsF!OMMCjOZr24v330J20B$wOrUeGxJ?@NN!{kiWc zYK`kD0( zxJeW!Y5%8u6&3A%CZSN`@orNc5EW*gp*G#d}v9{dkM@=+_MxN~+)1Q!J&7d(wYt ziQ2kcM&8c{+ETLxG6s^$%>y}oXf@?~$5B;$GIl-MaTWW#e;h7beRZU>eC+h;l9|{y;qV|P z^7718k1~~xP`cW6a)NeOp}T$GCM?>j;ojcPS?~H|9E9I^RwAz~K2>v`63hG$j^4iq zYAgdkeBz`%(vwBeq1yZ`HQq8Rv#3Z{rg6=vRO=*|(?V}1F^5Ee0Z9zSgb6qx%bhjz zpt?x`{lLV?3QK_aIgMkqFmX~^jvnrb^(91Z6X}$)yR=kNUp+U(ra|ZWHQs7&>-x=? z+?Umh91f_!%zz)V3`WbzYdBVYr|}Wj81+00UM#ysyStaDpQxjAV@7jvl|ie6KX1p( z$^#`Yu&#R=NA2s?M41G7NPE))aWyG`Bf9B(BeV;}C9<98p2f$`ls8ZHID)J*8R@>6 zH8bajk2M)#&w+^->bV+jxsG^*zgS!O=gD?lHs*|?N%e&0e9+lpPw&I>=3Shp^<-}c zxOXUpD8F%zPq3y6scl6D^rOY~+pXpe6B%?k@nnTCjKM@>f=0Kd<;*p7HL6Or-%&V= zcfn$}IHs`_{N5fSrEY2=ITcHrrO8MvX?OZ`ISKr3bXrm|%C-b~Gtlk=M9eTb=jAw0bGKAOdXj9cODSc`OCP!qz ztb8Hc?*;bjIL21&cn2AoA<;_cQ^)n6_wKrAkyWo)OfKr!fJ(2z8F+{zLW3qV?lx*O zr^@!5LoB(RYoqy4&40YJ2kc~TJFwTPO)jTNJExKy&G+92BKHV?{!)I%pV1%y3{!cN zd-8H&!}TUo_0gsmJtM91blCHWS4G9gM8M2>jTODE1xIRg=6k83Z7pns*wCDuGC#0K z@Ofsf>Zbe+aALW1X1;e@TdMD~8P;@sie~%Vl`Ghhup>|WyNXk{L|m#(>SW6%Q`lS? zW%62HXr5L`XQ*$z2>Ik!mZFk!V9nL9UCJko8TqmmMF;zEWsd47;Iz0GQW@f3tgr3= zD<0GaaT5zQpH?xJ?=lzvNmnd&xYFX6%;kyg);*yzaGh44C^RXSvs`7AQ zQ@g``qRS)(BMCq>&84dxPJ^j;^lCG9G`0;Jzp;xuvpqZJZX8D%fm4zJYUD0!&yfdT z11**Bv5<*sUVngoHg@?SZtR!~|HBwVwyDQ1AiKz~ z2Ze7A13zY9`xIq=j~)i4N;0QMDqR{X=Tf=(`GQr*t?fj)sMe|+2rgyaAlMLsDYg4; zr)sB_Sh-s&Csc=<7S5p3l8O8(XDKn6m5rm`Wta|3l4f5rHLL&Ho|sT{RhU+!YM|${ zEqD*gSuaqKrI5krbpZ1P7-h)n;cb0Dk2{x~7cKCOOpX7^exb#I-W@wt{45K_RWFH? zoUECydra<~fZppp0H%HX8@Xsb;3$Ihx6(T$vs#^j%t&w$^&v^O19GnSrbrR?V)`?m zSI$i|9DY~djc;B-`J>B#`!i!dK{2?VNO$2x@B}>#)|T{=K8z$oFzNGEn8FXt1ONCg z9_@+Lm7!MyFEA2f?OPg5-8f(b$<(gjE!OLBMMM`0_X1Y?fPAn*rhkQfQ;dZv9kOKc z(IA7c>WB@_ujR$4@L5_U@!Nwf#4MmbU)#JB{}^Dz=g__4pn-94D^}QzsF2=ZkGwGx^$^o$$c4>Yto*w1?vkd zn3Ql$R%(hA;94(uY5Xz5Bi2RU%_AErBza&f5F7edh$9J-6!g_tsNiI}%SM}U^!P1b zb`35`4SmSvnV*brfZc=|eCDiKMI#gr?tXysOGKY*g&Ct~H%0483q9$a8XSHBt%Jh> z6U}+LGaHk@bh+KTmQsfexXYivnjKDy?XDm_{NnTpfCAwqnBPJ-f}sNd zRIs43HG(aV_-x>ENV=$o-=g|Lta3Y9sgO{*W#|oSemjJq?#rHnd2veD3n{X-DZ|+V z5Aq&-tTa{1l6L|9X$jk|sVe2``EybkxnF~{GKjv?RZS2R3dxjkah-Tazm1(T4h~=Y z_>CIk511IM^LwDVnGd&AScYAI2uV^|(AV3Q;B3|QmNV9hLfj%r9XU=8lDOF2&{c~D z@dHe46z~h?<4kgLa$O?&1-g~S-R^K?rRRuBcxA1;Za#4}mK+vRsl+z?!0+yK5=vym zv(i!joZ?ZbO-7O#JBElzPKxP!H_~}G9=gYwMd^AmXJke#GeH+fPPAe&#F{tu`nmDHq1@DW@m`h%X znIF+L+z$ftDN3$FaJLl(I#0Eml{{#SWSN*;EJ5KKSM{80FN{MiWPP7!iwf4S`jpp@v+cEvJR; z;4=7@7XoNE*irZ`>eiS~*ojUCko71$!f;*y$-#AFsUDof7G2l;eB}JEW&YzCK|RSZ7@H zwZd0lxWVOAXAbLThwVR5mDe#`bTc|>^b2UtYujxqO$N!vS|6pVSm4|}|f&PEj@gFhd-yRR&RbICEe{2AH%s(~} z^d#__6ww(Bq|p%+m@NP6^Ee*}ADj;nK_KdX`TYGgcYj3`40wO;8;!)7QvHF^cqpd) zN1;0PgF7|z|2oq(QB~+Y2v86}Aew&&HlouRUUCOK2Z7i?lH4k`FcU*N4Gx$U)J>V& iUPaA{*Mwc3Ul!_Q$)RK>X~*GUX$?_@IcS?H3;!R@BNVOx diff --git a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0.xml index 5f94d575..0bd7801e 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0.xml +++ b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0.xml @@ -117,7 +117,7 @@ GENtimestamp - Tue Dec 21 14:13:46 UTC 2021 + Tue Dec 28 14:26:12 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.v index 869da07c..e3417b0e 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:46 2021 +// Date : Tue Dec 28 14:26:12 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.vhdl index 1a31c977..09c828e6 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:46 2021 +-- Date : Tue Dec 28 14:26:12 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.v index fd35b199..3f9fa37b 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:46 2021 +// Date : Tue Dec 28 14:26:12 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.vhdl index 03f9817d..813ee9e0 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:46 2021 +-- Date : Tue Dec 28 14:26:12 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/keyboard/ip/keyboard_special_keys_0_0/keyboard_special_keys_0_0_stub.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..c7564b71cc8a6fec83e18330648eb64505a4d7f9 GIT binary patch literal 9459 zcma)?1yGzzx2^{WPVnFXf;$ZE8YH+waM!^-xCeqmfZ!V3-QC>>_u!gffq_fzf9~0} zsk$epr@Fdps{5%mJ?rat_3BSi2Idtu002M$h&!dJ@G${HcAx-&T37%8{`p%IV|yld z8_?GT8K+bh?BIo~ipfeVTq@|6?-$_lAW0)$P7`;EQ|Z89P)S#uBm(Z!eL!ygK@Qtt zqSL7x(;n;S>5?(*`1{#}nkK_G7~n|EY>CUg zuy?Jwq=Z$8hF0(*siJHmBU1Hz2Q}!OIcPb&p=`xUFlh)kP)uG!0TVl$VJ9=9I%GE) zHSh5&vu)Z8GvdG#ZL+|OgC-gt?we-I!07l3dzoEoQ^p|Gb{xK7*zDdbACu_N@ZC$% zgfkt=)tPXX5BQuwA$fdD=BrBk5Xk!R zDwvr4;*8^44#Te){TXi2C7oG^u``YZhRwbOfmyUwvHbNMP!VDovn=ti$`3L99&vC* zR9Z19T+s2qGjA8iGM_GtSZ)y&|K$h@HtK|=&mK?$1OUjM9l_ev!^qCi(L~?H*%GAh zYHI9k=co^|Gq*I>XVGV2GBq(<)zWoZ;>Px#sF*y*Odf*MM!y91h{;$iw7g;sGO1~q zx#HIJt2bb)e`m-CIV-VV)I{Y>ockXC11iQ;ch$+{PD-#*8pJD-IrO8ej(=GP*4egv zh}&Mk=~VszJS%$w$fjf0#+oQ74`i8+QU7A?X(IPy3V5_Pb#)wEx01Lwb+9BdhgNE^ zOOkb%D;em=jgB!1+k{x!hiUlwNNNBf^Icn*J@UaP@ZPjjK}MbMSH9+YP(wg5FbXM% zXj_^Q#N~>My!EQ^(nov`%4iQwl0E=#8*s2E)q^`$gM31ys~gp=8v3=gF!VLA*CzvU z!f_2;ipq>h_}qm#^uRME^KiPOFU@C>kmj@UR^Y`EnyUbD2d}Q}$fEJb8!mYkdJE@P zIC)W5UCtJv8h)b%!g!}L!J56R)zgJ!Q_TrEyRDz>g|6sP@1q6X6B|U>Qd4B2nJk1C zf^->cJ<~UvV=qP>J|+>Wx~PAF-_ngkbkRg7ygd)7tfKtMbGRDC!VH&6DMHc$Ii1`; z*8}J0?O^awB&!H*NE(*-xXrTJN+{9KNAW^kP|YW2HHOQfEFez;)NBrc0Wog&e9i4| zFOEeC#jptRA)}nXGdPvrJzx~#Lt4%TLC7=`weT_6_j`q#HEqMk^AAKBPl?tozBuNy zA@K_90!l0qd?z=~6u{|;J;k|8{x1$!pN$z22rx<1zzgeT$R{fKA@bJvxoJ9x`+ZJH zy~v#VHKbV4Y;N+z>W@ORkB;j{>!|{{>l~Z5kHfrW&C#eAim>DMP$T=cWe&3{^U6Zj zoRt|Q$qB3Eax{(y{h<;{rB1s4SwBmFC=* z%k^brAUBZJL~7#jX(!f%3%8(!N#=To$+ti=W&ap+ef6e zyeq?hZ%BFDSN%3Ih*u{m%@O2QCebMs#0Tfi!Iu=GMa{zFmMFk+T3&V09R`wLb@d&_ z`^){$(~>ku=6y>AOEo3D8v}Zy7w$j4cKz@n9BqT1QBX&CYhY`7lA;bi>+3vmImyK~ z$kHNi8fH>j2JIKxa~qyV4U>|038%$?c zFnt-{q|p9zJDrYV5m)^@)wIBslwEjeX4$~Pi#>D|&FpDajmu+e8Cc?^dptc69D9H# z9xSw(6d|X-(S{4_+p>zPSw=I$D5&jby2u`N} z#)eb0ReqzOQjX2Ty^imFPZ#&AJ25Uak!bWermU6XqVTdeZ;TSC z&70l(*mFt58RWy7NT|8#*gVKV)QFGu>>B54d{S#QByor>Bw#sz z2_}LughM}Zp5!e3180c`K=2uvMz^J2U6?|Fynj9>ReY^JEB_nf_I%el!emgdoq^+( zUB#MP=upf#qCv*M_9aNTJT!29R7MP;vE}pH&C?lee;r{@AF*D0Bvd*$`u`1KZGY-p+4wXtX42@3IR7*2Rj}J1)sIjnXuqd*?7?F0v zMJl=DOt`Hm)rZ5qqAgyMMpO8Im%d7rZttweUe=ye9S zQS()iBXPT?h1|w0eb5e?v})z6Dp(EVV>{w*g+=r0WxD{h!fTwLfj`vOrBh;DeU;4k zcJ}mW_cSvbM&U}nw(4B*qkX36B{pLK1SOI+o%IODoGR@560oD&Yt^>Kx7a4!J>fTx zv(`pz4lG+!ILTA@Ga;~)`hq8Cd_+fV|C-xoyI_HQ%pqX;124L=nUxe{!B6=@`t?%n z`^3*KyV`d@+SA#ivD!KO*kb|=jq_j zDq{(2g)!^}H=vmq8}e0An?>IuO}ZOil}=0I?yHh|&OmGZbS2J33Nt)jZlvpu{+r!8 zBU)5VdJcn`rfcj)&Y0EyiE?=D_pT0IT?$J5ox7&?-J2i^|Hw2lg~df?qlP!>#J6Uf z^X}A~RO}cME7_t)Elc9&Ghitc#Da3ObaiLOYp3>wNI45P1erZ(FzPdVH*dQY#`bjxvX?B(hX62xYR*ytOEt4zM1W<>R)4CN(1<;DNpK zftq#FC6#n})`b1)N*{wOnICT|&HeNENi$9qC%%xgCk|`E9$?(;YJziRit5!3)ub>R zf$E?+(QlYc4;n?T3Iqde{-bJ&>4@x+`E2MGgdLD`^}xYURcFxWqQ%L?MQQ6=r?w}f zSek+=&^(<aSHdn`P3xu?(u5H;QI_HpA&E$8Aj}RGJQI0Z>RSvc1D} zrQ>wF?2scGmHzd0o$B5a1A^irwoRhp`}l{+Fs`hBIjjHan`Of1H4) zxJ`jQ<#NX=Yl#R1a(=(fJwCJE7g2^@F2D>A>r|LD&P2?VGM8E{KDy5FF@G;I9n)b_ zPSnl)jcW^I26;luWSUe2@59wP`7c2Kc)Z^X6xL)(p(nN@tr26*zQ5Qjo6u?u4?Vt8 zg*VCzf)Ymt<3B&Gq#F79SNdJaP=)H!6@vPw3)3qm#qhTID^ar1&on4wP9@`tZ6=Kd zY3w+7tH$J<8z$iF?Zc6w>r_P#bz%K!$g8tF$Yy3^9JXNMAtss$8 z-_Z@|of(F2ml9LO$#;h`J%?H;M-ji+(NE(Yh?(r%QaMv=5!O z*Go#=@ne}Hf;kEM;k;-(=D}e7l+>B_d!mzUOt0n9dRzzt_VtewV>>cTS5X=Ep^j1p{L% zBkYIqxq%}z1u&806|%U8X3M;Fid+&Qjm&vT&LvsKIT!O}+lm zm4%sYBJxET2`irEw!&&7<^BmFNsSd`TN{lrToLm&4M-Z~D+tAD1IYLl;g{ZcE)cMQ zWKMD^)+B64uz+$eZlQM0+_`!h9wz6;5u0PAKgXA8MrytpKLj6k}cqf`V z`N|;B(S+~^!56%V;|&9-2+VV>#3nOrM60MoU05nD=A@PzBH=5Vq_P;C>tly^B|jOg z98&M82|+b;5_QLd)Jo22R`6AZF7@{yc8B-Lc6XYyKcH$qtt%N#iOMS?D}6Sft?|My zJg(C{9}_FK2j3rlDqE60ZscIWip0?VrXRn0okr^F+keg9BFk`zpZVck;Dl%7JKURN zTOY}@(qC74bM0v~i(hkXjc_V4)`<>in(1}Ez@>Wq1a2J3_3>C2NjCtZYshB7yyLfK zLEUp)V)@HE`(AE9ROmE^UdWJA)AaYtaw7ElrNW0(21K$^7(njvSb9Is8qd3%Ub|>4 zlB#C2I>LxcG!~^OiDp>wWMWAWy+A0tV<$PSz52=TU3kc10m!Y$*FN*>%;uDPiU1{I zQE~6?i)~;wX3!%v>o%w2@ zfI7W7rhJZqfLmAl2HS)%a6e4YgojtyzEE7j5pU^HMPUrh>ZYi`hGeBp>lSG+`9Z{% zgJaw_b#5ruwyFsw1_js6K7I0z_8yc39OBub5F$NmpSR;-`!=R(-{aWVAnK_n70(=QAD`t(7`gG?vei@K6wOi?f^j|p9iu>rJM zxqiJ;AULvr7<1r-Tw~OcQaPj!MPPsx?Ku^Gev#e;Lw5&x9Jynm7Q#^7~4r0t{LR`d9#2)E))VFdi>>C8uJU*x$ zfTu1c%+qlw zSQvlvC6i3=QiowdNLt3^6pn}RQAzuP*Vrds1sG1Xn*9A$p}I>%lhQ0*Zo}=_x;)Fz z&UIRtm4{OQp7~!skQgj}DykN%95K`ojP*VoaK^a#W6qm>u4E`PS7?vKt2P(W4t(5K zy>rU2WJgn;$gN0uvc{B&2saF%ikL9{?|jh;9UtrDM2Hh4)uTU>q&}n$FAuYwTqz)1 zAeGjS$>V|1<2l$A>n`-x#wP+M~l1yKY?W8N*c7A8Yf|7|P z*!3M|3EztiJy@7Q9&SzE%w1j68~M-Xupf5nh<~5!9&ul79#>r{A5P3u%m45e zpSA6hYpmVTD+_J~*VDx%W`zmD`S}C_&w`T)O>ViM{3Lf=RSg&3b7Bh-I8u%@%O>T& zGia-5EPD$lFeMD+ik!hM0om~)4lGxzKTajSy^My>=0s7xF4+ziop$SqjpdVA-`YN9 z1Vv3o5UMm7+y3afH__dN8@uHoAx>XS&ENDn6ry04g~{3F8r->pa?53bSlMGjhqE~q z2W7y;3T<{xA%iy&q%1DRf+-iur`|o-5;34Hjx# zpF*svip;`fcT-1%>ow&C!%N`sPT^$rCL?+2Et~X3$ntG)U4A}S4ei!-aw2PT zxAR`t*%*B@LY`Q#5Mrm@Xw?yBEg-a}wUARy5jo*o#+#e_F!#-Fr!AA<6?ge#S%vxm z?vF!fF()e~kSYeiJ#yvq8}&^F4lVcYY( z3lvoO=mZQJulm0zN}yy4b2~yFd3IA%TA5c!8a)Ujc9|f=xNM>h*|Dk-F5m=UpC$5{ z<;gt%9o*d$Vk|QA0p2dI^Q&=<&-m(41G@%pE`cm!i*jL*QZbo-hpp+xI8>_78ms?Y z8UL!a`j)o(#&)*OrtZ#6?k2|c@{D23G{ekNjC4h+lZ*^wvW!aSC{Z^kpKos5qTF1s zE|d@ukzCY1%fr*j%8w1vs!xt71ll8Cev$TDX??hj%jvD2&Dl~-Mtp<~nS!gTs?vE8 zkc#@MOb|W?q=4r%4fWs6drr|XSvlF+MkeUmEwNySoZZt$#ZdXrdXXbF8MD~L^A?97 zP|2z6P%t&RfXt|AYqvTkT(x3-6jN4ueKLO%XKv^6XZU~O#aOdf>Q->=5%-tw{zx4p znTzk;&BZ68exz(eg0m4B0yE;~jplTH(EjcIyn`e} zl+X9SgU^-n@BZcF>|(^^Y8ss4X8_Hj{_5nrO)Xo=M6iJN$y>RPNLNrbOo<}R^=FZcd;hc@R6^5&R8JGuF1nQ8}$VipUJ3MON$Nl#@ zKZs4!@;$F{+Vivg_mjQ;f7aQ;1oSm-$~uq*y?-4-A0=2e89q#-?M*lSI(u|e`aoNX zy+%@u4Crvxq0eAkQ=5ByG6*>xxp~W#DVCF>XWYhxE-1+GLkT^&Qq2F7J5V-Jur$ce z2%tsdKH@S!zm7G?J||#enbMzOb60e^&ZS_I-eH1>*wfe6p;ci#u|1u2u-WPPy|DZ< z2aVK#OfEY{aev$^3IIsJk1PN6ihl{VL&2$_w3Z2u{5H* zDZi-CQeO5OOP#g+d+FTLf!U@QCkMxxAhu9&z*J)No-4)BmjsiFi95AbhbOzaA=6nV zN6Vg(x1;soDd{eI7a#U8fqv_Ro@V1SWJMXM=f(d2zv}Sp?SSWh1W@n)r}tkkKD?at z@>=}Q(Em(Ae1`x1lKds_3yac|?;r{{tDfRx4zXPA;;wA583hEE* zKR-AM?XL#@cS7nV^<{GC5A{!}_m@WhEA{`Tie3_5W@`S>{$nQ^#$Sogd7GEKmuK!j zyg#Mh|0~vCdG59^aWBJ)KdAqh2SxZd+>0RNCHiGZ@DDoc@96&*AiRXW)PaAXfag@$ z|Lo`gwOhSJzTEQuL0XXfFOkn1prQ;c+@F~!&!2u60N{WW0AK=$vvbiq%9)w7u(7IY maR52!6|F2JKS{rrl{2K*(vV_vG~|(TQsJ>xVRLX`7x+Il6xN0S literal 0 HcmV?d00001 diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0.xci b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci similarity index 70% rename from srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0.xci rename to srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci index 352538e1..4951c68e 100644 --- a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0.xci +++ b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xci @@ -6,12 +6,15 @@ 1.0 - audio_twos_complement_0_0 - + keyboard_util_vector_logic_0_0 + - 16 - 16 - audio_twos_complement_0_0 + and + 7 + and + 7 + keyboard_util_vector_logic_0_0 + data/sym_andgate.png artix7 digilentinc.com:nexys-a7-100t:part0:1.0 @@ -32,12 +35,15 @@ ../../ipshared 2021.2 - OOC_HIERARCHICAL + OUT_OF_CONTEXT + + + - + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xml new file mode 100644 index 00000000..0e67f812 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0.xml @@ -0,0 +1,322 @@ + + + xilinx.com + customized_ip + keyboard_util_vector_logic_0_0 + 1.0 + + + + xilinx_verilogsynthesis + Verilog Synthesis + verilogSource:vivado.xilinx.com:synthesis + verilog + util_vector_logic_v2_0_1_util_vector_logic + + xilinx_verilogsynthesis_view_fileset + + + + GENtimestamp + Tue Dec 28 13:26:06 UTC 2021 + + + outputProductCRC + 9:058a8f06 + + + + + xilinx_synthesisconstraints + Synthesis Constraints + :vivado.xilinx.com:synthesis.constraints + + + outputProductCRC + 9:058a8f06 + + + + + xilinx_verilogsynthesiswrapper + Verilog Synthesis Wrapper + verilogSource:vivado.xilinx.com:synthesis.wrapper + verilog + keyboard_util_vector_logic_0_0 + + xilinx_verilogsynthesiswrapper_view_fileset + + + + GENtimestamp + Tue Dec 28 13:26:06 UTC 2021 + + + outputProductCRC + 9:058a8f06 + + + + + xilinx_verilogbehavioralsimulation + Verilog Simulation + verilogSource:vivado.xilinx.com:simulation + verilog + util_vector_logic_v2_0_1_util_vector_logic + + xilinx_verilogbehavioralsimulation_view_fileset + + + + GENtimestamp + Tue Dec 28 13:26:06 UTC 2021 + + + outputProductCRC + 9:10e5b0f5 + + + + + xilinx_verilogsimulationwrapper + Verilog Simulation Wrapper + verilogSource:vivado.xilinx.com:simulation.wrapper + verilog + keyboard_util_vector_logic_0_0 + + xilinx_verilogsimulationwrapper_view_fileset + + + + GENtimestamp + Tue Dec 28 13:26:06 UTC 2021 + + + outputProductCRC + 9:10e5b0f5 + + + + + xilinx_externalfiles + External Files + :vivado.xilinx.com:external.files + + xilinx_externalfiles_view_fileset + + + + GENtimestamp + Tue Dec 28 14:26:11 UTC 2021 + + + outputProductCRC + 9:058a8f06 + + + + + + + Op1 + + in + + 6 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + Op2 + + in + + 6 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + Res + + out + + 6 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + + + + + C_OPERATION + C Operation + and + + + C_SIZE + C Size + 7 + + + + + + choice_list_e94027ef + and + or + xor + not + + + + + xilinx_verilogsynthesis_view_fileset + + ../../ipshared/3f90/hdl/util_vector_logic_v2_0_vl_rfs.v + verilogSource + util_vector_logic_v2_0_1 + + + + xilinx_verilogsynthesiswrapper_view_fileset + + synth/keyboard_util_vector_logic_0_0.v + verilogSource + xil_defaultlib + + + + xilinx_verilogbehavioralsimulation_view_fileset + + ../../ipshared/3f90/hdl/util_vector_logic_v2_0_vl_rfs.v + verilogSource + USED_IN_ipstatic + util_vector_logic_v2_0_1 + + + + xilinx_verilogsimulationwrapper_view_fileset + + sim/keyboard_util_vector_logic_0_0.v + verilogSource + xil_defaultlib + + + + xilinx_externalfiles_view_fileset + + keyboard_util_vector_logic_0_0.dcp + dcp + USED_IN_implementation + USED_IN_synthesis + xil_defaultlib + + + keyboard_util_vector_logic_0_0_stub.v + verilogSource + USED_IN_synth_blackbox_stub + xil_defaultlib + + + keyboard_util_vector_logic_0_0_stub.vhdl + vhdlSource + USED_IN_synth_blackbox_stub + xil_defaultlib + + + keyboard_util_vector_logic_0_0_sim_netlist.v + verilogSource + USED_IN_simulation + USED_IN_single_language + xil_defaultlib + + + keyboard_util_vector_logic_0_0_sim_netlist.vhdl + vhdlSource + USED_IN_simulation + USED_IN_single_language + xil_defaultlib + + + + Performs bitwise logic operations on two n-bit inputs to produce a single n-bit output + + + Component_Name + keyboard_util_vector_logic_0_0 + + + C_SIZE + C_SIZE + 7 + + + C_OPERATION + C_OPERATION + and + + + LOGO_FILE + data/sym_andgate.png + + + + false + + + + + + + + Utility Vector Logic + 1 + + + + + + 2021.2 + + + + + + + diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.v similarity index 56% rename from srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.v rename to srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.v index 5d683b78..a7f95847 100644 --- a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.v @@ -1,36 +1,92 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:25 2021 +// Date : Tue Dec 28 14:26:11 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim -// v:/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.v -// Design : audio_twos_complement_0_0 +// v:/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.v +// Design : keyboard_util_vector_logic_0_0 // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. // Device : xc7a100tcsg324-1 // -------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CHECK_LICENSE_TYPE = "audio_twos_complement_0_0,twos_complement,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) -(* X_CORE_INFO = "twos_complement,Vivado 2021.2" *) +(* CHECK_LICENSE_TYPE = "keyboard_util_vector_logic_0_0,util_vector_logic_v2_0_1_util_vector_logic,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* X_CORE_INFO = "util_vector_logic_v2_0_1_util_vector_logic,Vivado 2021.2" *) (* NotValidForBitStream *) -module audio_twos_complement_0_0 - (din, - dout); - input [15:0]din; - output [15:0]dout; - - wire [15:0]din; - wire [15:15]\^dout ; - - assign dout[15] = \^dout [15]; - assign dout[14:0] = din[14:0]; - LUT1 #( - .INIT(2'h1)) - \dout[15]_INST_0 - (.I0(din[15]), - .O(\^dout )); +module keyboard_util_vector_logic_0_0 + (Op1, + Op2, + Res); + input [6:0]Op1; + input [6:0]Op2; + output [6:0]Res; + + wire [6:0]Op1; + wire [6:0]Op2; + wire [6:0]Res; + + keyboard_util_vector_logic_0_0_util_vector_logic_v2_0_1_util_vector_logic inst + (.Op1(Op1), + .Op2(Op2), + .Res(Res)); +endmodule + +(* ORIG_REF_NAME = "util_vector_logic_v2_0_1_util_vector_logic" *) +module keyboard_util_vector_logic_0_0_util_vector_logic_v2_0_1_util_vector_logic + (Res, + Op1, + Op2); + output [6:0]Res; + input [6:0]Op1; + input [6:0]Op2; + + wire [6:0]Op1; + wire [6:0]Op2; + wire [6:0]Res; + + LUT2 #( + .INIT(4'h8)) + \Res[0]_INST_0 + (.I0(Op1[0]), + .I1(Op2[0]), + .O(Res[0])); + LUT2 #( + .INIT(4'h8)) + \Res[1]_INST_0 + (.I0(Op1[1]), + .I1(Op2[1]), + .O(Res[1])); + LUT2 #( + .INIT(4'h8)) + \Res[2]_INST_0 + (.I0(Op1[2]), + .I1(Op2[2]), + .O(Res[2])); + LUT2 #( + .INIT(4'h8)) + \Res[3]_INST_0 + (.I0(Op1[3]), + .I1(Op2[3]), + .O(Res[3])); + LUT2 #( + .INIT(4'h8)) + \Res[4]_INST_0 + (.I0(Op1[4]), + .I1(Op2[4]), + .O(Res[4])); + LUT2 #( + .INIT(4'h8)) + \Res[5]_INST_0 + (.I0(Op1[5]), + .I1(Op2[5]), + .O(Res[5])); + LUT2 #( + .INIT(4'h8)) + \Res[6]_INST_0 + (.I0(Op1[6]), + .I1(Op2[6]), + .O(Res[6])); endmodule `ifndef GLBL `define GLBL diff --git a/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.vhdl new file mode 100644 index 00000000..fc0e50f7 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.vhdl @@ -0,0 +1,121 @@ +-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 +-- Date : Tue Dec 28 14:26:11 2021 +-- Host : AW13R3 running 64-bit major release (build 9200) +-- Command : write_vhdl -force -mode funcsim +-- v:/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_sim_netlist.vhdl +-- Design : keyboard_util_vector_logic_0_0 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7a100tcsg324-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity keyboard_util_vector_logic_0_0_util_vector_logic_v2_0_1_util_vector_logic is + port ( + Res : out STD_LOGIC_VECTOR ( 6 downto 0 ); + Op1 : in STD_LOGIC_VECTOR ( 6 downto 0 ); + Op2 : in STD_LOGIC_VECTOR ( 6 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of keyboard_util_vector_logic_0_0_util_vector_logic_v2_0_1_util_vector_logic : entity is "util_vector_logic_v2_0_1_util_vector_logic"; +end keyboard_util_vector_logic_0_0_util_vector_logic_v2_0_1_util_vector_logic; + +architecture STRUCTURE of keyboard_util_vector_logic_0_0_util_vector_logic_v2_0_1_util_vector_logic is +begin +\Res[0]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => Op1(0), + I1 => Op2(0), + O => Res(0) + ); +\Res[1]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => Op1(1), + I1 => Op2(1), + O => Res(1) + ); +\Res[2]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => Op1(2), + I1 => Op2(2), + O => Res(2) + ); +\Res[3]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => Op1(3), + I1 => Op2(3), + O => Res(3) + ); +\Res[4]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => Op1(4), + I1 => Op2(4), + O => Res(4) + ); +\Res[5]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => Op1(5), + I1 => Op2(5), + O => Res(5) + ); +\Res[6]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => Op1(6), + I1 => Op2(6), + O => Res(6) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity keyboard_util_vector_logic_0_0 is + port ( + Op1 : in STD_LOGIC_VECTOR ( 6 downto 0 ); + Op2 : in STD_LOGIC_VECTOR ( 6 downto 0 ); + Res : out STD_LOGIC_VECTOR ( 6 downto 0 ) + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of keyboard_util_vector_logic_0_0 : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of keyboard_util_vector_logic_0_0 : entity is "keyboard_util_vector_logic_0_0,util_vector_logic_v2_0_1_util_vector_logic,{}"; + attribute DowngradeIPIdentifiedWarnings : string; + attribute DowngradeIPIdentifiedWarnings of keyboard_util_vector_logic_0_0 : entity is "yes"; + attribute X_CORE_INFO : string; + attribute X_CORE_INFO of keyboard_util_vector_logic_0_0 : entity is "util_vector_logic_v2_0_1_util_vector_logic,Vivado 2021.2"; +end keyboard_util_vector_logic_0_0; + +architecture STRUCTURE of keyboard_util_vector_logic_0_0 is +begin +inst: entity work.keyboard_util_vector_logic_0_0_util_vector_logic_v2_0_1_util_vector_logic + port map ( + Op1(6 downto 0) => Op1(6 downto 0), + Op2(6 downto 0) => Op2(6 downto 0), + Res(6 downto 0) => Res(6 downto 0) + ); +end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.v similarity index 63% rename from srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.v rename to srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.v index 78081016..bb7e7011 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.v @@ -1,11 +1,11 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:48 2021 +// Date : Tue Dec 28 14:26:11 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub -// v:/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.v -// Design : audio_audio_prefilter_0_0 +// v:/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.v +// Design : keyboard_util_vector_logic_0_0 // Purpose : Stub declaration of top-level module interface // Device : xc7a100tcsg324-1 // -------------------------------------------------------------------------------- @@ -13,9 +13,10 @@ // This empty module with port declaration file causes synthesis tools to infer a black box for IP. // The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. // Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "audio_prefilter,Vivado 2021.2" *) -module audio_audio_prefilter_0_0(din, dout) -/* synthesis syn_black_box black_box_pad_pin="din[15:0],dout[15:0]" */; - input [15:0]din; - output [15:0]dout; +(* X_CORE_INFO = "util_vector_logic_v2_0_1_util_vector_logic,Vivado 2021.2" *) +module keyboard_util_vector_logic_0_0(Op1, Op2, Res) +/* synthesis syn_black_box black_box_pad_pin="Op1[6:0],Op2[6:0],Res[6:0]" */; + input [6:0]Op1; + input [6:0]Op2; + output [6:0]Res; endmodule diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.vhdl similarity index 53% rename from srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.vhdl rename to srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.vhdl index 7cf06e4c..c72c18f8 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.vhdl @@ -1,31 +1,32 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:48 2021 +-- Date : Tue Dec 28 14:26:11 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub --- v:/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0_stub.vhdl --- Design : audio_audio_prefilter_0_0 +-- v:/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/keyboard_util_vector_logic_0_0_stub.vhdl +-- Design : keyboard_util_vector_logic_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -entity audio_audio_prefilter_0_0 is +entity keyboard_util_vector_logic_0_0 is Port ( - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) + Op1 : in STD_LOGIC_VECTOR ( 6 downto 0 ); + Op2 : in STD_LOGIC_VECTOR ( 6 downto 0 ); + Res : out STD_LOGIC_VECTOR ( 6 downto 0 ) ); -end audio_audio_prefilter_0_0; +end keyboard_util_vector_logic_0_0; -architecture stub of audio_audio_prefilter_0_0 is +architecture stub of keyboard_util_vector_logic_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "din[15:0],dout[15:0]"; +attribute black_box_pad_pin of stub : architecture is "Op1[6:0],Op2[6:0],Res[6:0]"; attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "audio_prefilter,Vivado 2021.2"; +attribute X_CORE_INFO of stub : architecture is "util_vector_logic_v2_0_1_util_vector_logic,Vivado 2021.2"; begin end; diff --git a/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/sim/keyboard_util_vector_logic_0_0.v b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/sim/keyboard_util_vector_logic_0_0.v new file mode 100644 index 00000000..d1f757c9 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/sim/keyboard_util_vector_logic_0_0.v @@ -0,0 +1,74 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:util_vector_logic:2.0 +// IP Revision: 1 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_util_vector_logic_0_0 ( + Op1, + Op2, + Res +); + +input wire [6 : 0] Op1; +input wire [6 : 0] Op2; +output wire [6 : 0] Res; + + util_vector_logic_v2_0_1_util_vector_logic #( + .C_OPERATION("and"), + .C_SIZE(7) + ) inst ( + .Op1(Op1), + .Op2(Op2), + .Res(Res) + ); +endmodule diff --git a/srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_prefilter_1_0.v b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/synth/keyboard_util_vector_logic_0_0.v similarity index 74% rename from srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_prefilter_1_0.v rename to srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/synth/keyboard_util_vector_logic_0_0.v index ea4745fe..43e3ab7e 100644 --- a/srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_prefilter_1_0.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_util_vector_logic_0_0/synth/keyboard_util_vector_logic_0_0.v @@ -47,26 +47,29 @@ // DO NOT MODIFY THIS FILE. -// IP VLNV: xilinx.com:module_ref:audio_prefilter:1.0 +// IP VLNV: xilinx.com:ip:util_vector_logic:2.0 // IP Revision: 1 -(* X_CORE_INFO = "audio_prefilter,Vivado 2021.2" *) -(* CHECK_LICENSE_TYPE = "audio_audio_prefilter_1_0,audio_prefilter,{}" *) -(* CORE_GENERATION_INFO = "audio_audio_prefilter_1_0,audio_prefilter,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_prefilter,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=16}" *) -(* IP_DEFINITION_SOURCE = "module_ref" *) +(* X_CORE_INFO = "util_vector_logic_v2_0_1_util_vector_logic,Vivado 2021.2" *) +(* CHECK_LICENSE_TYPE = "keyboard_util_vector_logic_0_0,util_vector_logic_v2_0_1_util_vector_logic,{}" *) +(* CORE_GENERATION_INFO = "keyboard_util_vector_logic_0_0,util_vector_logic_v2_0_1_util_vector_logic,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=util_vector_logic,x_ipVersion=2.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_OPERATION=and,C_SIZE=7}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_audio_prefilter_1_0 ( - din, - dout +module keyboard_util_vector_logic_0_0 ( + Op1, + Op2, + Res ); -input wire [15 : 0] din; -output wire [15 : 0] dout; +input wire [6 : 0] Op1; +input wire [6 : 0] Op2; +output wire [6 : 0] Res; - audio_prefilter #( - .AUDIO_DW(16) + util_vector_logic_v2_0_1_util_vector_logic #( + .C_OPERATION("and"), + .C_SIZE(7) ) inst ( - .din(din), - .dout(dout) + .Op1(Op1), + .Op2(Op2), + .Res(Res) ); endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci b/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci new file mode 100644 index 00000000..883f9319 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xci @@ -0,0 +1,436 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_xlconcat_0_0 + + + 4 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 3 + 6 + keyboard_xlconcat_0_0 + 4 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 3 + 6 + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 4 + TRUE + . + + ../../ipshared + 2021.2 + GLOBAL + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xml new file mode 100644 index 00000000..ed900bf6 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/keyboard_xlconcat_0_0.xml @@ -0,0 +1,5066 @@ + + + xilinx.com + customized_ip + keyboard_xlconcat_0_0 + 1.0 + + + + xilinx_verilogsynthesis + Verilog Synthesis + verilogSource:vivado.xilinx.com:synthesis + verilog + xlconcat_v2_1_4_xlconcat + + xilinx_verilogsynthesis_view_fileset + + + + GENtimestamp + Tue Dec 28 17:46:53 UTC 2021 + + + outputProductCRC + 9:74d7fd77 + + + + + xilinx_synthesisconstraints + Synthesis Constraints + :vivado.xilinx.com:synthesis.constraints + + + outputProductCRC + 9:74d7fd77 + + + + + xilinx_verilogsynthesiswrapper + Verilog Synthesis Wrapper + verilogSource:vivado.xilinx.com:synthesis.wrapper + verilog + keyboard_xlconcat_0_0 + + xilinx_verilogsynthesiswrapper_view_fileset + + + + GENtimestamp + Tue Dec 28 17:46:53 UTC 2021 + + + outputProductCRC + 9:74d7fd77 + + + + + xilinx_verilogbehavioralsimulation + Verilog Simulation + verilogSource:vivado.xilinx.com:simulation + verilog + xlconcat_v2_1_4_xlconcat + + xilinx_verilogbehavioralsimulation_view_fileset + + + + GENtimestamp + Tue Dec 28 17:46:53 UTC 2021 + + + outputProductCRC + 9:dec44324 + + + + + xilinx_verilogsimulationwrapper + Verilog Simulation Wrapper + verilogSource:vivado.xilinx.com:simulation.wrapper + verilog + keyboard_xlconcat_0_0 + + xilinx_verilogsimulationwrapper_view_fileset + + + + GENtimestamp + Tue Dec 28 17:46:53 UTC 2021 + + + outputProductCRC + 9:dec44324 + + + + + + + In0 + + in + + 3 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + In1 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + In2 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + In3 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In4 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In5 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In6 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In7 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In8 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In9 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In10 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In11 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In12 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In13 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In14 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In15 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In16 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In17 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In18 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In19 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In20 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In21 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In22 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In23 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In24 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In25 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In26 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In27 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In28 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In29 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In30 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In31 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In32 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In33 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In34 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In35 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In36 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In37 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In38 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In39 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In40 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In41 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In42 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In43 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In44 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In45 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In46 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In47 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In48 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In49 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In50 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In51 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In52 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In53 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In54 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In55 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In56 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In57 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In58 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In59 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In60 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In61 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In62 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In63 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In64 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In65 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In66 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In67 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In68 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In69 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In70 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In71 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In72 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In73 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In74 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In75 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In76 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In77 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In78 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In79 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In80 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In81 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In82 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In83 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In84 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In85 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In86 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In87 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In88 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In89 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In90 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In91 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In92 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In93 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In94 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In95 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In96 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In97 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In98 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In99 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In100 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In101 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In102 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In103 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In104 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In105 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In106 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In107 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In108 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In109 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In110 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In111 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In112 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In113 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In114 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In115 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In116 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In117 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In118 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In119 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In120 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In121 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In122 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In123 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In124 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In125 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In126 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + In127 + + in + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + dout + + out + + 5 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + + + + + IN0_WIDTH + In0 Width + 4 + + + IN1_WIDTH + In1 Width + 1 + + + IN2_WIDTH + In2 Width + 1 + + + IN3_WIDTH + In3 Width + 1 + + + IN4_WIDTH + In4 Width + 1 + + + IN5_WIDTH + In5 Width + 1 + + + IN6_WIDTH + In6 Width + 1 + + + IN7_WIDTH + In7 Width + 1 + + + IN8_WIDTH + In8 Width + 1 + + + IN9_WIDTH + In9 Width + 1 + + + IN10_WIDTH + In10 Width + 1 + + + IN11_WIDTH + In11 Width + 1 + + + IN12_WIDTH + In12 Width + 1 + + + IN13_WIDTH + In13 Width + 1 + + + IN14_WIDTH + In14 Width + 1 + + + IN15_WIDTH + In15 Width + 1 + + + IN16_WIDTH + In16 Width + 1 + + + IN17_WIDTH + In17 Width + 1 + + + IN18_WIDTH + In18 Width + 1 + + + IN19_WIDTH + In19 Width + 1 + + + IN20_WIDTH + In20 Width + 1 + + + IN21_WIDTH + In21 Width + 1 + + + IN22_WIDTH + In22 Width + 1 + + + IN23_WIDTH + In23 Width + 1 + + + IN24_WIDTH + In24 Width + 1 + + + IN25_WIDTH + In25 Width + 1 + + + IN26_WIDTH + In26 Width + 1 + + + IN27_WIDTH + In27 Width + 1 + + + IN28_WIDTH + In28 Width + 1 + + + IN29_WIDTH + In29 Width + 1 + + + IN30_WIDTH + In30 Width + 1 + + + IN31_WIDTH + In31 Width + 1 + + + IN32_WIDTH + In32 Width + 1 + + + IN33_WIDTH + In33 Width + 1 + + + IN34_WIDTH + In34 Width + 1 + + + IN35_WIDTH + In35 Width + 1 + + + IN36_WIDTH + In36 Width + 1 + + + IN37_WIDTH + In37 Width + 1 + + + IN38_WIDTH + In38 Width + 1 + + + IN39_WIDTH + In39 Width + 1 + + + IN40_WIDTH + In40 Width + 1 + + + IN41_WIDTH + In41 Width + 1 + + + IN42_WIDTH + In42 Width + 1 + + + IN43_WIDTH + In43 Width + 1 + + + IN44_WIDTH + In44 Width + 1 + + + IN45_WIDTH + In45 Width + 1 + + + IN46_WIDTH + In46 Width + 1 + + + IN47_WIDTH + In47 Width + 1 + + + IN48_WIDTH + In48 Width + 1 + + + IN49_WIDTH + In49 Width + 1 + + + IN50_WIDTH + In50 Width + 1 + + + IN51_WIDTH + In51 Width + 1 + + + IN52_WIDTH + In52 Width + 1 + + + IN53_WIDTH + In53 Width + 1 + + + IN54_WIDTH + In54 Width + 1 + + + IN55_WIDTH + In55 Width + 1 + + + IN56_WIDTH + In56 Width + 1 + + + IN57_WIDTH + In57 Width + 1 + + + IN58_WIDTH + In58 Width + 1 + + + IN59_WIDTH + In59 Width + 1 + + + IN60_WIDTH + In60 Width + 1 + + + IN61_WIDTH + In61 Width + 1 + + + IN62_WIDTH + In62 Width + 1 + + + IN63_WIDTH + In63 Width + 1 + + + IN64_WIDTH + In64 Width + 1 + + + IN65_WIDTH + In65 Width + 1 + + + IN66_WIDTH + In66 Width + 1 + + + IN67_WIDTH + In67 Width + 1 + + + IN68_WIDTH + In68 Width + 1 + + + IN69_WIDTH + In69 Width + 1 + + + IN70_WIDTH + In70 Width + 1 + + + IN71_WIDTH + In71 Width + 1 + + + IN72_WIDTH + In72 Width + 1 + + + IN73_WIDTH + In73 Width + 1 + + + IN74_WIDTH + In74 Width + 1 + + + IN75_WIDTH + In75 Width + 1 + + + IN76_WIDTH + In76 Width + 1 + + + IN77_WIDTH + In77 Width + 1 + + + IN78_WIDTH + In78 Width + 1 + + + IN79_WIDTH + In79 Width + 1 + + + IN80_WIDTH + In80 Width + 1 + + + IN81_WIDTH + In81 Width + 1 + + + IN82_WIDTH + In82 Width + 1 + + + IN83_WIDTH + In83 Width + 1 + + + IN84_WIDTH + In84 Width + 1 + + + IN85_WIDTH + In85 Width + 1 + + + IN86_WIDTH + In86 Width + 1 + + + IN87_WIDTH + In87 Width + 1 + + + IN88_WIDTH + In88 Width + 1 + + + IN89_WIDTH + In89 Width + 1 + + + IN90_WIDTH + In90 Width + 1 + + + IN91_WIDTH + In91 Width + 1 + + + IN92_WIDTH + In92 Width + 1 + + + IN93_WIDTH + In93 Width + 1 + + + IN94_WIDTH + In94 Width + 1 + + + IN95_WIDTH + In95 Width + 1 + + + IN96_WIDTH + In96 Width + 1 + + + IN97_WIDTH + In97 Width + 1 + + + IN98_WIDTH + In98 Width + 1 + + + IN99_WIDTH + In99 Width + 1 + + + IN100_WIDTH + In100 Width + 1 + + + IN101_WIDTH + In101 Width + 1 + + + IN102_WIDTH + In102 Width + 1 + + + IN103_WIDTH + In103 Width + 1 + + + IN104_WIDTH + In104 Width + 1 + + + IN105_WIDTH + In105 Width + 1 + + + IN106_WIDTH + In106 Width + 1 + + + IN107_WIDTH + In107 Width + 1 + + + IN108_WIDTH + In108 Width + 1 + + + IN109_WIDTH + In109 Width + 1 + + + IN110_WIDTH + In110 Width + 1 + + + IN111_WIDTH + In111 Width + 1 + + + IN112_WIDTH + In112 Width + 1 + + + IN113_WIDTH + In113 Width + 1 + + + IN114_WIDTH + In114 Width + 1 + + + IN115_WIDTH + In115 Width + 1 + + + IN116_WIDTH + In116 Width + 1 + + + IN117_WIDTH + In117 Width + 1 + + + IN118_WIDTH + In118 Width + 1 + + + IN119_WIDTH + In119 Width + 1 + + + IN120_WIDTH + In120 Width + 1 + + + IN121_WIDTH + In121 Width + 1 + + + IN122_WIDTH + In122 Width + 1 + + + IN123_WIDTH + In123 Width + 1 + + + IN124_WIDTH + In124 Width + 1 + + + IN125_WIDTH + In125 Width + 1 + + + IN126_WIDTH + In126 Width + 1 + + + IN127_WIDTH + In127 Width + 1 + + + dout_width + Dout Width + 6 + + + NUM_PORTS + Number of Ports + 3 + + + + + + xilinx_verilogsynthesis_view_fileset + + ../../ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v + verilogSource + xlconcat_v2_1_4 + + + + xilinx_verilogsynthesiswrapper_view_fileset + + synth/keyboard_xlconcat_0_0.v + verilogSource + xil_defaultlib + + + + xilinx_verilogbehavioralsimulation_view_fileset + + ../../ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v + verilogSource + USED_IN_ipstatic + xlconcat_v2_1_4 + + + + xilinx_verilogsimulationwrapper_view_fileset + + sim/keyboard_xlconcat_0_0.v + verilogSource + xil_defaultlib + + + + Concatenates up to 128 ports into a single port + + + Component_Name + keyboard_xlconcat_0_0 + + + NUM_PORTS + Number of Ports + 3 + + + IN0_WIDTH + In0 Width + 4 + + + IN1_WIDTH + In1 Width + 1 + + + IN2_WIDTH + In2 Width + 1 + + + IN3_WIDTH + In3 Width + 1 + + + IN4_WIDTH + In4 Width + 1 + + + IN5_WIDTH + In5 Width + 1 + + + IN6_WIDTH + In6 Width + 1 + + + IN7_WIDTH + In7 Width + 1 + + + IN8_WIDTH + In8 Width + 1 + + + IN9_WIDTH + In9 Width + 1 + + + IN10_WIDTH + In10 Width + 1 + + + IN11_WIDTH + In11 Width + 1 + + + IN12_WIDTH + In12 Width + 1 + + + IN13_WIDTH + In13 Width + 1 + + + IN14_WIDTH + In14 Width + 1 + + + IN15_WIDTH + In15 Width + 1 + + + IN16_WIDTH + In16 Width + 1 + + + IN17_WIDTH + In17 Width + 1 + + + IN18_WIDTH + In18 Width + 1 + + + IN19_WIDTH + In19 Width + 1 + + + IN20_WIDTH + In20 Width + 1 + + + IN21_WIDTH + In21 Width + 1 + + + IN22_WIDTH + In22 Width + 1 + + + IN23_WIDTH + In23 Width + 1 + + + IN24_WIDTH + In24 Width + 1 + + + IN25_WIDTH + In25 Width + 1 + + + IN26_WIDTH + In26 Width + 1 + + + IN27_WIDTH + In27 Width + 1 + + + IN28_WIDTH + In28 Width + 1 + + + IN29_WIDTH + In29 Width + 1 + + + IN30_WIDTH + In30 Width + 1 + + + IN31_WIDTH + In31 Width + 1 + + + IN32_WIDTH + In32 Width + 1 + + + IN33_WIDTH + In33 Width + 1 + + + IN34_WIDTH + In34 Width + 1 + + + IN35_WIDTH + In35 Width + 1 + + + IN36_WIDTH + In36 Width + 1 + + + IN37_WIDTH + In37 Width + 1 + + + IN38_WIDTH + In38 Width + 1 + + + IN39_WIDTH + In39 Width + 1 + + + IN40_WIDTH + In40 Width + 1 + + + IN41_WIDTH + In41 Width + 1 + + + IN42_WIDTH + In42 Width + 1 + + + IN43_WIDTH + In43 Width + 1 + + + IN44_WIDTH + In44 Width + 1 + + + IN45_WIDTH + In45 Width + 1 + + + IN46_WIDTH + In46 Width + 1 + + + IN47_WIDTH + In47 Width + 1 + + + IN48_WIDTH + In48 Width + 1 + + + IN49_WIDTH + In49 Width + 1 + + + IN50_WIDTH + In50 Width + 1 + + + IN51_WIDTH + In51 Width + 1 + + + IN52_WIDTH + In52 Width + 1 + + + IN53_WIDTH + In53 Width + 1 + + + IN54_WIDTH + In54 Width + 1 + + + IN55_WIDTH + In55 Width + 1 + + + IN56_WIDTH + In56 Width + 1 + + + IN57_WIDTH + In57 Width + 1 + + + IN58_WIDTH + In58 Width + 1 + + + IN59_WIDTH + In59 Width + 1 + + + IN60_WIDTH + In60 Width + 1 + + + IN61_WIDTH + In61 Width + 1 + + + IN62_WIDTH + In62 Width + 1 + + + IN63_WIDTH + In63 Width + 1 + + + IN64_WIDTH + In64 Width + 1 + + + IN65_WIDTH + In65 Width + 1 + + + IN66_WIDTH + In66 Width + 1 + + + IN67_WIDTH + In67 Width + 1 + + + IN68_WIDTH + In68 Width + 1 + + + IN69_WIDTH + In69 Width + 1 + + + IN70_WIDTH + In70 Width + 1 + + + IN71_WIDTH + In71 Width + 1 + + + IN72_WIDTH + In72 Width + 1 + + + IN73_WIDTH + In73 Width + 1 + + + IN74_WIDTH + In74 Width + 1 + + + IN75_WIDTH + In75 Width + 1 + + + IN76_WIDTH + In76 Width + 1 + + + IN77_WIDTH + In77 Width + 1 + + + IN78_WIDTH + In78 Width + 1 + + + IN79_WIDTH + In79 Width + 1 + + + IN80_WIDTH + In80 Width + 1 + + + IN81_WIDTH + In81 Width + 1 + + + IN82_WIDTH + In82 Width + 1 + + + IN83_WIDTH + In83 Width + 1 + + + IN84_WIDTH + In84 Width + 1 + + + IN85_WIDTH + In85 Width + 1 + + + IN86_WIDTH + In86 Width + 1 + + + IN87_WIDTH + In87 Width + 1 + + + IN88_WIDTH + In88 Width + 1 + + + IN89_WIDTH + In89 Width + 1 + + + IN90_WIDTH + In90 Width + 1 + + + IN91_WIDTH + In91 Width + 1 + + + IN92_WIDTH + In92 Width + 1 + + + IN93_WIDTH + In93 Width + 1 + + + IN94_WIDTH + In94 Width + 1 + + + IN95_WIDTH + In95 Width + 1 + + + IN96_WIDTH + In96 Width + 1 + + + IN97_WIDTH + In97 Width + 1 + + + IN98_WIDTH + In98 Width + 1 + + + IN99_WIDTH + In99 Width + 1 + + + IN100_WIDTH + In100 Width + 1 + + + IN101_WIDTH + In101 Width + 1 + + + IN102_WIDTH + In102 Width + 1 + + + IN103_WIDTH + In103 Width + 1 + + + IN104_WIDTH + In104 Width + 1 + + + IN105_WIDTH + In105 Width + 1 + + + IN106_WIDTH + In106 Width + 1 + + + IN107_WIDTH + In107 Width + 1 + + + IN108_WIDTH + In108 Width + 1 + + + IN109_WIDTH + In109 Width + 1 + + + IN110_WIDTH + In110 Width + 1 + + + IN111_WIDTH + In111 Width + 1 + + + IN112_WIDTH + In112 Width + 1 + + + IN113_WIDTH + In113 Width + 1 + + + IN114_WIDTH + In114 Width + 1 + + + IN115_WIDTH + In115 Width + 1 + + + IN116_WIDTH + In116 Width + 1 + + + IN117_WIDTH + In117 Width + 1 + + + IN118_WIDTH + In118 Width + 1 + + + IN119_WIDTH + In119 Width + 1 + + + IN120_WIDTH + In120 Width + 1 + + + IN121_WIDTH + In121 Width + 1 + + + IN122_WIDTH + In122 Width + 1 + + + IN123_WIDTH + In123 Width + 1 + + + IN124_WIDTH + In124 Width + 1 + + + IN125_WIDTH + In125 Width + 1 + + + IN126_WIDTH + In126 Width + 1 + + + IN127_WIDTH + In127 Width + 1 + + + dout_width + Dout Width + 6 + + + + + Concat + 4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 2021.2 + + + + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/sim/keyboard_xlconcat_0_0.v b/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/sim/keyboard_xlconcat_0_0.v new file mode 100644 index 00000000..1f0d867b --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/sim/keyboard_xlconcat_0_0.v @@ -0,0 +1,330 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:xlconcat:2.1 +// IP Revision: 4 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_xlconcat_0_0 ( + In0, + In1, + In2, + dout +); + +input wire [3 : 0] In0; +input wire [0 : 0] In1; +input wire [0 : 0] In2; +output wire [5 : 0] dout; + + xlconcat_v2_1_4_xlconcat #( + .IN0_WIDTH(4), + .IN1_WIDTH(1), + .IN2_WIDTH(1), + .IN3_WIDTH(1), + .IN4_WIDTH(1), + .IN5_WIDTH(1), + .IN6_WIDTH(1), + .IN7_WIDTH(1), + .IN8_WIDTH(1), + .IN9_WIDTH(1), + .IN10_WIDTH(1), + .IN11_WIDTH(1), + .IN12_WIDTH(1), + .IN13_WIDTH(1), + .IN14_WIDTH(1), + .IN15_WIDTH(1), + .IN16_WIDTH(1), + .IN17_WIDTH(1), + .IN18_WIDTH(1), + .IN19_WIDTH(1), + .IN20_WIDTH(1), + .IN21_WIDTH(1), + .IN22_WIDTH(1), + .IN23_WIDTH(1), + .IN24_WIDTH(1), + .IN25_WIDTH(1), + .IN26_WIDTH(1), + .IN27_WIDTH(1), + .IN28_WIDTH(1), + .IN29_WIDTH(1), + .IN30_WIDTH(1), + .IN31_WIDTH(1), + .IN32_WIDTH(1), + .IN33_WIDTH(1), + .IN34_WIDTH(1), + .IN35_WIDTH(1), + .IN36_WIDTH(1), + .IN37_WIDTH(1), + .IN38_WIDTH(1), + .IN39_WIDTH(1), + .IN40_WIDTH(1), + .IN41_WIDTH(1), + .IN42_WIDTH(1), + .IN43_WIDTH(1), + .IN44_WIDTH(1), + .IN45_WIDTH(1), + .IN46_WIDTH(1), + .IN47_WIDTH(1), + .IN48_WIDTH(1), + .IN49_WIDTH(1), + .IN50_WIDTH(1), + .IN51_WIDTH(1), + .IN52_WIDTH(1), + .IN53_WIDTH(1), + .IN54_WIDTH(1), + .IN55_WIDTH(1), + .IN56_WIDTH(1), + .IN57_WIDTH(1), + .IN58_WIDTH(1), + .IN59_WIDTH(1), + .IN60_WIDTH(1), + .IN61_WIDTH(1), + .IN62_WIDTH(1), + .IN63_WIDTH(1), + .IN64_WIDTH(1), + .IN65_WIDTH(1), + .IN66_WIDTH(1), + .IN67_WIDTH(1), + .IN68_WIDTH(1), + .IN69_WIDTH(1), + .IN70_WIDTH(1), + .IN71_WIDTH(1), + .IN72_WIDTH(1), + .IN73_WIDTH(1), + .IN74_WIDTH(1), + .IN75_WIDTH(1), + .IN76_WIDTH(1), + .IN77_WIDTH(1), + .IN78_WIDTH(1), + .IN79_WIDTH(1), + .IN80_WIDTH(1), + .IN81_WIDTH(1), + .IN82_WIDTH(1), + .IN83_WIDTH(1), + .IN84_WIDTH(1), + .IN85_WIDTH(1), + .IN86_WIDTH(1), + .IN87_WIDTH(1), + .IN88_WIDTH(1), + .IN89_WIDTH(1), + .IN90_WIDTH(1), + .IN91_WIDTH(1), + .IN92_WIDTH(1), + .IN93_WIDTH(1), + .IN94_WIDTH(1), + .IN95_WIDTH(1), + .IN96_WIDTH(1), + .IN97_WIDTH(1), + .IN98_WIDTH(1), + .IN99_WIDTH(1), + .IN100_WIDTH(1), + .IN101_WIDTH(1), + .IN102_WIDTH(1), + .IN103_WIDTH(1), + .IN104_WIDTH(1), + .IN105_WIDTH(1), + .IN106_WIDTH(1), + .IN107_WIDTH(1), + .IN108_WIDTH(1), + .IN109_WIDTH(1), + .IN110_WIDTH(1), + .IN111_WIDTH(1), + .IN112_WIDTH(1), + .IN113_WIDTH(1), + .IN114_WIDTH(1), + .IN115_WIDTH(1), + .IN116_WIDTH(1), + .IN117_WIDTH(1), + .IN118_WIDTH(1), + .IN119_WIDTH(1), + .IN120_WIDTH(1), + .IN121_WIDTH(1), + .IN122_WIDTH(1), + .IN123_WIDTH(1), + .IN124_WIDTH(1), + .IN125_WIDTH(1), + .IN126_WIDTH(1), + .IN127_WIDTH(1), + .dout_width(6), + .NUM_PORTS(3) + ) inst ( + .In0(In0), + .In1(In1), + .In2(In2), + .In3(1'B0), + .In4(1'B0), + .In5(1'B0), + .In6(1'B0), + .In7(1'B0), + .In8(1'B0), + .In9(1'B0), + .In10(1'B0), + .In11(1'B0), + .In12(1'B0), + .In13(1'B0), + .In14(1'B0), + .In15(1'B0), + .In16(1'B0), + .In17(1'B0), + .In18(1'B0), + .In19(1'B0), + .In20(1'B0), + .In21(1'B0), + .In22(1'B0), + .In23(1'B0), + .In24(1'B0), + .In25(1'B0), + .In26(1'B0), + .In27(1'B0), + .In28(1'B0), + .In29(1'B0), + .In30(1'B0), + .In31(1'B0), + .In32(1'B0), + .In33(1'B0), + .In34(1'B0), + .In35(1'B0), + .In36(1'B0), + .In37(1'B0), + .In38(1'B0), + .In39(1'B0), + .In40(1'B0), + .In41(1'B0), + .In42(1'B0), + .In43(1'B0), + .In44(1'B0), + .In45(1'B0), + .In46(1'B0), + .In47(1'B0), + .In48(1'B0), + .In49(1'B0), + .In50(1'B0), + .In51(1'B0), + .In52(1'B0), + .In53(1'B0), + .In54(1'B0), + .In55(1'B0), + .In56(1'B0), + .In57(1'B0), + .In58(1'B0), + .In59(1'B0), + .In60(1'B0), + .In61(1'B0), + .In62(1'B0), + .In63(1'B0), + .In64(1'B0), + .In65(1'B0), + .In66(1'B0), + .In67(1'B0), + .In68(1'B0), + .In69(1'B0), + .In70(1'B0), + .In71(1'B0), + .In72(1'B0), + .In73(1'B0), + .In74(1'B0), + .In75(1'B0), + .In76(1'B0), + .In77(1'B0), + .In78(1'B0), + .In79(1'B0), + .In80(1'B0), + .In81(1'B0), + .In82(1'B0), + .In83(1'B0), + .In84(1'B0), + .In85(1'B0), + .In86(1'B0), + .In87(1'B0), + .In88(1'B0), + .In89(1'B0), + .In90(1'B0), + .In91(1'B0), + .In92(1'B0), + .In93(1'B0), + .In94(1'B0), + .In95(1'B0), + .In96(1'B0), + .In97(1'B0), + .In98(1'B0), + .In99(1'B0), + .In100(1'B0), + .In101(1'B0), + .In102(1'B0), + .In103(1'B0), + .In104(1'B0), + .In105(1'B0), + .In106(1'B0), + .In107(1'B0), + .In108(1'B0), + .In109(1'B0), + .In110(1'B0), + .In111(1'B0), + .In112(1'B0), + .In113(1'B0), + .In114(1'B0), + .In115(1'B0), + .In116(1'B0), + .In117(1'B0), + .In118(1'B0), + .In119(1'B0), + .In120(1'B0), + .In121(1'B0), + .In122(1'B0), + .In123(1'B0), + .In124(1'B0), + .In125(1'B0), + .In126(1'B0), + .In127(1'B0), + .dout(dout) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/synth/keyboard_xlconcat_0_0.v b/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/synth/keyboard_xlconcat_0_0.v new file mode 100644 index 00000000..ed1683d6 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlconcat_0_0/synth/keyboard_xlconcat_0_0.v @@ -0,0 +1,334 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:xlconcat:2.1 +// IP Revision: 4 + +(* X_CORE_INFO = "xlconcat_v2_1_4_xlconcat,Vivado 2021.2" *) +(* CHECK_LICENSE_TYPE = "keyboard_xlconcat_0_0,xlconcat_v2_1_4_xlconcat,{}" *) +(* CORE_GENERATION_INFO = "keyboard_xlconcat_0_0,xlconcat_v2_1_4_xlconcat,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlconcat,x_ipVersion=2.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,IN0_WIDTH=4,IN1_WIDTH=1,IN2_WIDTH=1,IN3_WIDTH=1,IN4_WIDTH=1,IN5_WIDTH=1,IN6_WIDTH=1,IN7_WIDTH=1,IN8_WIDTH=1,IN9_WIDTH=1,IN10_WIDTH=1,IN11_WIDTH=1,IN12_WIDTH=1,IN13_WIDTH=1,IN14_WIDTH=1,IN15_WIDTH=1,IN16_WIDTH=1,IN17_WIDTH=1,IN18_WIDTH=1,IN19_WIDTH=1,IN20_WIDTH=1,IN21_WIDTH=1,IN22_WIDTH=1,IN23\ +_WIDTH=1,IN24_WIDTH=1,IN25_WIDTH=1,IN26_WIDTH=1,IN27_WIDTH=1,IN28_WIDTH=1,IN29_WIDTH=1,IN30_WIDTH=1,IN31_WIDTH=1,IN32_WIDTH=1,IN33_WIDTH=1,IN34_WIDTH=1,IN35_WIDTH=1,IN36_WIDTH=1,IN37_WIDTH=1,IN38_WIDTH=1,IN39_WIDTH=1,IN40_WIDTH=1,IN41_WIDTH=1,IN42_WIDTH=1,IN43_WIDTH=1,IN44_WIDTH=1,IN45_WIDTH=1,IN46_WIDTH=1,IN47_WIDTH=1,IN48_WIDTH=1,IN49_WIDTH=1,IN50_WIDTH=1,IN51_WIDTH=1,IN52_WIDTH=1,IN53_WIDTH=1,IN54_WIDTH=1,IN55_WIDTH=1,IN56_WIDTH=1,IN57_WIDTH=1,IN58_WIDTH=1,IN59_WIDTH=1,IN60_WIDTH=1,IN61_WIDTH\ +=1,IN62_WIDTH=1,IN63_WIDTH=1,IN64_WIDTH=1,IN65_WIDTH=1,IN66_WIDTH=1,IN67_WIDTH=1,IN68_WIDTH=1,IN69_WIDTH=1,IN70_WIDTH=1,IN71_WIDTH=1,IN72_WIDTH=1,IN73_WIDTH=1,IN74_WIDTH=1,IN75_WIDTH=1,IN76_WIDTH=1,IN77_WIDTH=1,IN78_WIDTH=1,IN79_WIDTH=1,IN80_WIDTH=1,IN81_WIDTH=1,IN82_WIDTH=1,IN83_WIDTH=1,IN84_WIDTH=1,IN85_WIDTH=1,IN86_WIDTH=1,IN87_WIDTH=1,IN88_WIDTH=1,IN89_WIDTH=1,IN90_WIDTH=1,IN91_WIDTH=1,IN92_WIDTH=1,IN93_WIDTH=1,IN94_WIDTH=1,IN95_WIDTH=1,IN96_WIDTH=1,IN97_WIDTH=1,IN98_WIDTH=1,IN99_WIDTH=1,IN1\ +00_WIDTH=1,IN101_WIDTH=1,IN102_WIDTH=1,IN103_WIDTH=1,IN104_WIDTH=1,IN105_WIDTH=1,IN106_WIDTH=1,IN107_WIDTH=1,IN108_WIDTH=1,IN109_WIDTH=1,IN110_WIDTH=1,IN111_WIDTH=1,IN112_WIDTH=1,IN113_WIDTH=1,IN114_WIDTH=1,IN115_WIDTH=1,IN116_WIDTH=1,IN117_WIDTH=1,IN118_WIDTH=1,IN119_WIDTH=1,IN120_WIDTH=1,IN121_WIDTH=1,IN122_WIDTH=1,IN123_WIDTH=1,IN124_WIDTH=1,IN125_WIDTH=1,IN126_WIDTH=1,IN127_WIDTH=1,dout_width=6,NUM_PORTS=3}" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_xlconcat_0_0 ( + In0, + In1, + In2, + dout +); + +input wire [3 : 0] In0; +input wire [0 : 0] In1; +input wire [0 : 0] In2; +output wire [5 : 0] dout; + + xlconcat_v2_1_4_xlconcat #( + .IN0_WIDTH(4), + .IN1_WIDTH(1), + .IN2_WIDTH(1), + .IN3_WIDTH(1), + .IN4_WIDTH(1), + .IN5_WIDTH(1), + .IN6_WIDTH(1), + .IN7_WIDTH(1), + .IN8_WIDTH(1), + .IN9_WIDTH(1), + .IN10_WIDTH(1), + .IN11_WIDTH(1), + .IN12_WIDTH(1), + .IN13_WIDTH(1), + .IN14_WIDTH(1), + .IN15_WIDTH(1), + .IN16_WIDTH(1), + .IN17_WIDTH(1), + .IN18_WIDTH(1), + .IN19_WIDTH(1), + .IN20_WIDTH(1), + .IN21_WIDTH(1), + .IN22_WIDTH(1), + .IN23_WIDTH(1), + .IN24_WIDTH(1), + .IN25_WIDTH(1), + .IN26_WIDTH(1), + .IN27_WIDTH(1), + .IN28_WIDTH(1), + .IN29_WIDTH(1), + .IN30_WIDTH(1), + .IN31_WIDTH(1), + .IN32_WIDTH(1), + .IN33_WIDTH(1), + .IN34_WIDTH(1), + .IN35_WIDTH(1), + .IN36_WIDTH(1), + .IN37_WIDTH(1), + .IN38_WIDTH(1), + .IN39_WIDTH(1), + .IN40_WIDTH(1), + .IN41_WIDTH(1), + .IN42_WIDTH(1), + .IN43_WIDTH(1), + .IN44_WIDTH(1), + .IN45_WIDTH(1), + .IN46_WIDTH(1), + .IN47_WIDTH(1), + .IN48_WIDTH(1), + .IN49_WIDTH(1), + .IN50_WIDTH(1), + .IN51_WIDTH(1), + .IN52_WIDTH(1), + .IN53_WIDTH(1), + .IN54_WIDTH(1), + .IN55_WIDTH(1), + .IN56_WIDTH(1), + .IN57_WIDTH(1), + .IN58_WIDTH(1), + .IN59_WIDTH(1), + .IN60_WIDTH(1), + .IN61_WIDTH(1), + .IN62_WIDTH(1), + .IN63_WIDTH(1), + .IN64_WIDTH(1), + .IN65_WIDTH(1), + .IN66_WIDTH(1), + .IN67_WIDTH(1), + .IN68_WIDTH(1), + .IN69_WIDTH(1), + .IN70_WIDTH(1), + .IN71_WIDTH(1), + .IN72_WIDTH(1), + .IN73_WIDTH(1), + .IN74_WIDTH(1), + .IN75_WIDTH(1), + .IN76_WIDTH(1), + .IN77_WIDTH(1), + .IN78_WIDTH(1), + .IN79_WIDTH(1), + .IN80_WIDTH(1), + .IN81_WIDTH(1), + .IN82_WIDTH(1), + .IN83_WIDTH(1), + .IN84_WIDTH(1), + .IN85_WIDTH(1), + .IN86_WIDTH(1), + .IN87_WIDTH(1), + .IN88_WIDTH(1), + .IN89_WIDTH(1), + .IN90_WIDTH(1), + .IN91_WIDTH(1), + .IN92_WIDTH(1), + .IN93_WIDTH(1), + .IN94_WIDTH(1), + .IN95_WIDTH(1), + .IN96_WIDTH(1), + .IN97_WIDTH(1), + .IN98_WIDTH(1), + .IN99_WIDTH(1), + .IN100_WIDTH(1), + .IN101_WIDTH(1), + .IN102_WIDTH(1), + .IN103_WIDTH(1), + .IN104_WIDTH(1), + .IN105_WIDTH(1), + .IN106_WIDTH(1), + .IN107_WIDTH(1), + .IN108_WIDTH(1), + .IN109_WIDTH(1), + .IN110_WIDTH(1), + .IN111_WIDTH(1), + .IN112_WIDTH(1), + .IN113_WIDTH(1), + .IN114_WIDTH(1), + .IN115_WIDTH(1), + .IN116_WIDTH(1), + .IN117_WIDTH(1), + .IN118_WIDTH(1), + .IN119_WIDTH(1), + .IN120_WIDTH(1), + .IN121_WIDTH(1), + .IN122_WIDTH(1), + .IN123_WIDTH(1), + .IN124_WIDTH(1), + .IN125_WIDTH(1), + .IN126_WIDTH(1), + .IN127_WIDTH(1), + .dout_width(6), + .NUM_PORTS(3) + ) inst ( + .In0(In0), + .In1(In1), + .In2(In2), + .In3(1'B0), + .In4(1'B0), + .In5(1'B0), + .In6(1'B0), + .In7(1'B0), + .In8(1'B0), + .In9(1'B0), + .In10(1'B0), + .In11(1'B0), + .In12(1'B0), + .In13(1'B0), + .In14(1'B0), + .In15(1'B0), + .In16(1'B0), + .In17(1'B0), + .In18(1'B0), + .In19(1'B0), + .In20(1'B0), + .In21(1'B0), + .In22(1'B0), + .In23(1'B0), + .In24(1'B0), + .In25(1'B0), + .In26(1'B0), + .In27(1'B0), + .In28(1'B0), + .In29(1'B0), + .In30(1'B0), + .In31(1'B0), + .In32(1'B0), + .In33(1'B0), + .In34(1'B0), + .In35(1'B0), + .In36(1'B0), + .In37(1'B0), + .In38(1'B0), + .In39(1'B0), + .In40(1'B0), + .In41(1'B0), + .In42(1'B0), + .In43(1'B0), + .In44(1'B0), + .In45(1'B0), + .In46(1'B0), + .In47(1'B0), + .In48(1'B0), + .In49(1'B0), + .In50(1'B0), + .In51(1'B0), + .In52(1'B0), + .In53(1'B0), + .In54(1'B0), + .In55(1'B0), + .In56(1'B0), + .In57(1'B0), + .In58(1'B0), + .In59(1'B0), + .In60(1'B0), + .In61(1'B0), + .In62(1'B0), + .In63(1'B0), + .In64(1'B0), + .In65(1'B0), + .In66(1'B0), + .In67(1'B0), + .In68(1'B0), + .In69(1'B0), + .In70(1'B0), + .In71(1'B0), + .In72(1'B0), + .In73(1'B0), + .In74(1'B0), + .In75(1'B0), + .In76(1'B0), + .In77(1'B0), + .In78(1'B0), + .In79(1'B0), + .In80(1'B0), + .In81(1'B0), + .In82(1'B0), + .In83(1'B0), + .In84(1'B0), + .In85(1'B0), + .In86(1'B0), + .In87(1'B0), + .In88(1'B0), + .In89(1'B0), + .In90(1'B0), + .In91(1'B0), + .In92(1'B0), + .In93(1'B0), + .In94(1'B0), + .In95(1'B0), + .In96(1'B0), + .In97(1'B0), + .In98(1'B0), + .In99(1'B0), + .In100(1'B0), + .In101(1'B0), + .In102(1'B0), + .In103(1'B0), + .In104(1'B0), + .In105(1'B0), + .In106(1'B0), + .In107(1'B0), + .In108(1'B0), + .In109(1'B0), + .In110(1'B0), + .In111(1'B0), + .In112(1'B0), + .In113(1'B0), + .In114(1'B0), + .In115(1'B0), + .In116(1'B0), + .In117(1'B0), + .In118(1'B0), + .In119(1'B0), + .In120(1'B0), + .In121(1'B0), + .In122(1'B0), + .In123(1'B0), + .In124(1'B0), + .In125(1'B0), + .In126(1'B0), + .In127(1'B0), + .dout(dout) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci new file mode 100644 index 00000000..eef2e7c9 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xci @@ -0,0 +1,56 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_xlslice_0_0 + + + 3 + 0 + 9 + keyboard_xlslice_0_0 + 3 + 0 + 9 + 4 + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 2 + TRUE + . + + ../../ipshared + 2021.2 + GLOBAL + + + + + + + + + + + + + + + + diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xml similarity index 50% rename from srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0.xml rename to srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xml index 629ee50b..dabde90a 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_0_0/audio_audio_prefilter_0_0.xml +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/keyboard_xlslice_0_0.xml @@ -2,19 +2,27 @@ xilinx.com customized_ip - audio_audio_prefilter_0_0 + keyboard_xlslice_0_0 1.0 - xilinx_anylanguagesynthesis - Synthesis - :vivado.xilinx.com:synthesis - audio_prefilter + xilinx_verilogsynthesis + Verilog Synthesis + verilogSource:vivado.xilinx.com:synthesis + verilog + xlslice_v1_0_2_xlslice + + xilinx_verilogsynthesis_view_fileset + + + GENtimestamp + Tue Dec 28 13:26:06 UTC 2021 + outputProductCRC - 9:f9c62498 + 9:cc75e753 @@ -25,7 +33,7 @@ outputProductCRC - 9:f9c62498 + 9:cc75e753 @@ -34,103 +42,93 @@ Verilog Synthesis Wrapper verilogSource:vivado.xilinx.com:synthesis.wrapper verilog - audio_audio_prefilter_0_0 + keyboard_xlslice_0_0 xilinx_verilogsynthesiswrapper_view_fileset GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 17:46:52 UTC 2021 outputProductCRC - 9:f9c62498 - - - - - xilinx_anylanguagebehavioralsimulation - Simulation - :vivado.xilinx.com:simulation - audio_prefilter - - - outputProductCRC - 9:11b6d273 + 9:cc75e753 - xilinx_verilogsimulationwrapper - Verilog Simulation Wrapper - verilogSource:vivado.xilinx.com:simulation.wrapper + xilinx_verilogbehavioralsimulation + Verilog Simulation + verilogSource:vivado.xilinx.com:simulation verilog - audio_audio_prefilter_0_0 + xlslice_v1_0_2_xlslice - xilinx_verilogsimulationwrapper_view_fileset + xilinx_verilogbehavioralsimulation_view_fileset GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 13:26:06 UTC 2021 outputProductCRC - 9:11b6d273 + 9:eb839304 - xilinx_externalfiles - External Files - :vivado.xilinx.com:external.files + xilinx_verilogsimulationwrapper + Verilog Simulation Wrapper + verilogSource:vivado.xilinx.com:simulation.wrapper + verilog + keyboard_xlslice_0_0 - xilinx_externalfiles_view_fileset + xilinx_verilogsimulationwrapper_view_fileset GENtimestamp - Tue Dec 21 12:16:48 UTC 2021 + Tue Dec 28 17:46:52 UTC 2021 outputProductCRC - 9:f9c62498 + 9:eb839304 - din + Din in - 15 + 8 0 std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation - dout + Dout out - 15 + 3 0 std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation @@ -138,86 +136,100 @@ - AUDIO_DW - Audio Dw - 16 + DIN_WIDTH + Din Width + 9 + + + DIN_FROM + Din From + 3 + + + DIN_TO + Din Down To + 0 - xilinx_verilogsynthesiswrapper_view_fileset + xilinx_verilogsynthesis_view_fileset - synth/audio_audio_prefilter_0_0.v + ../../ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v verilogSource - xil_defaultlib + xlslice_v1_0_2 - xilinx_verilogsimulationwrapper_view_fileset + xilinx_verilogsynthesiswrapper_view_fileset - sim/audio_audio_prefilter_0_0.v + synth/keyboard_xlslice_0_0.v verilogSource xil_defaultlib - xilinx_externalfiles_view_fileset - - audio_audio_prefilter_0_0.dcp - dcp - USED_IN_implementation - USED_IN_synthesis - xil_defaultlib - + xilinx_verilogbehavioralsimulation_view_fileset - audio_audio_prefilter_0_0_stub.v + ../../ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v verilogSource - USED_IN_synth_blackbox_stub - xil_defaultlib - - - audio_audio_prefilter_0_0_stub.vhdl - vhdlSource - USED_IN_synth_blackbox_stub - xil_defaultlib + USED_IN_ipstatic + xlslice_v1_0_2 + + + xilinx_verilogsimulationwrapper_view_fileset - audio_audio_prefilter_0_0_sim_netlist.v + sim/keyboard_xlslice_0_0.v verilogSource - USED_IN_simulation - USED_IN_single_language - xil_defaultlib - - - audio_audio_prefilter_0_0_sim_netlist.vhdl - vhdlSource - USED_IN_simulation - USED_IN_single_language xil_defaultlib - xilinx.com:module_ref:audio_prefilter:1.0 + Slices a number of bits off of Din input. dout = din[from_position : to_position] - AUDIO_DW - Audio Dw - 16 + Component_Name + keyboard_xlslice_0_0 - Component_Name - audio_audio_prefilter_0_0 + DIN_TO + Din Down To + 0 + + + DIN_FROM + Din From + 3 + + + DIN_WIDTH + Din Width + 9 + + + DOUT_WIDTH + Dout Width + 4 - audio_prefilter_v1_0 - module_ref - 1 + Slice + 2 + + + + + 2021.2 + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/sim/keyboard_xlslice_0_0.v b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/sim/keyboard_xlslice_0_0.v new file mode 100644 index 00000000..71c6f622 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/sim/keyboard_xlslice_0_0.v @@ -0,0 +1,72 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:xlslice:1.0 +// IP Revision: 2 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_xlslice_0_0 ( + Din, + Dout +); + +input wire [8 : 0] Din; +output wire [3 : 0] Dout; + + xlslice_v1_0_2_xlslice #( + .DIN_WIDTH(9), + .DIN_FROM(3), + .DIN_TO(0) + ) inst ( + .Din(Din), + .Dout(Dout) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/synth/keyboard_xlslice_0_0.v b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/synth/keyboard_xlslice_0_0.v new file mode 100644 index 00000000..13f19511 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_0_0/synth/keyboard_xlslice_0_0.v @@ -0,0 +1,73 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:xlslice:1.0 +// IP Revision: 2 + +(* X_CORE_INFO = "xlslice_v1_0_2_xlslice,Vivado 2021.2" *) +(* CHECK_LICENSE_TYPE = "keyboard_xlslice_0_0,xlslice_v1_0_2_xlslice,{}" *) +(* CORE_GENERATION_INFO = "keyboard_xlslice_0_0,xlslice_v1_0_2_xlslice,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlslice,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,DIN_WIDTH=9,DIN_FROM=3,DIN_TO=0}" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_xlslice_0_0 ( + Din, + Dout +); + +input wire [8 : 0] Din; +output wire [3 : 0] Dout; + + xlslice_v1_0_2_xlslice #( + .DIN_WIDTH(9), + .DIN_FROM(3), + .DIN_TO(0) + ) inst ( + .Din(Din), + .Dout(Dout) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci new file mode 100644 index 00000000..8f324bfc --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xci @@ -0,0 +1,57 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_xlslice_1_0 + + + 4 + 4 + 9 + keyboard_xlslice_1_0 + 4 + 4 + 9 + 1 + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 2 + TRUE + . + + ../../ipshared + 2021.2 + GLOBAL + + + + + + + + + + + + + + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xml new file mode 100644 index 00000000..a47b099f --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/keyboard_xlslice_1_0.xml @@ -0,0 +1,236 @@ + + + xilinx.com + customized_ip + keyboard_xlslice_1_0 + 1.0 + + + + xilinx_verilogsynthesis + Verilog Synthesis + verilogSource:vivado.xilinx.com:synthesis + verilog + xlslice_v1_0_2_xlslice + + xilinx_verilogsynthesis_view_fileset + + + + GENtimestamp + Tue Dec 28 13:26:06 UTC 2021 + + + outputProductCRC + 9:9dccf387 + + + + + xilinx_synthesisconstraints + Synthesis Constraints + :vivado.xilinx.com:synthesis.constraints + + + outputProductCRC + 9:9dccf387 + + + + + xilinx_verilogsynthesiswrapper + Verilog Synthesis Wrapper + verilogSource:vivado.xilinx.com:synthesis.wrapper + verilog + keyboard_xlslice_1_0 + + xilinx_verilogsynthesiswrapper_view_fileset + + + + GENtimestamp + Tue Dec 28 17:46:52 UTC 2021 + + + outputProductCRC + 9:9dccf387 + + + + + xilinx_verilogbehavioralsimulation + Verilog Simulation + verilogSource:vivado.xilinx.com:simulation + verilog + xlslice_v1_0_2_xlslice + + xilinx_verilogbehavioralsimulation_view_fileset + + + + GENtimestamp + Tue Dec 28 13:26:06 UTC 2021 + + + outputProductCRC + 9:45b85d8f + + + + + xilinx_verilogsimulationwrapper + Verilog Simulation Wrapper + verilogSource:vivado.xilinx.com:simulation.wrapper + verilog + keyboard_xlslice_1_0 + + xilinx_verilogsimulationwrapper_view_fileset + + + + GENtimestamp + Tue Dec 28 17:46:52 UTC 2021 + + + outputProductCRC + 9:45b85d8f + + + + + + + Din + + in + + 8 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + + + Dout + + out + + 0 + 0 + + + + std_logic_vector + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation + + + + + + + + DIN_WIDTH + Din Width + 9 + + + DIN_FROM + Din From + 4 + + + DIN_TO + Din Down To + 4 + + + + + + xilinx_verilogsynthesis_view_fileset + + ../../ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v + verilogSource + xlslice_v1_0_2 + + + + xilinx_verilogsynthesiswrapper_view_fileset + + synth/keyboard_xlslice_1_0.v + verilogSource + xil_defaultlib + + + + xilinx_verilogbehavioralsimulation_view_fileset + + ../../ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v + verilogSource + USED_IN_ipstatic + xlslice_v1_0_2 + + + + xilinx_verilogsimulationwrapper_view_fileset + + sim/keyboard_xlslice_1_0.v + verilogSource + xil_defaultlib + + + + Slices a number of bits off of Din input. dout = din[from_position : to_position] + + + Component_Name + keyboard_xlslice_1_0 + + + DIN_TO + Din Down To + 4 + + + DIN_FROM + Din From + 4 + + + DIN_WIDTH + Din Width + 9 + + + DOUT_WIDTH + Dout Width + 1 + + + + + Slice + 2 + + + + + + + + + 2021.2 + + + + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/sim/keyboard_xlslice_1_0.v b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/sim/keyboard_xlslice_1_0.v new file mode 100644 index 00000000..8d1dfb4b --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/sim/keyboard_xlslice_1_0.v @@ -0,0 +1,72 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:xlslice:1.0 +// IP Revision: 2 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_xlslice_1_0 ( + Din, + Dout +); + +input wire [8 : 0] Din; +output wire [0 : 0] Dout; + + xlslice_v1_0_2_xlslice #( + .DIN_WIDTH(9), + .DIN_FROM(4), + .DIN_TO(4) + ) inst ( + .Din(Din), + .Dout(Dout) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/synth/keyboard_xlslice_1_0.v b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/synth/keyboard_xlslice_1_0.v new file mode 100644 index 00000000..5ae8aa7b --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_0/synth/keyboard_xlslice_1_0.v @@ -0,0 +1,73 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:xlslice:1.0 +// IP Revision: 2 + +(* X_CORE_INFO = "xlslice_v1_0_2_xlslice,Vivado 2021.2" *) +(* CHECK_LICENSE_TYPE = "keyboard_xlslice_1_0,xlslice_v1_0_2_xlslice,{}" *) +(* CORE_GENERATION_INFO = "keyboard_xlslice_1_0,xlslice_v1_0_2_xlslice,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlslice,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,DIN_WIDTH=9,DIN_FROM=4,DIN_TO=4}" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_xlslice_1_0 ( + Din, + Dout +); + +input wire [8 : 0] Din; +output wire [0 : 0] Dout; + + xlslice_v1_0_2_xlslice #( + .DIN_WIDTH(9), + .DIN_FROM(4), + .DIN_TO(4) + ) inst ( + .Din(Din), + .Dout(Dout) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci new file mode 100644 index 00000000..02dd3534 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xci @@ -0,0 +1,56 @@ + + + xilinx.com + xci + unknown + 1.0 + + + keyboard_xlslice_1_1 + + + 5 + 0 + 8 + keyboard_xlslice_1_1 + 5 + 0 + 8 + 6 + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 2 + TRUE + . + + ../../ipshared + 2021.2 + GLOBAL + + + + + + + + + + + + + + + + diff --git a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xml similarity index 50% rename from srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.xml rename to srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xml index 8a1e20f8..428801bb 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_prefilter_1_0/audio_audio_prefilter_1_0.xml +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/keyboard_xlslice_1_1.xml @@ -2,19 +2,27 @@ xilinx.com customized_ip - audio_audio_prefilter_1_0 + keyboard_xlslice_1_1 1.0 - xilinx_anylanguagesynthesis - Synthesis - :vivado.xilinx.com:synthesis - audio_prefilter + xilinx_verilogsynthesis + Verilog Synthesis + verilogSource:vivado.xilinx.com:synthesis + verilog + xlslice_v1_0_2_xlslice + + xilinx_verilogsynthesis_view_fileset + + + GENtimestamp + Tue Dec 28 13:26:06 UTC 2021 + outputProductCRC - 9:acd66192 + 9:ddfe7254 @@ -25,7 +33,7 @@ outputProductCRC - 9:acd66192 + 9:ddfe7254 @@ -34,103 +42,93 @@ Verilog Synthesis Wrapper verilogSource:vivado.xilinx.com:synthesis.wrapper verilog - audio_audio_prefilter_1_0 + keyboard_xlslice_1_1 xilinx_verilogsynthesiswrapper_view_fileset GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 17:46:52 UTC 2021 outputProductCRC - 9:acd66192 - - - - - xilinx_anylanguagebehavioralsimulation - Simulation - :vivado.xilinx.com:simulation - audio_prefilter - - - outputProductCRC - 9:e5a56265 + 9:ddfe7254 - xilinx_verilogsimulationwrapper - Verilog Simulation Wrapper - verilogSource:vivado.xilinx.com:simulation.wrapper + xilinx_verilogbehavioralsimulation + Verilog Simulation + verilogSource:vivado.xilinx.com:simulation verilog - audio_audio_prefilter_1_0 + xlslice_v1_0_2_xlslice - xilinx_verilogsimulationwrapper_view_fileset + xilinx_verilogbehavioralsimulation_view_fileset GENtimestamp - Tue Dec 21 12:12:24 UTC 2021 + Tue Dec 28 13:26:06 UTC 2021 outputProductCRC - 9:e5a56265 + 9:42f111cc - xilinx_externalfiles - External Files - :vivado.xilinx.com:external.files + xilinx_verilogsimulationwrapper + Verilog Simulation Wrapper + verilogSource:vivado.xilinx.com:simulation.wrapper + verilog + keyboard_xlslice_1_1 - xilinx_externalfiles_view_fileset + xilinx_verilogsimulationwrapper_view_fileset GENtimestamp - Tue Dec 21 12:17:34 UTC 2021 + Tue Dec 28 17:46:52 UTC 2021 outputProductCRC - 9:acd66192 + 9:42f111cc - din + Din in - 15 + 7 0 std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation - dout + Dout out - 15 + 5 0 std_logic_vector - xilinx_anylanguagesynthesis - xilinx_anylanguagebehavioralsimulation + xilinx_verilogsynthesis + xilinx_verilogbehavioralsimulation @@ -138,86 +136,100 @@ - AUDIO_DW - Audio Dw - 16 + DIN_WIDTH + Din Width + 8 + + + DIN_FROM + Din From + 5 + + + DIN_TO + Din Down To + 0 - xilinx_verilogsynthesiswrapper_view_fileset + xilinx_verilogsynthesis_view_fileset - synth/audio_audio_prefilter_1_0.v + ../../ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v verilogSource - xil_defaultlib + xlslice_v1_0_2 - xilinx_verilogsimulationwrapper_view_fileset + xilinx_verilogsynthesiswrapper_view_fileset - sim/audio_audio_prefilter_1_0.v + synth/keyboard_xlslice_1_1.v verilogSource xil_defaultlib - xilinx_externalfiles_view_fileset - - audio_audio_prefilter_1_0.dcp - dcp - USED_IN_implementation - USED_IN_synthesis - xil_defaultlib - + xilinx_verilogbehavioralsimulation_view_fileset - audio_audio_prefilter_1_0_stub.v + ../../ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v verilogSource - USED_IN_synth_blackbox_stub - xil_defaultlib - - - audio_audio_prefilter_1_0_stub.vhdl - vhdlSource - USED_IN_synth_blackbox_stub - xil_defaultlib + USED_IN_ipstatic + xlslice_v1_0_2 + + + xilinx_verilogsimulationwrapper_view_fileset - audio_audio_prefilter_1_0_sim_netlist.v + sim/keyboard_xlslice_1_1.v verilogSource - USED_IN_simulation - USED_IN_single_language - xil_defaultlib - - - audio_audio_prefilter_1_0_sim_netlist.vhdl - vhdlSource - USED_IN_simulation - USED_IN_single_language xil_defaultlib - xilinx.com:module_ref:audio_prefilter:1.0 + Slices a number of bits off of Din input. dout = din[from_position : to_position] - AUDIO_DW - Audio Dw - 16 + Component_Name + keyboard_xlslice_1_1 - Component_Name - audio_audio_prefilter_1_0 + DIN_TO + Din Down To + 0 + + + DIN_FROM + Din From + 5 + + + DIN_WIDTH + Din Width + 8 + + + DOUT_WIDTH + Dout Width + 6 - audio_prefilter_v1_0 - module_ref - 1 + Slice + 2 + + + + + 2021.2 + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/sim/keyboard_xlslice_1_1.v b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/sim/keyboard_xlslice_1_1.v new file mode 100644 index 00000000..db9f9cf6 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/sim/keyboard_xlslice_1_1.v @@ -0,0 +1,72 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:xlslice:1.0 +// IP Revision: 2 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_xlslice_1_1 ( + Din, + Dout +); + +input wire [7 : 0] Din; +output wire [5 : 0] Dout; + + xlslice_v1_0_2_xlslice #( + .DIN_WIDTH(8), + .DIN_FROM(5), + .DIN_TO(0) + ) inst ( + .Din(Din), + .Dout(Dout) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/synth/keyboard_xlslice_1_1.v b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/synth/keyboard_xlslice_1_1.v new file mode 100644 index 00000000..90db6387 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_xlslice_1_1/synth/keyboard_xlslice_1_1.v @@ -0,0 +1,73 @@ +// (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:xlslice:1.0 +// IP Revision: 2 + +(* X_CORE_INFO = "xlslice_v1_0_2_xlslice,Vivado 2021.2" *) +(* CHECK_LICENSE_TYPE = "keyboard_xlslice_1_1,xlslice_v1_0_2_xlslice,{}" *) +(* CORE_GENERATION_INFO = "keyboard_xlslice_1_1,xlslice_v1_0_2_xlslice,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlslice,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,DIN_WIDTH=8,DIN_FROM=5,DIN_TO=0}" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module keyboard_xlslice_1_1 ( + Din, + Dout +); + +input wire [7 : 0] Din; +output wire [5 : 0] Dout; + + xlslice_v1_0_2_xlslice #( + .DIN_WIDTH(8), + .DIN_FROM(5), + .DIN_TO(0) + ) inst ( + .Din(Din), + .Dout(Dout) + ); +endmodule diff --git a/srcs/sources/bd/keyboard/ipshared/0bf5/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd b/srcs/sources/bd/keyboard/ipshared/0bf5/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd new file mode 100644 index 00000000..f2a060bf --- /dev/null +++ b/srcs/sources/bd/keyboard/ipshared/0bf5/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd @@ -0,0 +1,2491 @@ +`protect begin_protected +`protect version = 1 +`protect encrypt_agent = "XILINX" +`protect encrypt_agent_info = "Xilinx Encryption Tool 2021.2" +`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-2", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) +`protect key_block +qBHgXmwbTbZKEU9tcjZbsi+ExctvD8XefVx14BkxLFOTaColWRgtKU9vhojRxOADVyuCsE7IUw5/ +fIBh9Lwwg/1gRLE7njxHZhWAz9S1sVJTpj4NzEQ/HyJYMIoxPpczRyPcn1WxmVNQqNuYI1QUkQdA +njnTdD+zeIXLmFmD1F8= + +`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) +`protect key_block +V/TizgGPju21MuRFF7y/ABvr1JqliOqk4fYco5uCOBoyUST+UXZx+hvy+kbS/LIOoofVkSPNsgIB +cZoZuq7YCpk/jDm/+3eTRWDEB56vO8JkeH1jwR7EzYU3QoipBAujdnlLacwL/Qy/9BMtpw8ZC+MO +wBnu3Kj0Q1dJVGnfxGEY6YDPJ+d21AYrk0MUpKHc8NVxv4Hojk39AhtxcEVXw2v2A/fQ9jZC/Ndf +05gPeW4R8LQP/EGbOdtsgq9I5dfdsNv7iKW511rAce2zY8b2yC3vfsAK+YvJlJhR9xErRgfrNVjL +Wf/LCVNpz2k1nBpoU73eFFZpZpBgcK2RDNk23w== + +`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) +`protect key_block +Bq6b1vbyY3ChcNU6TEnpKgFXql2W7SCpYB5BjNQXc3pXJDMmVkEfYRRu3dus6SDMFXRHG0YcdGWS +/wS2NHW3Y4jbYKRazEyz7v6YOZcyrun1KL6tR+AG/wFDOveXfxNNB+zhBzCpD4rjZneOXH/S238v +1RhzzAtXry9bFvLFEvM= + +`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) +`protect key_block +bYFsVmVVlPDgpJA7LNUGgEzYGUdTNv5Vsc3Jwzl3M7dMROVIX3hQvamUB9EXDcek0Zh/sGPCLhKi +ldQUStkE/1cexALf6/IyDRsZwk6TfIOli5xAX33R98gH53kMGqm4LeMSjvxdw1HFasq3DFQf9MFS +2Vd3MBk2RQ7oHEiynkyQ6u6rVzyv/fEvYXD4vddz2P59pyQWGFNkNK2IO+xY995zx5+zEWsxRbhY +BiKHBy3THjpQOfIu9GAuI55cn3CQjjpvKXcx+Y3heO9CKpqZLGfEqa24KfEbqGfiApu6kTIVexUg +dDBIIdD+N8LJltHRpZ+jbHfXPp+zcquX5mHHjw== + +`protect key_keyowner = "Real Intent", key_keyname = "RI-RSA-KEY-1", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) +`protect key_block +Qj/0qDRoIRfY71MSM/IDZuivT67/prQAAFtf0lEbUPKKco5uVYjUx2y9eBkAfFGhs2fZalRebtNk +xUbSGT68uQ1coh2Q6nhS4cdo4YPsspTH1Nhu4RIhtPgRxdUttXHYX/Gr97N9TcXoMsfDghFW64X1 +k5hEWEfn83fPzGIjm+7kdnV/4img9Fa3ZxxYUrgr5ny+/n9TADBfPj0nanLXP9IfpXIXFMO4cZ0z +Bn1eYo5PYUkIMm2NtSetwGM6Rot106wWg5O8rFVPs19cOE8+1EqXo7dNBHsY+L8Kc+GyZSZKYJeV +JveQ0goTcw48qT7c20RAD9/7ios9uAXp0PTvpQ== + +`protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2021_01", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) +`protect key_block +n4eN8OcgE2ytgAerPG7drDMcMy/2Ng9XyKzdLoueXaLeh19zquDnQa2TeOOi0kQM7hGEW4N0KSLe +m6/JDweeF+Zh9xzzoNG/7KoO99Lq3PLQiMZJ59hyawaj7oI6PxjJXrmtNuERK3VaiwAJCkdIROIA +KQWVzBm/UM8v21JbncRVWz79jVq9PoB0JyDeHd8yQSMkqhlQuqJk6w0/g6hvk6v0eZ8cm+YQPd0g +lcExsPMEJVUIstZmgw7cO9bw9rbVgiwyICyHMF9e9m+Fe/Erm8j76lm7U0ARiW5L4G85A2pA7Npy +R4KxewsytXQLOLLLVKSJgeQsFsNGQkjyZbzRJw== + +`protect key_keyowner = "Metrics Technologies Inc.", key_keyname = "DSim", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) +`protect key_block +Xr27ZXCB8OnsIkHZpOeCueAdq2OspASj7YxAKEG4q8NqrecPF23quvvBjuwcB49ClOEqtHMTy8Wx +weKE0jw+n98eLI9Twla9KkITonZCHdMyBRODorH0IaSSb4J6rlebTz4yIeDkU+T39FfS19iVrJv9 +YqXU3m1SGEsOT1DI4s/uVoxGxOXgwU9vp+nGCLp4cWSDJ5NmNma3Bkvy1AofNpsy04s51ATfy536 +dpOLpy/2AJscmf6UromXJmy3AjFYU5O9tgB+VG+ew3ZTMKUxBUQgIg6qI3jmIkWZ3kN/k2X52CIU +cKg6JWkdfO6Yk9nM2sROGf/SLG8ybirlacy0SQ== + +`protect key_keyowner = "Atrenta", key_keyname = "ATR-SG-RSA-1", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 384) +`protect key_block +R2nz22UK9YsaRdZIY3kGldutQifE5DDy7NbJzgHH9NuMVkNCseU6780lVUn1OPAvaNVfCBMh5aZB +Qa0UQVeAStJarB7+LT6a3OM60oJ6FEegSw1JKYWlpr0J4bm0S8AP9vR86sm2qfGICS2ZYl4qJmT8 +m4T3EkhhzBehr+YTSE5DVzXiDX1G5ichGCmCZeSTKbpaMUP4CxdLB3GXI3i/Q8iml9J42mVCnpUw +iemH4c94zF6h8A9D4QXZyzCcG7ls+jKtBjHptjiIu8+V0cg9S7zgQsphkLKIetlWBVuL7zqnpbWe +8s/b5fnpCatZemVgKkFuy8UKlkzOt0yBn4MFWqFhLaoZWztlyHiXcUuSgmaIK7C0o6rpozCRxgkr +/krI39PGhNLvh9r+dLgiXtDNHEPG7Rc1kGWMV4Tv/wTcuizsdwyK5ULiX9zDkm9Wp8wc2FmonXXs +zUMW2MTsj6qNgl3ly6aR71kz80w3HEm6vpYE0PgIioLUHtXSJrNI0YZH + +`protect key_keyowner = "Cadence Design Systems.", key_keyname = "CDS_RSA_KEY_VER_1", key_method = "rsa" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) +`protect key_block +KxbkAZO3A4DCLBukfrGMdxDyiqe7FeV3hRi5vLrwE66pgRsrzhpdsdVNVm9GBFGyirgfJc8Msa9K +Y4YDSFDYTsg59E8GFTF+GyDnevyA+S2gpVNFB0n2xfXaYhsh3iGMlmbrfQJILt4u+8Vuch+DunTO +8I4THbi625TC6yg0oe4r3JPCuc0C+w0RF2tsnPzM8RExC1kOIqKZaY9q1/wcBS5yGvCu13nNJIh8 +IjjeDlgUK3GKB5FLzKJjUN79rMWT/qzH5OvgP7qaduyP5OfGm9E21O9eYtZEDGyGoM6ob08/TjSI +IIIPgVDQr6hOVM58Dogadky8yVeXSxHRau5RRA== + +`protect data_method = "AES128-CBC" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 137008) +`protect data_block +e84uuLX7Qv65GZkQxPOlNm7b0Zm3AskxAbotJQXi8bGSKRu5ks9yTQpKGvZTxiecuMCVtC8L8hhX +Nb+obmfLzzyuVL5Bw4EIEceX8+UniizYmNFs1JM9mxFZcdpx/mFJox37tbERmcG/4m7ZpQ+L8ydP +lca+vyr1N/4aFt/BsjNiE0Tzoy2opccbUMO+bUW2g5wgXV6Nut2d3dAXsAY9H6NwITxaKR952muL +0vN3f9bnkKKt5+4Tfpsq/cmCDPsAVLHSOHUvHv3D/SWcJ8U2lEnMOBqmeudebikFDjYEccGi1un7 +KJfue1d4p8WN5N+bn0MUyEWc20NSwTowvekpXBklR89tynR6AWhGjgAxW5tdQpiBwGtbQiYL0qX4 +jn3o+5kl8qF9HFP8Sv2M6UBY+BRDBiXheysWN0JSb2yYyp/EfDO61B99Qc1iw8k6m1FJAcg9ShWJ +yZfku5npAbvM2IGvOm8BiXzGn98xS7xn6OGESFSaoVk/cvUBsjC+W8yqZDKkADxBcBRITkoOaiFA +scG/HqFc057WnS2ev/xm37vosSImCw12g/YcURZ0b7UgY3qCjf1NiyJfn0p2RdqIQXdqqTn+NiMo +siYKgfWwTYG4QK6LoItjqfZLxEQhnRnjifaLLS00lHGRPuNdQq3ICEgyGg0tyrKDGT/1VjXFZ5Tb +EMTeWATUrGWLI85vp1uvHoRUAcK54Ti1JYX/7DaXO0/8UngqyMjzhayeKSOf7/RyPj/wHAmhghmo +EM3QhRsyIavc9dHA2bu9rKnfGBEqywW3SQM1yzNmc0Y6YZMKUoMrsvV97apeVV5GglWhGcDidQD2 +sf3kkbqD1sASPlcIE3c2mA6cDB5R7k6pXmj7xaUKhDGkzMW9btKqx/SLSaV+MbfobtcgUEJbXh/i +/dVlWpnsxRutPad43Jzp/Jh1dHvGglCXhTAs4c3ELAc0vVSACtIrtJIlpEXPpYWx3pzYc+srwi9S +BJdxybvvcZPSQD4/GprfCsRoqxc1GOVhn4CqxuJdve4la+LR09SFVRgiGSypNBuYQG14lMw6U2AW +1YNVlgsPrEiCL6Ybik3Zn/EBX4HoUCaVOAO8ySOfpIGjTZJgfYq9EAluOvHhgpTtepdOxP2zhD0n +KHAuTEbrnONAw6BO7CNNPxlmVYWvbe0ij0zyuGeu4rrhVr1Fu6cpsYR67kCLj9trlY76zDB6U1Yh +neqA4/DU+fB01wlHM03v7BonMsmaBvwfHTjsE1hyn4klUuGJzjqDaDmcY0v9brPC5XAXuxIl2pCE +IfifZITq4Y6/1/oUrrZWypu7X66Yl3DPxe5Z5C3sAbzMfu9y5RkcHQAdgUBWCRtL6aDcDHnMho2h +pfH+3oiu2rfP8oehzTiIq33CSez/QTESeDcKpgoSzZqSqVKL/Z4A2pcceC0O3jJA4rAtpnOGC79x +DjXi1qBJGRMVTZK4dRBlWTHtTkUvMLdwtRjEjDSvw4+wq0AeHaCUb52bTT8OSOg9Goz8tCz2Gy24 +iS+V02UTsGzst4aCRwBa+e0cVZcL0heRkWImknZv/TMfh5YSxcX8Efn5v5vsO7S+K86f5xisDb4R +j85dn35xam6vwd4+/vW5LbshRe7t3NzZzBp5A3lEsFGy1jZCG8P7qMkSQbjYK6zktdrKZVap0J5D +irvEWm22x1oU/G/ZZVcXNKotljROnr9M5DeWLHynrQv1AmYW8hfioDwPbpwKIWsatbeokskV91A6 +liNQlSU512Z/CKJZYCj15ki6FUpV1YS04jv6xRKQeQhdTkTxSO9ZzUAvA5NKX4ivffmSGHWrGd5Z +im69lzSUb9URkAec8BJoyxd5e0FbVQV8nfn6Ku5r/OA9eUqwplO095SJp/NwdyuVqGU7VMmXzzo7 +ihgijKTw/hJvuuSAf5ifdSXfoxG6jFF8G1W+7MrxrQwRATYrX1JmHLSEch2+AYW3eg6mE75cTYfp +fWLNvShCPlijCKM+LmF8DFaW7bUS/wSCfG/l/0gykvQPQn3QjSRaNCtjdM9vwqBNQpiAlKzIZqGu +f7nSFgOs1eZ/kLC5hvQgrhu2j3nwYKh2kLdW5WDtRN5pa3lmnDE7SFrGCF7GdyllifH/ftvkKq2X +ovIA2AU2qz/MEbaAsWnzWqVo5Og2EEqZ3UaIHuxz/hIXu4uhf+W/VUFEXZNHwbn68km9xuIp4Io7 +5fhykWMrjBbmu6km5EJjf6APhTR64GMmAQ4OscdBZWCw+r1XHDK7+Y6QGZP6VNHZuDRQ/7at2Eoj +mrmC2W42NWXpy97voUV9jULKq7wmv/n4n9KKiat1ULesz9UsrJS437B2bMH1fecGzDPVqyPf5rX1 +UzOypWMPEZeKOgzGN0c/qk4zLcGL2sx9prlSIBmPp0FypdH6Z8OeLynwVryGNl3Ic7gg6Ft5b87J +zoEFVHaTu7yKIUFXxDnN92mY6HwME8tXD3ZNYGDcJ/0D54Mwm+j6+mdvwO1lNA/Mk4yhESHa8Jex +A3/RRKu+TyqWVKX3e5P70Dgcc8L9kDwVoydGhUqx3u1zYg1EgzQx5xEWRm1jA2A39BRVpQ7t1KH6 +phL3tLRwFkc5ZwSovvemXlnevMxbxfNlpBjhCBPsrDun/pBg3omIBEvyvfFQ5b9y/pONcxO19Y60 +6HbFlB1YuZ1Cx3i+YdLtgvyB8Nif7UzROKMNhsUrF/VsQYvdjd1g7DdA/k6/yISWkh5S/vX1WIUY +AVKS5QaKrKAM7Aoe03Jgel6su1zM4G51BkPaYbQ67EHtjrlz7+/5NCNdGQv7uthkdfIig6PUBsVx +r1DV75y97dKBY5tawMSMsAxa725KtNpp7BWwIl4Nny94vw9s09ZjU8cP9HEruB5IF7GoxOFXU9Ks +jaUMCqY4PB++tt8bTM4BBdNEVTQVPgiXbCKTBGQag0hEDKveKzL740S6SkR6U9llXvqyEz8TIXGi +wsA2zbbOD0doZ3d/Ajtu7DU+Il/KZnL1mx26tYmhcI7Q61XVyZ0B8BkW4CrFb0jJSiU2rLJ9tBL2 +x8H/L73mY1DNuLG9OqziXx95JHzmApXyW8Ixl5VDo12LRK3mAQUCFGGPO7IVijzTmJs3pQAILY6f +qr5aCdYN3UEFssfuLJobvBbyaz44q7pB1g9+v/uASnO5cNJOEHHF39mcBcbnLivRjIuTGhI/f8If +40qgHXSdcJhPQ3EYlnBZsQ8M3Ww93dYWjqLv1ZqKb1TcI+Turb/3RPPEMw14kAGUIQ09vEgwobLE +26PdTudYQzCghd+RbaQNS6YO6ybj/qLP0le3G6MvoRtiOA8VKKNld9pJaJlIyS/HNlpRi4lOn0e7 ++8ppJ1BVjvAoCaBXfrSWEP0b1BuD+dCJG5Zq4xEBuFwMu4HhJJF7u8m3aBq5BYTMFnuH9O4VFnFL +GvdjBf+V7gUNtO65V09GjCcz+/OHrs/FYfid5D4+OZ++zZWIL1vponF2cUo2cyMOwSsC8bKZcFGj +4K86tnc19gF/AiQgBqGv5Zn3EF4ZZr0c2QEJZZRzEJAg0c6YYAGK1YC7v7us/696G3/WP10VnEH/ +/0r3tP4Ej5sR83Q4k+5kUlYdJqT0JI7575RUlMwndAXT0I2aDt8IVL9S5385LYBZriyqrJq4CDj1 +HHbr/Rwo0ravrhHIpifi1LRCgDQdG3J66HWzfFFrIGMcSSNeb2dh0DnNe03JBTAnOucDcqTrFMyC +e4TtxiHHltQDiuS3X0bRCWle8HmsFvFCJUpuhM+MsV9SRngI/aMz6f4WHn5Lxtzt3byHU+jXy1DJ +aJ5Q2AoJ3ScAeIfqM9vgBng/RsWVTHkS91pzbUCUigEC6z2UdFP5hX1TFxOATvn1ZwixU9kICjci +oDTguiqKx9DA6u6dvyisU0xZZnSKd9VyJ9nvuM6dduNCY7FSIFNI+EG3r1gnAlPurGMPouzEp+es +WhuivTSXP+kIgRvQUqDMmqRRiB2YAcySfOwcxTLwD9ApD9kwT/qWh2DG2QWGzvNzMs83UlvHLMHF +E7b1loq2HxVA0LT6aljgelzePqkEaS29f7XEgiNtkOhdMAoIoUoPB2zkBz4SYAld4JvR7Gl/vmyw +EZkewO2HxGCc3iHrtPQgj8Z5JrxeY0VtaaW5ZcA3UVOf/CfFlvlH0GxgnLRP4b8bCtZxAmgle+om ++Qnc8ddq8nGWheKz848d+wELufiALkjRhD32mPjpukHAP5RQ8gV7xXvXPATs9dZvw7sQfIJsxPjn +Nh8sP0SZ0clR5xzjdDNYiJ9ji1rl4ZUCqpaZQvqvu+1zO8ENw0eZYwC/gZbi0pEgmw26XwIoJv+9 +mSfft8xSJe+/sDwsfR9JhMaboJa3pAKW7H58cZg1Z9zlYkiw3qTgXcEz2BVnrC+xOy9zl78/iXjt +L+/WGI53U9fPjpVTCNOoaiRYUSfxSIhdtpLSa7wLx0bOEIQEtqmeqVqvelnagjpLW2e2Vdhud/o7 +QOE2LWnhqaNrt+SkC4t+ozqNH77jYwN2zPCc+eP8qxNHVlxOQgf4kO9VeAFOeFKpgigc8WlZNVjy +Trpm4P+2HP7P7Q+8Xp8BR/06+jxLMWxA9NZKbqz7DEj5RrWfKwJZWy9WXSmcw+LQQLeRc0XgcEcn +PKCwmu50ugxRzbiaEbHsJiLzOciCQ58R5KGojqrtg7dJBo9wSBjNNKCwu0CS3VhrGKQdQ8l+ebyX +82c4ag4B3m7iJwx8+zLWzvZIDSEz8LLuaCgo7rSzn2MKV9QvnP7XGbasxUQBe2VGgnCfCkWLMU2g +0jClBKuJX0SIutGg36UFYiNtS+a4t/BRVkJ5+H7YMaaLQunc8xRFGi7WDTXzHx35SnA1kz6Kmd3y +2ldlE5yURF997RNCAL8R9Z00/w26tXKvkRGmOOUkXS+ZjEdgXsNI8GjWt/8zKExZtT1ryA5FLDmW +7qm1b1iDE3b57yHyAXDo6linWgG83P4abDjrHR+JSmjMQ71uYBBkdjR9a+cAfbYA+Hc0bb3MYxcd +VUZZsMI5fGyOhQc+NCUVO15Q/PwJOUQmkg7OYeMQqPrQ2WfyotFuaVXWb+zUkJyONbqxVtAmzWcd +nWT+YL/lQfrPLo6XW1yj3rRNIvdgXPJ2shaWjQEoQs9SX6mNV1lt9sOcdrlL0zs662emRKouV7wP +wCk6Y8BlirOQadECym7KgMOUUxuPseFArGx1DWlV9umwSvbOH2ezC8mzeGm5KqNXn2Vc8Qi89vQr +x/S8VRT3I20fsFShbsE/BU/NT6SYZ7K2piCe85beKQxFqeIgtajlDgLncbC8MjKQ6np1Wjr4ndvs +gdxLOfkxOaDoxH2uQaA8flt2ew+bsiUSlyKRaQ0MsPT3MzmY91lViyVrqnwLNQZLL/RQs2lh0lZQ +2H4tO0mKhq4qjPbUZr1UtmuwgsXTIawAS3tl3GwbpTZoW1QlD404hEPWmsZyc3BX7+XJoNu1YZIi +SgN+OEVkT5oBTxrqly9TOl7bpYXD/oma204jH3yPRtXMgif0MmzhlIAJIG1rMMYXiesc+4p1mm85 +GzCLxEmwjbJh1dtGb/kXBjpfC5MBWMSdYmVmd4GghRgrRHoydvaBC0pzy6CcfXwOCPRLTCB229US +9EinffFTH+Olc56brjVkdOXPmG857He/G/ZOwxRHGZQea9A14Af1tcMGacFi27262hRSH3I8kpUR +7i0NTjW3651vJIqRIbeLtWYkGUXNjmFG3INleHKGjkiHD5sXntT4OSNYnFPCEIZiA/2t6/R5ZIZg +pJEEfebb/10zTtVvrk6UBCePfzm6+wy1sdD9/yL4ZGpRzP6jgtt5hxyYyl+Zp8jGa7FlXD9SFpUO +lx94RPgdJiecJmopgy5tEZW5swB/j3jw0CsMD5ZQTxpxOqpptShjNxSvVgd1ge18QU+C36qYN7ew +2RJu+sQvGFgGM0ProIQt2m3l+SmYj0HNt8fFLG03jBOCQoI8g7fzmIqmSyEvK82in3POGPSexHCZ +SWqcRfyyU7mHLR0vkDTzd9rN9pbN3nVKdPuvq5QJvtvueROJeqvmU9ieOb4/tM1NzJRhMxlotPrq +kGUPrLYtEiU1CqYdB815+OqQP6ELFXjNqpSDmjT2VG22RpBwGoOEz/ybPnp7aF/Q7AeSeV2KiL8H +vCPujoqHQajiMEwjjhpMMOwYWJGgY++A24RP6j3SHG4OwVDzPzocLzmDyomCUna3VOD2DGN4z0zM +jR77D+15pjchloXh8H/1iQYGZ/oRrY7Wz6w6OqAExWWbmV/ub098gnhRJZH7oFNlyVuuekUinfEM +XckvIjBNtJpXE/3t/5cRKe32o9gfX9RxETT36Z7ncYxWJSis1tz6l6TwfLYW+Ua7/S+doxAp6VoO +IW1IGzQ0rnOJnt8hQmNywZp27V8L9g1HnFODybNo4r0R+IL3hLsAGRw9prccSLRTkw1/HWb6yZ+P +SMzY4pO7zGBww0OhP8DR7dtfn3qvqbf7+7LrsdursLcZxfIrOCnygu+gHdedNPQKqNxRt5N6Kyyr +317d2+pOobi9mnOq0qcTR9VhH549tbKBCLNqExcK0wkyJLY+EYyj6i0KpWvpZIYIEQW5g5BfJaB2 +q0v8WwXu0Y8xVfRKCOkSof0fWLKcYHGrzHJJhacodTgI0vkGDj9p7jE6025mxR4eBVxNhSSGUo6K +GKx5CpD0pYGbzYW9X/0ZkhiQT0Z0FVw5+7ngcCkl2rYz4drUs7BHbIQw6QhXPgmh1GCZ/pahCy8G +lGw5MfDEvY2cm19X5d2SACED0kgWaYRTED6h8DVKb47aE66uzK96/Wj1eWLlqYJOZlfgVHCl8SCV +P+YBUe1dxjcxcfACklSd1L/gJBirHCA5/gOi2WOnPeDPxxXP7PD4QIGb9HSI/aTLBREDIs/sdxtj +tNNMKa0TGTwv5e6Ks6cG9DCzp/MOKnRYIQs/Y6r8yufdIcBbaPeULQEN2H40vJ6zR+XZo8TWkNjo +iqpDepFHpc8l/5oD/yEyiLapPW8AuShkwhX2KFW0gF2ZKBolEb8zoN/+IXjxHDKVcIhfDFPFwmdn +Evx+j6kP3Odg00jTRYgxyX1jbMhQnnc6tClij4i6lUAtSJTTytKNfWVaihnf+I4sttmERZSxmKXE +YhdTBQYLE8dDY+Iga+sVy6LSJbP0pCtsusU3yOX3auVOVxufatTENUSKnq+40AAQ1nT9B0BfwJek +siquuyuLnRSIP/bhauin271HXut0KoI1RoNFP5iz3tQqBP+2tLQksmDfBRX7J21MSLV+wv6IEAqa +4IWM1NFvtOUEKNC3ts5abgdcb1ZHTr2Ns/Np+HL8uwO7cH7hXkCeqAKbC96hYEhqRHODyx/8u/ay +upvbOq0aFFJNvkplr+5GEs5Kt5av/At9aoxm2L9jDv4E47YiWEGuV/RzI/vg0QPWJLAsgbO2ATrP +p0osbqoZgqdqjq+ajvtYlZVwpiG4KsPsJdYsdvIUVEDouQbFr7HC59/rxYIAOHdXkAPOfCheCLwY +EhL1hUOTqGojpLw8pRqcUivERy3mawrT30ZkezjSlHgb9cfJtkRHQW81+iw6zSKmHcBk3A99VBAA +H4fwHKX+H97jCnUrriotA726wTP0f3KIwkzhTWPlPev+VFOur8TSteN9R3XIPIFFs2j8b01P02Kz +e7oDNaYhDzXifbngM04rh97JjhZLL5meBnl9EXb9Q8tDb8Nx1oizQiOxinE2edjnZJ6yefWVrk1i +3ORjFW5wgMfHV6xsS8XxwDJJHSC4B6pol5FUQ6gMJeLzPqBkBf+61KtTnykoQJybI/rpf0kUC5sZ +ds3AXBYyufWGFtISvl6DxOyMH9DKD5Dsm+uNiVOVXEnUW2A8DyIEDew3xHhOg1qVEQ2x9jUyw+ug +65uFCMP9GxbwfK4EJE4zsJWVRXKDPFeKbvmwnLzDqZ5UujNokhyxF02TGgGJYxZfoH2APD2pMfeC +euzc3zZZBb81msBW/UrJNpikYc7gP7zwtr71+v9xZtxAeZy2BFESBOuO6msU7cfD2gnXc1Zb+Vfx +WclPBE9avtPzo/1/8jzTFX/2BcNc4NQht3J2LW8N4kWKdu7BcvW1G1uN6iKJxtZ9hJMGUYTv8tR0 +9CFwkovtVF2ElQCdqBStZL6I9hat2hyyyfuzQuCchF4z52HX5JVkkGXwN28t3lNqnAWiem2l6wPp +fjgkncwwY656e2I0yb/B4bpYy/brX7ayYAxD/LV6icEIfvA7OCIMWB7FBaVaaPlutqQVGkOQa2n4 +xID5E1HwOd0cppcflQhmoYVNzWOzAGTI7Siiycx/QlPzvihebnscD1t+LKdo0X3zFVhceKfXrbUf +pNdc/0uhFZvsD9buaH2YOpHbX5sXMUPqto19aTqaF3L+5kglgWhN2cL8sDBbxrFIx51rrL/1qK+u +NlQJJX8FkRlBukWw23PBl1js9Yypm/tiJFiku0sDfCIn3GxuR+Qf1YOXiNFajHzYUEGe0aYtl8DK +a2HklBAhi5x2jWI+4qrIcnA8ckW/T+F/eX8TwNS4rRlZByBu0t3YQfkJ/6TBC+8tbLRJogNPmy4d +6SDF4CHU8bn5R6cqyaQTRUIKFEl3uF43KaFiERU9WaZ5anYKfvvWyGkwpqbubI/B3+BVfn8VyDVP +Ppgywz2Yv++WfsdkkacjSN8p/nrWjzwMCfHYjE7wNS4nX8FM3QO7xDIYAde9dqJFKEAa1cBuzZD0 +vIjkw0QMS/BOJr+TuJx/AFOGmyX0hwlxW7GkhahYgeZjEtinw678NvK3SCarhdCHijepYI+nA4Nh +BPJv05kOECqyxqjihlKMPRsKXTBB12DRaI7owrPWJVivMxk5sKDcAhKfsXTprX8U3V314rRZuWKO +tVDNWD8yZ8+3G3DvgFWffhQ85URGmTyHHOeFEEJMx6cj8uLhmArvpfieGdqpT7YJNqmSCYIkpRYO +HmOzxYo7QtYJnFReFR7oGIJt0V1c4tfsdzc/tvtwNJfh5KIWOiNVInQcRrvbPSenP3CRFp27wDdT +ZLnZMz2vG2xlP+U1nWHHkJOqKBuXyC5zPnr0hCCeCLR0lY1dvGr7KF9XGcgnbqvFmcoz6vAtQ5xg +1VdyMAgWOTjBEG5Va8pfSv2TFaqi57RFCF0PBP430GOKLm6sbkcwtRSmI+f+6Y1+K261KCIMcSHe +SFKIAkZLYX4N1Pvy7AHss2g/Dy4hBdGn8lnLGHM1+5WuxWpiPmf9KxcCXidO3Oq8MPzw14jLE3gV +xBZAJI46X0uW2MisK1EH50omvfjA2cl6jkBnJHaFVJTUqCftoMtHRpm8Y0esi1rCK8+l2RddjfPH +FPqz3tJ9N52XQJnY9Fi+JMquagj8pRCov/pOQ4uMigcDgx4dNHVagJl0JjR0E/o7gbV0b9obcW6m +6i3ufXAUH4FgfBcXCeQmybYs4fyQ9gSnz5AzN3pXxoXXBVmKSmwDV3ec5D18Lrd/KDaMrP0U/Jld +oBPQZ+Zl7ZD+G0MYlzSNohlNnRykxPlSJSK/wCzXriToO9QzExxAC2lQE3mXMt6HwIU/2afJPc64 +C7lGpl3r9CTWnGI8L4KhWHiLAW41n/AkBXAsCpSDB6zM9BvWN1HKUm6xxbMAzIVh8bBnczQIDQAW +p0Bc5s8eX9KZ6eoPvyKZvKy3AcxhHsftol+Vo2VbN9TAu3t2DbW6yNoJJzfqNVt2+nfogE9kBqI7 +hwZKkOdtU8D5eDFSlY+GUDJ0/hkZgt4dj3sbLuWqFaT4vEdQx6PTe7u6wNqJYeVpl0SKRLNUu0Dn +jv2TSNmrUTupGv7WL3dXPE1OlzRMvjhXXESM2d2h6WVhbtgWM8/vZ7vXnACJ3DliVBjlAasuMEB1 +P33Fg1ZlHNwNpogj274zA6Aj+NcFVUxTM+3ffgj2JifmJtcpecCsHCD4/DqqDeqttRgEtbXMS9hB +nhV4Pz3OilKmAXribG68Mtm99C3yC4hhMu5/70OoVfDDOr34eVUD3eMrZlF0r0vuc77oLpSE3Iik +zj0gZ9r7FOMSpk5wr6PSf16mtqzhze4sTv1oHhoPdFQgH+o50qmvE0vqqvN3S2swrzt6H/AFz9C7 +IunX1wz7XaJ84Ep7E1lWWbeAYBrFrZBXtqL0jzNfZnXWVv2JPahLkzVbEdn0cMYA35Gg3248lQQi +Gjn2PCU6d0XERyMZdSwar4uT3ZZTJ0AAIRjNFeKCjgFma67j+vIRfQEgq7KKpHCXYsqPB3SLIdD/ +zRH3OP8j6eHMTBsiosTP2fZvCKC4Ip3VLtNdCdfk+EDRqaUbtxhwVcw9NqhvPsadXEQq8WgTlhfi +VntBOVgB5R/SesKh/1MP52+q8q/L7syw95jZSuXq7Vafv51vjsvHjvqni8RBVb70C1UaUOWq4OM9 +LQ7rd43YpotgEJsM9y9rlyTrXqV90rehr+YjS9laDkcJFaeTpLGLDrCQIFXCNeqGiq48Xf7YqfOA +s2+oiuE6qku+aCbMP9van+I6Z6H9IzRZUwxXvWd606eqVvpkiJy9KgCS8vPbDqTBW+d1moeh6fmd +QuqkwH1krms5Sbdzt68QnrPhFbz2rf9wxRONDfaTZCEnoTcCVJq8sY+36U/MPBvmvhrjTECGYC9x +Hzaq27eobK0M8aXtj3W/ureQYmP5tX162nbGdXtbBORsuErmQwn2kQHCWabbGJPMOuptkH44fQWl +MGfJk/tit4nJIRWi8ia5bZg4OZZ8PnWLprkDnZCZG2QDdCsGw8QQgeI32QjIf0+PlPa/ka+Yzr+L +nF70+2hdmtWsnWXaLorX9gAw1YryRmmFk2Wl+4GVNPET/ORG3ElL9OovDDuaAdkrAjnk6yzoUVUn +78hx5JOT5sqRMsisggY7EYZUn8hOm2a575XD6FbUdWj1kw5doqkRJACyLg8VD0dUXrRHyICAiROZ +QZUbdxB7mjlLVQdVyP7AEzsaSj/yK8UxtlZgq4Eh9dVG2g5ezRnTM2aWmMZjI/DGoAg1mSrn25/K +9qjHO5oJzPrGipyJgz9K2vzSnPly4PeIxCiIhm9jle1Wj546lO7nb9/OYBLXmcRAZhuGLkFXk+nz +p41NL8pAps2K7K+bMRor5I9ZOU9wGy7QEXp3sPXeP5abdEp6v/jpAxhOFKjQCkASyKwTPHRF0L26 +quUkBwZDB/oYNy6qcIoH8q10/14aOa4oDYhV5LKHOxS6wgeZ+AguUq8aJw1o1L0+j3S0Pg/kc9Tx +YvL43RAqL3XoUhv3H3swng0K3451fymRbDmi28Ep1nXHYohiA1cKgDDldkXy+OjHePzsNJezeaZv +kCgsx6me+UBkS6VIpL/bvgmUeG1iZvoXzBmsq6sttpEorHmlc3eUCmIwRhNUm8+GSXm0vOUO3Wk4 +p/0H1TJnluIkNcH9UV93N4jQQaZxtuZGUGZHfAQfdxKpUKQuzL3DJ+zMmRrvWR4IFtspgu9rkQiy +kNODZ7mQSJtKR/JLqNH8rvSDu+yQZA0Sv+pfUtetlY4x4FhP4oilF0Q8Wt06rLtx1Blm2RPq2mKD +s1vnsko5s5H29/dbf8+h9ScD6modKfB3nsmx/TJJBLKYPyET4rf2S6zkmYJKy5w60bTPgpsZIvw1 +e44PUvyAdw7DhH9pEP4E8+x21zHqOYdWz9zL/oSCnRGSsX1DCvNq5pFdREduOikLsoradxYVIKvK +L0pKAACKh/ZKsPGCZR0fLzlr9cT5XQIbgRw5bkqy4splduegep9RvI3exd1v/SsYQjpXjyGkapOH +3DyTCgM0rtS0VCGjLxu8Z3VpaHsmYEMTwsQrL1C/x/VQrSEOizm3PJW5GhV4QGU1ZDOUWu3XDXr5 +5UtVtZYiL8dnPEKmZjFaSevt18/3HgcNPKpCwJk1bOPk7H2aq/cYsee6lXUYmFju2DA+uMRWY+I1 +qJmUZNyGAd+uqrmIc24DFdEqQb9YXAbbXMRTMPtF69nI/plsPHdTjg/dWymQbDQ/jJ6+jvY8i4FV +DdDn9No8qpOCfcAe508OYUyDD6+rNmfjcsEhj4O8VpUJd5EAq19VjMG/25jDtqCpqr+hh2oK+v0p +257UgodC95aq/62DSRMBuuR2uo57UoUNmpP3s0altX6/j/1bzWwLbaoiYg+4BHsTHtF7qx97ei74 +DUJQTfmX9Bi4OPxoESU4Ncg7wVedu8hxkwioOBmqEbL6lNM1UV2RD4zDYQIfFIfXMM91gDEzY9hQ +M3Z8x8OcJDau+LIrAApRHBZ1W7rX8vICqmicOZ+hjXXO5+mdW5bBGJIpwcprkbAAJeXAXKbSgujS +h5ta2m+oZJk2Xi22Mn3J0HJ2oKsWw2dWI2pTMO1sdqKWK6Ev+7J0c7L3UttFTdRv5/WfpwZ9dt2t +1M57wJeQgrytDrvTqkq7ZcLCsOomCcHbbSs+tR1fSkjqKIr/zfGrLdFBoH784GIqr4o8/bBQSQCd +uozHkfuxXceEAw8wCi6xWhIWcO96OGvcpojPqmtxjzvCIw4yVi78D5+YPgo3M0lSiOd2DbrBZEQD +r/wSxXoqmZKyLXcxuOogLDDeaHvsZY/HQ6n4qOP69nAIWAewco1r10AmJtk9SCwAo0crzJN15u5/ +JUajNbYqf6dHGXGsSEh/XAP58EEzzsZuXM0Q2YKB6JDKMs7Ecqdd2LoMAtXk1uXz2Ux+Yb/I9n7H +9Vv5hseG33lvHanFFpfY1oRw2ZQQTIUyHAMq0Wzh2KQrwmrCqL83l1nCWVLL4ChDNpkRwl/Jyhzd +IpFPj7+J4eV0SYEnRucp7dhBPGsB0Yh/+OBjh0fBb3WRsUkIQdxXSDB/6UB8PZMTb8cQTpI6LKI5 +Rv6xRLDXh1B3VXr7cTwSX8PkgBNwC8h5U4C83G+lLiWDMK9VNondkGxzW3a63y3NbU3QfapBY4aB +ak9coodbu93JgPWeEZFt9MY4iiFgzokYkIWOhP5EUxYLey65TIGtRavvklv+pZNBGxyEiGrFGqbR +7Wk4MQDXB+8GWs32y3UJ/Y4/2x+6WLsCt++wm4qm9oPlDGrbdSqZd3Qp8DXP0AtKlfNlqgIA7WFu +dbJ3JHd0MHYhDVlDEbnfTQXqu0LCZrKjRHb/52Y44zDdFW0feFtZHniq4lDILDSl+QcVuYhz9UzK +SedwEBPZjYwBeurTKfe3WVM9rgnDwgLAX6t8S6x1CBeAI46pocfqhYwZyKPYLRCV5y0NTTBeyXX+ +UbOfXTfAi5WeXyv4bW4OdQLpjReaUikG+iKmmrPxaYxFWJm3hKVDBwQ2tmjmvuYw1mQZ297L9JeK +pSEfgYURgO3Q3TT5HyubTYMHXVsDlGsy0WTOU79KPYoYnTepzXt8lyOuBUaDJXuZD6s+M+rzwBof +KhsJ5rF67jFhUcrnwwZsbbPMwMzvOeNB7xz2h/dpYVgbCjOFZvZFUcdxyjcFjSSk4+mDcVqmkGj7 +xx2rWnwEHu+JRTuomxjueF6l7F3XH1LLjz8Tc0iVCrHV+sIqiCcchFIwXRrESfsJRm5QCZiJqLVo +pIprNo07kaiYYxyj/5I4/XY14M1tm9x4rGWt/Y/cZaiAygEM2fsjYB0Za1DVda8RffYIuhmAcZ1t +XIhgzYcyroyhHbMcIZMfnn3+tNp1zehxOZoF5PKfF1tbmFdYWtDzCJSbI1I1zqAiNl6AR6WL0JUW +2Ov/zOfcGMs2vSH7bMQ7fRtlIBh/witvUMLJ0VuBysEIcg3yE15i8/lbY7UqAFNWRWHo6qeU+fyT +d2jfelhdXwyERhig+aFAJbPiy65VHCfYATA3sCxLppmj7JpCrRyRZ7u9TsMfR0v5k1PE9/wtybsi +2MeIi8FqDUjPOU6KuVg0NahksLU49H+HIlQGwuy0T8qmUOor9SAUtDcENR9fDnYdFgrlGhm+aDCJ +lu3EdKI591mgFAGIbFTPny4DNp47ElY+X5Q10bWFnCXG2uQ7VMa41KSOq1kIjSTQpJKGpfn0FX9s +RCSKF8s2+slOUmDJeHLtoNH153R8pFUyO/Zqy9g1D5Fw1ezOOIYM6Bionbc3bIkmUiNVu0cYKecS +VhWwfHZ+VkXtrUVRrEp8zowg0fsHTtuX82O5NsLyC0GxUZ9dK1pBlVZg36rh7RZO2yTqGLRvyKVC +1FWj43H/Dpx/NRvWCBB3xT6J8bJQdZ6hC0KQgsgJfssMM58yBCcvU42sA9yzYtaFeaXPmdE1QV9I +PAq4D2POX4lHj6nVS72xhq7l9kUiR+2fLcnSz4lIeN/FvQBuaFAnReb6QWXGyKdjLo2JqAn5e+I7 +AmyUzkF6JzPgk5Pfg0Ba13sVq5SZMh95VvHM+DS+UdsjRdWlx69tEuuBakjBSsO+cqFyOYdPkkoc +m/JKcb+T2JcR0z0vFLp9AWBjqA5L5BTrLgCI2YjuLKwW4BxezUYSKD7lOgAvAdaXL+K62xCAsRLr +2LqCeQVNH7l+ojQ7rvCU/917z1cOuIxZ8QGZKwRadEcCO1hJFq0S1gCt0Q0fkvSBfHooOXc5e5Yk +67wmMWRuyHFEkx9u22NuaRMKJxllFZKMBcdphJ5GU1x38AVg5QZXi7UQHRWfqYG5V4e8McD5PPNE +LOWU+WJ8tDCt9+23IoPgGsvdAWYOeUgPbnScSPjOSnR6MOWJcZF3FekDK1S+apXez3m2r6iHAao8 +Wz/cXl6cBq9Jf51PN6NCuWofFMzj8//LToxl7vy1+A35ckwGDh4/NZUZ24Z0FIiqit4B+R15GO+K +A4jut01wXe/iC9J3jAZHvUaX7qzTA1sERukUJZvKhmo5u5wZeiieCTwYKQawRdIrKpgX1Unzf7Wj +1VKwUt0+nBr4v+ksSfLRNWD3NxrvWh2fAkv6wQTixsrkLHx3JOJyT/+GeGomn3J2GEdWQ2RN3WAH +N9RTlNxyAzW6lixNynQw4kZfdIkuF5RVh2KRZs7SSDHKHh3Ljc8Wt7MA+nWjYYVf0Iu7O34+n4Xk +ZkqioXrhhTqupzqQGFJ62jrYQiRT8TMw2EstDIZB5mjJvTBhwpLqT5Ux1Cz9kS88i8G49j+XFYPJ +ISPncQoLidMj+hBr8yxp7EmGlj0BiXLM9AmORebOUY4XfTPSwVK9zmP/Iw1xAzLDhJTM56KcXj4L +P1hz1Ogkl2EKd7WEpKcrl6N3kge7JtNVPnKqu2U6UezjyH0u3rYgKPk2/b78dtngWFH6KT4V9dmU +X2buZtvgUFo/c1j1dLsVZXeEaz/zvkBHbUEfnXJ2DP2hiGdFVwGSY3l6h/i9T0UagEXxAfEYXuIK +Op39Tlrc6KfVLDWQjSdm5tW6IQSA2c0nKK0UpK8ID5/CsaeWqjrVktlSqWanZdvowg/3lRa/2XZS +2+o5RtK5onzVlL4JpK5TdaRVK/cW91llz95n1+eY/Q2LMcrW2ZhDn4DOjYt/ju5ZWKpHI4fCm0Xq +Onlh9RviuuVMpkXcWIULGU6oNlY5pFfDxI+vN4uUjg+vpzons+FVQTw+POhv7HVY7QsDFeVoyekr +r1aA2xZa5Onimd0NB306kA97YzuAsUgB6RT4OIkfn4Ve6JSroXbSb3rTdCX4cCyRvIz/t/LWloch +0AFnk0T+olViDhkoohBMd6nb/VWhtkUejhBV/lIgkXqeT1yJUhcp12GlNpU1oMcZh9d++omPMNaV +/p0nSVYroyGzkylrMUjwGlS9S50U1kXrLLM7lWhhZTt/vYwhrGdnIy/9XjaCEPQN6GkxGHhoZ+EF +qZS+6GEKG2Fre1LHeRifSkcQBgiTG53e94cq7eM0EPQQOUwDTUhINk+cXCf32POlJ2BLTSNCHwRR +Xo7fz8h+Vp9Ko5oxXbD3BNrxTyRC+tN9AGR2g7vSDwM3VZdNg29Vh3F9QMfFk652l1sxrzoFT1rt +/Ma9dW+4n8orbkY/r9KhgsWI0m49hC1x3RcsGrKcZAMiD0GFDyNwhDKm8qd1fd1VliB7XWvAg45Z +6Y4Z4D5K/XNVnpDLDhyct5O/7mhu54H1d0VzI8jHokhuoeLFMCDcd/uFPhuwJavNJVjm7O09WuYo +IWJ45A8FzCIZsXLnRfq1tsvXqZdUHhqPyYzUU0V8NeU5iD+7+tOS1giphb0GhqxhOJe41vfY3TEe +jjr+O8a+909KwqUlgeKlQO+MtWk2Kcv1ASupSP/kiIoVl/8vBxRhuhG5J6xyrK9oL1HQ3V0UodFc +UN15bxs7ksOYEUy1amMztK/VLCJWZqh6T57b0GouFPvUIBZBIMf6Uwg77e26uxWATDv4+KiPan3+ +NeRb7d52xldLkFx14CRzi4QYbhLQa78GlicPzxYyk4qrQNRImUcRW5ZORbE2C1P85jNIv1Cyb+Ex +lc+3u+c2SzM8VzULDWzEKgyaqjkbznXzED7ap2zIhLiKLlPP8pTHr9xJNEQzIJASk/8QHr6R5SLM +m9fnohaPYvc2XmZnv6nrlUPp4gEUYBl4ubE34EZFe5TYdbdaVXcgOsOMW2YV5pEPcbxVEEhfhkNA +N645kPEDPWSu5jyoe/HmsxVjUHQnVkwJd2ZqsRk5bFqlk0wZ5kH/rTJ4WAV+Dl8vqb+1hSEM3wTt +MDGGNyb46TGx0rJHwgTciXu8DLBDEsaK59XryCiCwDLoQnnxlfCKX2AMau1cOx7B4i9wjgGJDnyZ +vfAqkWo7I45x/W6mPboxK1M6qV2sEj1NrFvAP3OHh2qjQ0X0+yF7rl9nZ8KfTgX9hoK52rs0RdtP +OVPXHqeX9ljIS+B23jeAYp5K7zerHsXtropbTjApNaTM4sKLVv6ilHKKoO8HKkTzKqVYpKlrvNjS +5UCxYyUVfFIMcEJZ2OIFU9M/zAkl7JK28OVjFNw51I/gtO/rJAVy3g8S3AcqJUX7Cs6+xJF8PRSn +0c2NnFQIIWiAIzs02aTHiiJR3wa5RGG1QcFAn9rCvn5h7wD0gQlXmuIKgQc6eRuU25ojGU9wIkR7 +VtO4km5nftUlGTc7SxPt3RqqkLc0OCUEk3ZTOyTVi15HLjdkx7b/sftZxJI5APYxksdRVFvMFgJT +ulaN4BB5k2WIxJR+SHOI2YHg5kFIveVLY6PsHSZ5lxO3q0mKJ0GAkhF01T1PqqIbCHxLbLnxKhYE +lqPY3hPepkPFLblkWt80fQyxbVorFpbFjm1YJbzUClfrV+lTN0TnqJ8Oi8s8YmXdR2/9gb2NQ/3L +M0hB6oa748lbU6P6nKv+u9wvtZtJ7h43W1zejZqQCm0CaPhABncIF8+DNs5yedCDOl8B7Z1aO+Ve +FWx7FYFi20riHNB+FtNZ1UcGdDkJlWfhHnP4QoGAG3GWm77y0S8Dfku0zTEHSPn8aXWzoZAjkymP +WM9cu+0XeSpYWvFUO4DiF5snxiYQjloX5tR6LqOxx+UzOYoXWnfklo2kO5aUoBlhWNmv1lNl6E2S +h4abBNUcDuhSTN+VxzMEozQJSz1l/pQrAGXxTexkXzenVoJQfq0dawwmP+/LhEFTP2tIkMM/3Pmw +YITyXAN2RbtLt2xafm906/tAnp//cNvpPT4wZJm8fLg0bRjDC6vcOmNw78aNEfLxxjFRuOGp7ytx +XoocC/VJFp1UzdzD9zQiKFuYOmvUMlYUqa7uLcidu3L0TObKSG1E58CgAzUtaxF28SpqVv7Jhe/e +kFfW4BcdsbbKehZvvwKIoIsQuSeGcmoQolpvCjfwbEueSx+hAIyrU7aEcrE7tGLKgvnmqbqM5pth +uigBBnEPlX+O5YlKwGX/4xPyV5UoFZJ1JKKJsCQyJy1yNw17oYK86icWS5EzgQvwvUFKZ1Er0/pN +JG4bf7jPoVq814eLryk4qJoZ9ImfIPsfnTjhctut3neq6oWOxbk2m2EBKUGmls97pfjknj40wP5J +r39mslooONS4q8Y0RX4k2NluvcRRJODbGuwsLHUyjmlohYdsds3QGMiXqT1/WXG6NkQxy7sRgloC +Iv5fX0c/ggyB52dMy3ahrG+8EhQf929ZwPGWQbT7S5wdq8rFCfJbK3JPMX7wcnfRO1SgBZNMUI/M +hhwZAxtePumyRhQvkyOvhsrUGFHDlPjWrrxBv1lWhJpNWF0yzouGCZS9JfDvun1wqXe0yJZVvEoP ++eGx/QjaWy62hxrEB/Z41oBf3X8Xzq4IIO5DTTmKTqkN6sHqUZypVGgaf1hcIA8p6VT1CcOoV4dw +daaQdMeYDFQiQWaO9FsInayZaQJyiiZdcKQ9JmQKREw/Se9lBQIUFfY3nJk0KuyglG4xIT661Hze +NSYXz/eNmv24wy6MFUqLLZHByE8Ahal0nwtZkA2jp1uu5vRvzzbRQSGWk8QdE4FYA6OYkLe4JCXh +3QYIjKIFpSuFjQFInb1+xYokfQ6ajjZQiIp/Ac5QmhUJmHiSVTMc/8FjjlO0Eg7AHZe6Tqs76G0y +SBayirwY9ahnXLpAWu3dpeFQ/2EeYH3XCClCk5Ucbk+lotedNHYBfVq3i4UPqVI1S58oA4xNjxkv +HL5pVKkZcfl8O1l34909BK2fN2rCQQoAodV9T2wpOMtZxULbpxFJMivxSTWooQxjHQwV0JQZzHNT +gJkrz9ceSTRfIU0aqr+ITx4QOIsaw8Rt6BVhz10twYm79Ed3oGDn86oBXbsHkVV9HLuGjbHAm9WY +esTNKVKkzxArCBm2P+mSJ9/KV7AqDWkFd7AomD2+lTOz59ebvcjFDjWyfbPMRnTmMS7/gTVq+4nO +Wopb/3WdysdQDMYrF/DeqXd4SYlG/oEuIeNWjkDC8vuDXuj++ypE0WPCjD7hA2RwFSwB8DYr/6U3 +pMbyC05Z+eOrIR1NAWZU6zlCHEqi4gc2c/MyBK2x7aTfqC3jzvmto9mnjMfEN7vlnujka+p9gZh7 +kvavSeTO7dJ4OxFck1x3jMtKwQT45Sck10RVHfVxS1bXKERov8SGQStQIgOG0XiIKCkT7SXAM4+r +lDuqVEa69b9TcCdY1gifvghOiMFpyKe5SZJdsrFDqVIl1CMM8n2gGSTPlsnaH0FnWiXcEpdEDUz3 +/pAon3CB18qJ+3kgnwfRf9kkafzhVAaaU8odTSmRBgp7yPbLhPiMK6KnFgWttJCNso+GVR92h14s +haSNC79GEt3pUmDW6i0qGbgh02D6czfbAeNqluPxVLfX8c0YLEbD6bwCdgHiXSTEPKj+auLyNhM9 +p00XsypAE1cXCj53AVONN7Fgj0Q2dHTqJIZF2tCiNVLMABWPvzp53i4ifw0w1cIRmJ/7IROlybFt +E7JGMfp+8b0ROwefa8U1H6cRkbx/Db3vFvRjIghmJVeWNrw9MWjE8ZYkyWpWxkOFCoWGZyfeBhJ5 +2V+UljCulvzZVcZHJ4xMY00tJ0UQc+mD1aiNf5gLQBEevKyQPBasdXh97FyayEoDizdjsrfqoopI +R15dYlmiYEL9w8c/LTuUz0N6DVCq3QcFTyZOTNy8nhUpnmtLexU25q7tT3JgcMuHnzcc7+brhjZM +Td3VuEymvsvahmdxzdkFF4LVrC8lQ5H9zhQjTsqKlSvE+kjAhDBBiNdEkZYavigkYXsKzWE02HhW +edGUv7IuLYmHUxI281bheuuhZj3+iX6Ra5o3XvUIAFHS/edY8S87E5jm2eyogOZlQY3FmP8I0nM3 +crgNjeT/qb59tz31/biuCji3/nRlgMgEeaIVd8FpbRpK4i8wrUkAbmvsK3b3UI1CSn/bkuDy+5+S +mKwsfKCb8jOPKxzbEObHqqGWbzEDJLzUc6heUxyGAqITZKXOmK0OMHpPgEUyDqepCjH3IE1HhjCR +XL3Ftoh2RowMaFuhjJiIWi+qQI0flXLsQIYkmHXdrPLjMO35pp8vfJibm2mbdLRhkzM3MDskKySh +rAcIxohtDtYjYYZnWjWSRpgfLJ7rXaM4KOANvEmvNxJ9kli9cqCc4Q7ly9EliUJ1QaWtjo/jcg+W +heCB8OyElqI8SMNGT+3f11q5vf7qe8M4MTSxSz41pVdK0UmIPXAAyqPz+Vv5jLu2LZzrhivlxexT +gji+GPFsn+1FFxqOYd5a2rL/wnn6nWV7jR4M8KPa3J7FX2eHqvRTZ1+SDBBmDrngFvF29Z1iyTJj +Xd7NzyV8UvqGQTPVr6is+SKQIL1urAlm7LKDf3LhhLkZSuI0SVFIdAyrd7fK4CKlb8fEQcLw/mBY +bqq52BAfnAREzda3Ht8P/2xfIWDR9h1RxOcImzkbIe2+dXXw3Lppmqa6SeibrBN+qf/yMO7/xDQU +ivPX/tQP+rXNtm6IcfUsjh5IvjxX5yO37KUy6nRAoWYG+Tkj+Djl9BQ2t37+SKg7cJbxA0qwp5RU +vOKESyXK6iLeXhWGFkBn/i4pm2sioZx99YKuPrg8/UumTYCOwox2qPMGH02TSENuYqnQTmUDtuZU +YZ5oOgxSA9C5qCUdfsK3R1J/kxAcL/DtF2jU0g1wd6R13tUDRlwTD77XwLtkvGsj79EEaIEGf6+P +R9GXd8cVxeftYl6MZbzLkP9F9SWv9Ar2Ybu5MOd0qo1nleVCZDxOu9Hojwsb71kFFEm5IYWJIbFe +hfde79Xn/65Oyse/wAEMMAgmRi8Et9VF6mEU+xIkESSwFlodOhiJbdN5largfqZ20POkpj3tThPL +QA8Foi9N3bXat1MYEx1lK5bSbz32Y9al9eRFnapXjc236iA8CmnVkSh8TP9lYnYoaVCIDM3C14xB +ijZzlpB6hPSBt167nJMFIGseACmULTnv1l952j1g4gkc8oUscrlKm6dassJDPumb8gacJTqFeXfT +ufbdVXR/4rxhUPo4jTtcKBc+LjED7Tsbu3dg/oTgY9RiV3t110RL5N4HKb9J33Wa8rRFn8lVsdmT +Ib+a+vw6jdPT+wtA0Jd3Nt1k6iYulqAK+wnD7jzzZDn/Mnrgo4xTuIs8u8DXWzW4npmK7WpdnyhR +Wo4wCXiuqd2j0TWW2YV12Khaous5bkXHZbD+SAFoo2+gRu9Us0subg/leKt02SXkrfyX8kPFuPyG +CEcupd+o6NZEMEG+y3j86lnhEB9XzHvuLYbFqUT+VvPfCPvsSbu8Giren29R3i33VDJKt7wnEJyu +mwvDTcbISKX5AKdxf4JVehGMkEQubVM9zlRSRCG8nJseRc8xvm92vb4FT7RvzNahDrCQgg9JE3en +BwbUR8hoTQtKOqewSOA7re0SNW9lQJ2QzFfsfWrO1yO5e2NTRfdDEc/ZPyn8wXv0EtTIG+/wQNgZ +SFxYGSPpt2D6wTIMPyHhMYbAfI7dVq5tIVEpuWq6+/+KGY6kKHG/rb3Mtjow3QqPXcV4p9/5U5bE +jBk4HFNRcyteI/aovPghqTWuVcL72/lWWcMfH9L3ngTGRPHx0vvtRB/beHS+DNSJJx9/8EVb1She +YqEnsT/qqooVXlPwcixEcG/Yfw+lFQtxdJuSDyfCnDbqQA/7KJx7viHcDUVuBYqL2NMJXT+GLezo +vilPFn3/YQ1WvKBTlQitipi5u0jX6A5Gh6kuss3f0j/lllIRJYyds3kJ/6SIlUGgOm3xVMfvLe9S +gbl647JdrAQvrPMC0zOXXM0jbYJZFZ4zWLA5ZAQbljDTTuRdQUS65kXDUzCi7VXMUDHMF4OIo9hT +4fwgrDZCLMWpT0+YPHPl0ctkzubMl/sH8seakLUJ+qCZw0JkxBqNPcJhxSETDBfcX4YJZTRkK5OK +mqsx5H01R567QxplYgJsbJf25psT+r3Sloafk/0AQKjju7flDvZKOvt4vCwz+7w88CMxXUsBdS/Q +PbK46uhjmlFFSP+RPi7FVR7Q51uunPQJGai/C9TE1wSZphctSUFj1JRZUCtTRq4EZdl7bzkMDxED +MGB9CpUKHEXeXKxBBaYG2K8qtUAFUVnyqZSks8zGWkuUHx1+tJfbIJ1AViO88Ao0fukDpyEyVSuF +HdwPQQig9QQmIr5+WnB3Nc/RD98KD5PO217tlSCP0kFf5u6/BJ+6uJBJqdgAwIqyrYTMsHGpf7pe +lMG+OdtYtMBGZNX3OpiclkijHKFAfBdu1GLWh2eyUGaMEvvU3DCYFoQbwydJ4DMkXsr/guzZ4SJE +SiYnhHhO+fLKUn9GagglCXTFh+B8vP8DFZjGa1bevcUncYBMw32uLu2RoZhLAxOPMS1LYr/tMNyA +qAliltTZumL/RveLRL57IpzJ+4TEXagshd9hCisQ4YBVLbfZ2q5tl0um0CPSKw5h0SX4TZFWCWiW +ZP7xFOu1E4ax8XIzWOZR/SjEnuuCQCzGgBobBvBBSBUJOKu+jhOzvcmpeyoh5VO2NWWxQ2gQtMjR +W5kZxOvCXTxdEP/+kRKNBCZC26i4S82q4sE98kHfh2gUeu5hLY1EHnmBZQQqftkQLtaVFBYgUkzL +i+Am7lLuxXWV399mPniBCJ4eSeTlfYBBRX7PveoQLRWjBGh7iZ1qkdeFJa9e/esRKWWLIvB4MfW4 +IztqPvSO45q1r9XriPKQehOWgy8OKgHxEyatYqQFOH+i8CNKGcvSIx3JWabkSjxnjjJLWLwEH+hH +IIcR8++op///p1GE4vGbBViT8GV/yzFNyA+qLm+qmklpbrLdrv+zD1dTfRwdlyBt1wDPeA8iVAod +Knx9L5s/0H84PvTUi8349ugkH/XS4L8zLO5eSqHrXAO60QkYjdoIAkTUPH727UDuDAD/czdJXApi +avnDPZuFsQLkY1CfD2JiKpuNvh053PBLp38qRUx5c4ziQoYDTA2EoKmZVoaiVVAuiCYtLjLe4Vd2 +oTfLQGBT317SscudbUciTXm/5R8wlT56nTuk+lC858DQWivFKTGYbRU+vChEzH/Mb+wo3gqKzjWR +zLTuT7mHiF1HDi3/v2RY2Tee33U9V04YhMQtKPgyYCs0sdPchmkx9fI9yu+hPQ1YE1ilZreaVAiL +w0aWOt/IsA7JxwPtkPNZq3F4+rrs+M48gDYG35Z+7YH11dzbqv1awn52HW2UgQa64SHBzP+V5Uha +2lDa4Yw3zus13pfnorTLFEf8sl7WJNZZ+OMBKnkrEs/BxlME3VC9zHptV0bVFdGNvyAn9NTbf9Qd +11+49B+1Tttm5pITE8Fx3TvRODc7mXP7kr9H+319yVAPY5X4RFa45M8Seg9pQSE9r7nCv84z6Jov +FWuu7t53k8U8LIrAg+mf22Y6GSBXFAYsVi8mP8fP0kOR+wY3nQ/T9hGp+88NDzVN1k+fRMuSD5VD +1eTDXUQsGREfymvaZyGWUILIpDgSGWVg5mqYgtbb8l/3qfbjivqqdL03xGcwbK+rzZDzR2XWeXxq +Cn9nbHfkJIMszhFrQchzpoktcK5649hULWrciwJeFut7VwgXs5CqhZGmG5H8LZQhfBZkOsVR/rC8 +EW0i0iVqnxY0P3VPyLX1lHTpuJa2kCSSyjISug03p7R0OpG6N2caC4IqzzjzeUGwvXIMH9Lqjvnl +ELGsp2vXyiUFO0pHjF7X+Kl6F7/D6hVJDf4KX99re7GChIR/VArvvR74JM1WPUS0urWSuKdO9jq/ +yOyNGibV0B09fmDQ/APY+Ky9bV+63yY/FX6GiXc3nVJu7RcGPjG16vpBhzgXHmhAVXtgF7amR8Fc +z98hCzMz4+AOmYRhIUbyI+tVBtpgaoqBNgsgEwMa5rrZeE/9k9MsjU2EBt7RLH0xxn+xZYkcYmiQ +4g3AmD5lyYR+HNYtKdK156AlSxCCiQlqK6oOha3mhIpqVFBW2yDXty9dQracBzC3RpeUrU5wEQgw +b3FZV7KjJf3bPV5igEv9cs9dn0qYvlSn+0Ugj4QqpELtB0g46lbRyYR685mn3hQDa1Cpr5ZM4IgX +/rpVC7haTpBEg32HikAGwsoHf11JQWghHT2cvfsbtqoI8lg4+LlYsLBMwa8dRphrWxfpfh9/kUfg +AYU78ZKkOgDTU41EzH//xeHk0rbugeSZzVVf/+UNwoXVNyzddtY0/88som8OtHbIgtHlEzJD/lGP +ToaxvtXQT8ctVKvHebgvJHYqh+Lhf22OSfwYLtaIXT9jWML+mzPQ4YMQMrJHw30QtoIxioGPj4Sn +morNFy7KzWbLaIUyG1d1FyJ2jiyvG1RT+47jOcIhK4qwvEbjxF6X62D9Je67dveOx2dkLUBlSPG0 +xBM4xFk3dwJXg1gt3PekKtdWRoh5+B8cfOF2e5Yyxw/ZV04jIed5tsbAvdBcYz5hnYwMzTzkI6Y9 +B7Rk9qhJcVtTGs+NVj7yIczYh9vsNTOW4LYCCpSKo5PgjhDrv1x/VAq494k8AfxM1MKdS34/7XTb +bYWy5sOClZ/1mglSqnElfU7qZ2+kP0gH88ejC1DlDJLWryE134LrzR5dW4GHmR1qcDd7EBcJZYyA +Kigrn/DVzCYhspx/erhfKDxP7mT0GxX4ib5hSCzL0bYKhd7gBO2yKT6N/IpaByBfMZYQaPJxO3Fq +/6kjsjAMkKsI2vfBwMk1vAdVNo/aVJySI7ji76Cf44CFUqsBwQRbSzeB+zVBC2/rT6iCWkm4Pnsr +lI5O1ognlA1j0AlTct4tAqEy8ff78CE+gMT7McASbKpQy0OmvCIv3ysMdHLKcmeHQ+9i1LEOwVqC +1a+zukb3E3M6dxfLGLJAVYVvWNiSo4MmU3mxWgYnepTEt9UWf/QP+gSDw0Svx6o+s9Yvm132EMVi +e7OSrSrZzAuJaHAbBcKqVIjijjnWAvHJuqLGxoeNnYfBUgHwEDvRcCWYy7SWloM9zm0uFtwrhwjl +I9y5T7Q70WFNwTHDXBRxkROpsiehHjgqKJNg7wP39OCnawgQHWuj3DqZwq4J3lOgVoizNkhlw4aK +uRkhho2QSZ2mCh9kPHNEPDbVmALYN1hCWezgOQr3dofsRDI/DX9vAFS3wyDN/02N9Y5Iero5cwko +iKCVqlPT1VNFrOyUy8Y/PmRBIiFnIEDNrvhzYaXZ+IJ4Zb1Fg6vNFO6BaXmanDkYZ8fKnyUano51 +BdTD2cj9W3yb9Y3LNnxEXvmJy85GKrHIqACij8g3UcxaUdHEnQK2wO7xvhvTO+rRK5dECvBKEnKx +J8tq4EnscvNF2QheWwnW3Qi5gToIUoiyacum8dicuybNr4rQkBAwi+jNH4t/iNM/Tl6Ia7QMRI8x +cAQ89EJYfsWaTkkryoqmvxYBgQLrzOR43foZ4EDUMgXfsde8IfzQJ2TMPCdC+fowSFAKCNd/rjpF +/LYvEM5emQE2Cw+UKvmOKZRuYrkpFJCoYXbWhtxn9TKeWxgy6F//wMZpGoUrs66fzkngUCug8MkT +brvzNrUxiPrY0fUgmpvZCP/xT3FAVNEyBgwozHbK01lXXJVtsvQaKnCTAJegE0xqPzzAQ11yyNW9 +kZbhKHb1UczA2eg5qUZKPqIZ1dNT6f5FOoUT6LU3SjnJS27/DgYyzbns/Ndj+zyiLpzu+O0+f+d1 +PmoWJYdM6uoCms6ToUkGgoLQCYKfPwW0reibG7ZhD3Ny/5wiEj7Sae66yFZ6W6pjmWYM64qwD5Mw +gpzvl31ky/pPkAouvUrCVmVM/zwTH7e1GV1YbcjrmKijojG1Q2Kjabtc6TOeUBOeGPulYobQJ+91 +H809kCNhiVFEl4aYNGDdwpBfeCu5pY46wTw4GYUt548FDR06vjyUrD8h2Bncs9K+24/aMXOlgCfU +xojAd1ZPI6oa1O7fKrNPgqtPw0j3cNc64blrrlOUTlJKl/mGLb40fuR3YwNmF1B1QaQR5J4KbqXu +RLkA+gVoy0S7mROXPXeyGPIa+PWX6F/bvJaymBJoU2nbXGIX9FGL0p6/hFF83Z+R+d7C5N6bW7I5 +BRWaxrRSIaUFOtBILAAoZD2+zsKTPGATlYCF67wK7Fsvi9I3QLzuIjvcJJgXM24JfxWk7T2nuBsO +CHnmO5z3McuOmb1RQkm0irM+7AC8neL86xjiqN3CglA3TdawrkOVhXje1fv3jxuFqV3Lcc+vci1q +qj8s72SN1zxzoDilY+CWEBx9KeYz9tqdgry3j2bOIVz6SDMK+Yh1V917Jsmn94os5VAVDlg2/3GG +8cbRKf3ZAWlGP6bL3XT72kbjhOm3tutQJ+yd5mp9LB37so1lyZIllVx24wKB7EJeXEUezuT2iVL0 +gARehkFy2GCaP9hfgbsBUBP8ZYizamRgwrXLHD7fFmbsok+ggaMEcZZqPw6zRJdI0w+pnfwB0EFT +VLxNTElX20vW0ErdT3/pO5dHZbhb8tryXStknU/HvzzfYuk5rfuYUkjkIAK/hP1hCLZIqhVJ+2to +YWxhqQJsr+kzdO+E03QfWI33dn1dtubuVZF8sf0LEbSK0TvnTvbsIXfwqOZtnluqQpUAleiJtdn5 +15LwsWjb16BG3u/wuN8cx4P0YqBkFINfPO5Fvb6yJ4mRpy0YnAr3w0E22w0Rlxc4kpPRf0rrD7K4 +C9YG+YqaEOOjbMkm4p5Spz99EObgWApfBMYvH5K8z/VFLML3j0qxjDw9LN6n7DonTTX3FTWNv/A/ +OqE0o0kW4kVP6pBRKJBMCa1X/KcQ2Zzd+PKEN4Nj53E8uQ0wNJLsA4FjyxTALKqWA9zrwHX+CLQU +zCjVZMxl5nGHmwm1PvMpEykvMOJbxbPk2E7rXR+GygBy7EqmoWkH+T9asytTN9OjpQOk4wWFZkSd +3QLCuVhDokMqbJ/9znCmQSXjLrGZo+/aMsDSDDNUtXDhvv8mIUQn+p/qGJ+ch9wg0Fj1xKzKhK5j +8GjVH6ze9eKUlU+YYpzyQg3oy4ncn2rxSlvUFBx2oZc52eI2xq6+oSuyCWnYk798IGWLJnokJyms +p/MDW9Ntrn7u7KwKhJrf0yI7DC+dqLZRsxC1PZMcZ3fW1uWnzcAZ18MCJJbqwsojtj6MzsEnm0qW +IQFXOqJaf3pmvqryvijbGZ2r4mRZaTjy3U7drtiW709cwQ16tjNyDeu5DQwZ2maYElSOD0ecYFG3 +uHIzVe84pYz4Ew/Fz/HpokLxg8isF05krls8Z+YQ/tk5s9uWETYcU+yt+BoITj10k9UoAlEBc/qz +ymqdk3UrjznGn36hQUEPuN3t5R5xUiUkHArJOhWqmCR7ZwcH4S9ckL8iP4m48HQC1LvMVcPgtAVb +aVqFykW1R6qr88wFA1OmKW1+CRsZHWbAKKHpJ1Y42SM8ejZIUtOhYvo/k7wg59lEeXVfo1EZA2/2 +JbSdyaPvvwDpjbu/mMLEyE+VXJ8S0/O3mh38FG137qeSgQfq8VrvGZ8lKfrG1sf7alNIvi3l7XGW +ptoTTqSDoj0XrqVv2G+y6cs3qI+bkZcicxAirLDPk+yZynBgMXGD7Krn/8LClqq8hnAfRXk7tzxu +ClbXA8jzRkMEOp+rIUi4ZoAp8l88kwMG6HoMTZSR0TF+lQqnVlSNNFthe5PtR5Z53lrxwRSZJ0pF +pP7ttDpEGNEOHO5CQ0af+P68hOqdQN2Qy4QtHtOiwWQoK4rMPxJK93g3V0no3uGa7bJoy/LXjeEn +eWnBIXTMG+5dj8jm2AsdSLWB8GxvAUMH9gav7hVPu46FKTULmRp51hh/T2MURMx5xCFr/OwWpFyO +hOzu6zCLzHovJHGn6scF80eFXNRurdbTHjR3CF7U6ey4dCcSSgFy4PwJxd2RAAfZ96jaEcXdAaev +qLHfPSc1tYcf4BFw1YziF5LFp8djGTz8mAZ6N/qC7A2UNGULfmicEkLOXiqA8WdmsynXtbEawh/m +ycc/0R8Qyv4t3BF88CTS9p5kKomEm3xEVb2ny79uAhI53o9HwMVGh+f9VGeA7ZaMdCqrtupEG/w5 +7EUB5/kN1iuAdazGOdlLhwzYQdP/8mgpPz4EooFcxL6+5mYzD4jhwLYbvmE98d0SAoXgFelXLjXY +gsb6ssSFBm/ltLJnwI3Nq5h0FtIqXkKhKLPEyGxOYENkRvMRwWw8Wtn6tlTKtMH3C/XMn0DmvUa6 +BxsJ3KxQgubUnHFrHl9X4FKB/dug5ZUSpVnqhej27HrzNztN/pfOSaUqiisy74BYy9KzUGvRBweD +ChwMNPHOlrXfv/y2N2SMZxwY0rv7mxOIpHNUFaKp6qpedIMixrusxHYWCk5+yHle+tIXlpzD6Ddc +/+nUfTArGPFEPDwzrPx02/7Z4Lfcn3Yyauont0QX3bQGdkS0FBQql/AKo5l1FNKauTgOqcBCugox +OoxYcxnS5fitptk+DjD68Jl5diKXnvCkFrEEcawpU4EL6ZX3k0kqgfXYDiXqtb7ee21xRJ7a3zES +mGijVTWhwsFzB1Wz1mGOHx8TXssFkqd2e4xCiHza4OCsKddSJNEL+ktnP18z+L/pzOguIGOWp2tj +ryJP5OY/MuVHMEcxeJz6/ReI/CRhnZXLEZNrdhZCezzFLghWL6dljWuzVhxi2TK3pi7IAclqT3QX +AxxqHntKs3nxBIXYKDVrFqtaFN8MK9qzUVNpFHqBeQ5hQHVpw+Q9GXf8MSJ+AH4rLLoAmJxlT8wh +z90sYmHRD2FlNqNalg6lqtEDAX2bDV3djRXYr1oau1KUt1dQWZR85qZpSqjQCIEAQ7mcmN9IjyUM +kHBe7m+EcYDJlSfp6/Nm/zT5dJhqvSxoxbEX4kEDzEIuk0wXeMi4eL4gr4c2orYiPftaggJuCdW3 +9zrqlv892Bcw7MKj0RyzZRmaisEc54oM9PW4glqNnVADQyAu71TdjRL+gGYFja/W5eIpEbl0t4LM +b71AbzWcAbcmLSUUsXq9zJC0RNcBkDtK/5eMKIW5MDwspYWFEojre24JASCY9+zCEPXHrvfuXNWU +87dULRcrPjoUKmxz5zteXNtPTAuIyiAMpkPkY70zhMBm2/hJy8wt+xab/WZ6uC1nwgwvjpnO4GzV +xvZtpiWz6lSJLBZacS4j5W/uQPDFNX0sbT3aivdnijtoc/lGDnexrgkDRnD6omSVPm5+PnSOICV6 +1ePsFJc1amQGNrrDzUVk0HK/qd/tTNSI73JFpw9bHY6PmHbpKTn/NYYrPeNGUZ1lhTQgXhzJLXWO +sFkZFcoMe+5MyrPXwTnSGgUJMUryX0R7TpHe2nVmIyUsVSaXhGeCMKJDQalYj6WJ+zD/eIMDUkNW +ymVfriLjiNP4N0RVLuiLRtEMKRnquJUvi8rDTzAClxNKDRdV/9qRkuXIZ5VrbVL9McTCrPw+TyTY +cUfbkxFVkjxgbJgB0abpqA30VrNxiH0MPmyYVXnIuWVKBFnl5jO9XQq3EZoy8O85ihdgcHWEB2yG +2rNJl6d1FSUX6DIyYUvom4VM7jaYgw6XWL3zXIr7BZHN5igp7BKwCISm/5CuhHTC1YbrAKlkmrVG +uOferJTCnHA+uv25YPyMgt9Mq3edsHaj/BXFjJfJ9nGrWE7G6bnWEiOB1dWSk/EPERcd2L8/nOod +qVWokmjWtKb0qtabPHx6V5rPkyXH8iDvls11zbJOGUysz86M+we7Iw7J5Iesem8FokpHonV49/a0 +wx/YCeRtW/GuKaZVxIgWdYSHl5Zu0T0fMFGh+Al0I4ycazEPPMLEZScaaTRkKEkMbO24vY8J7lVm +djPtQZ02U1+S5iq2XWK1/Bp1okjhI2013DTPSaC++jkLiqfXyvni3vIZugKOjI09PpSFEhY5xgfT +C58AfHv287EqeIIxdnkUbRu/ESGhxpvgoy+mf/XJPTTCHXFF34OHNRK+IK5BDRXDe+H1e8JHdPd6 +2xosGxVzFeodYjWhCQ1IQoWiuyyKtEdwCEK0MPl5t51LHt+zG2L9mmLMS035WVyfMjEXa6xINln1 +O3NOQOmKxwDOb2KrMCSksjLG6unghC1F6D/i063u/P6cd6b4xbXWceZ+43pfBpXfAuRF0HMVyL0h +1K9dfxDeZq7CO/C59ezw6Y1tn8jOZBkwshWtrbwlkNepW+GP2j7k2Z2hofF0z4XA+bolXlrdRePL +Qqs1uh/3uThIrhy4Ux8GqN8+QVSH4MFO981VPqmibjm+2rY4rTrRdzioPY5dw1KJBqzQC1GfnQs4 +XxPLi7tjmqhBKRPyJsK8XLZ8jbErdlmeB7UyoSpB+TGa0NQmlKFbNIiceYDgu5wcjYDLVS3utuQn +iWsf/9513DLW+CkIQQxOqv9+Vi+uhXS/PBHrEpJ1iMOR57XSL1X6fiwzvkDK+56hQYFmQ5btGeA1 +w75YGkbO7bGBI6opHKRPNAL+fE2wx5eRDscD4S2WFvGBEe/lj0ThG1KbU39QEnAEol9px6OJmeTY +GFVNL77lLJA4W+XT2kdK22jjb0OiHoO0h1PMUoVnXlvQ4wm2w2wZ+HM40XOGe45btIS8hP8TCvHS +sLNDq+/TU9MhJ15cYcsyF9NclS41lxPdU3I6XQmkphRUhlpUSbwsARJ5m02deEWIMZLn30SILaCv +/s69ALkZTEaL+3jR9zxszwgnGPQXK20Czn5CkZFO7MSknKhp3Cj3SGaA3VubbXnD1RgRyD4FcEuu +a0jYapSN/PyDw271de0Vj8YjTF1OpOhEbMXeXSi0eZt007d9Zz0U9XPKqzeGVD4WHUnMfVptS1hk +Bsn8HNRqCJacpA0Co/maBlCOfKqvPKHRWL+fgt0HwxQ0mdADC+o/yFrGwfvMsPZcfgsmPyfyGRbh +l/EihP5ttRDKg/pMuxlPN8scG6IDIRx7HKvsRgVaGvKIAFXRvRPeKUxY3Wu462q2hyRUJgEvcTOG +N1EubVbr3Bst2YfUnEZ2BcFIWd8qZqvBDw0CsG3oVXwqpDBWX9npR6Mdw67JWogRUmQDXmI87q/p +sIYKbTHTAHtc1Zd0LR6DsaAIXLsfU8Qs/CKg1j+tMAF1MyPJmNArvccUE2uAvUinBa6+4vQJ0F2T +s3mGthP8gCE+ajE+IZnqpUZDMWVy9t4FJnmhYzPRYlW7ZZHTINkfSelZhdCcwvGvj6MnPdcDyg/m +faDGu1mx4ddzB5KWUKbE+NWD2+CM+iOuBbuzPATQD5RF0hLvZfdLU+pVwjZWS+S09rKcyrNKLcie +/2HOIcS1J+tyV4hXcjcAIeaETgOy8L/SG4tnpZMla/IUy6X6G8LqgwPL4wi+YczQgYCJ3F4AuOC/ +DcLp/I4A7uJkwRQWaXwCnyUUZCLuKmIBRuejEPHzg+xUxesfSRYNzZYsUPEkjQoFTAXcfwBlSWns +NRBH6hMnOcpI5GgMCd1GqEESqZ7hLRq6QzZ2nbjJunKJfUjkoim36z7Q8EoEvepwq6zATapCMPCb +i2lSHkHUdXmGd7R/I1HCJTJFjS3l7sF2LEauDPC+ReFivFpGIoxEbgmac8GSw3R4sWXDIGpYEQDk +QDXHPaGM9gNQtkv+GVVE7L0J57Y7+YNsZCAODMOzU7hlD7RS9cFdbjzRLQHs3PNlUTyqczWUxXBz +Mgof1M80L/xQz96EV6TUK/3qi7yZwfjtaVn2S3wc6zfmQTJjBP5OTifCicLlGYBY/inS5ukZN6Hk +thu9M8IskoMLw8sg8Q6aGsF3/UC2Aot/e14OY7gcOigFp1JHe6rstAA4BRxBCxe/qeJXyzpIBr/Q +4zSa47l0A1ZWeJjGQobEfkGy8xnpwsouA0Cnb6pzV9xWbkz7pWWq77Zs/UCMZBYdKPH0NfDgzv0D +SjW/RKzjkUhVL+/rQppK0FEukEZiSMaxJqfXqoeyc7avlaXDGYiJzvW9oXX5RLHD6qqKNyMsF1ET +2wvkxCa66J2mXYfYqo6dfutzxCFVt51/2bzCua0v9SCVoop2VRWQX5+TRZtY+9uyV+NFnMJ61agz +H7RzLKzYVj1SdAVUuRWqbPkZgj241eaN1e3sO1zXNLBD3Lp1NFXA7CA0dX/grVQ6kTgNotDR54ou +Mas0ZNJEllWwVhHxnHdc/kqj0TXnSm7PoL3Yzbathz+XGmwp8k2gRtOtus/pEsDnkoLq9FnWeHWP +TmUJDP2OiI1xsW80Ph7iHAJhHi1ISeOUWU9GBhzC48RdmEhys81rg+dIMOPkOU/2nwmW02DgXQzx +3eArICj1TUHEbhjHqvdIxk+4CgEFS9yj8XGxBfdQXgpM0kSiFxvHMRW7lqUGkH7xdWCu24fSCgHT +bGKKMueXOBPDtHB2nfDEYs7CQqMqkgtEHc4j0or8vAYmG4G8nHJM0arLdB9fO16vzfNh9tDQNgqQ +jmnzsvhzQkL56tySi4kNmdsvSHpJq3zvDfLvu8c85VrMcWuSvYxPRqDFxBzBcYiYqrKHr49qSGbL +/bt5uMJFtT2oGxcfApRXisd3iOeIbuCvKgGupmvGL4J1SaLnNXZsUnY0mCeSFchGCArU4rzj10N+ +5JsqaeEyINf4xnia37GtA1jHSlgmd9ePT+Jd/12jxWh+T7Y6L890IzwsM9/1Mz/Xcg6Zwr76Ux7u +EB02XzFwJqJSzhTd7JSxe9LSndyVnCfMFkgYyumPScHfIcYrKNW3vGzaDaOCCiKswhG/THdFQXlg +Qxh2M3KVRHdRE/H7JgpUYduk0yXcwCfDDuPaY83OsF/ZgApVaS4+SQS4ChtTpasEImG/dEhw7Cid +7L1HLvoR5NR99T9e+29JkGZ8br45WCqGyrKigu9W7qpN/f67VuqUqdvVie5EvA4LdcVlFpgkIJtw +YflZ4oE3owp22qGlFKztHBiCTujGneLQZBtqWhjBw5hYk7m9tUlRicDZsDnMS+SsjuW/AlyYQ0Xk +UxXLbu2zYiInhwAg3uEGxjyKeXPh681Oe42ziZUrP/+szdEzjRjGSrwX6qqPyPBYqU9+gb5iOVkQ +jxtdui1h9D8d2UixKIJGg431IT0EJdcOxPhPuqdgekUyrasXGAyPn7QIoHMgYLYYqg8ygYZrWjjq +Yt/uHgoiBQWsVMbI/hiQatgSaOPRZ6aXOjvQdPgIsPs9oQOBmpCeaRhNjlkpR1WX8BEcwuDRMRsO +Hf9AyE4mSwGEL2JEsI7jmccYe5TL1ftJjyYlkP0Wuct4pyT8HspnhefckT4t/e5ik/qpTej0r6uV +biCuTxL0UTTaUlUz62e7H2HCJBWpXTVMPr8K89fDl5ganxjYcOpwSxTI/flh4xpFc+bSdL/5unLC +bXiqBz7s76aC4juUTu+zOBs7+W+Rs2FdSyHzAUn49iwyP+GWWPqpa8W8tzWP8ajj9DXZvZtoB4zk +0ZEtcTA1Le1fP+bSwU8S77fXMHA8jeNhNNvbjWXHY+vpFgdF/8GSr7RIIdo56q0nhB3ZisJMSobo +1ns1/xv39geq+aD7EOGABrh75CiXUMe4jubIxC8xAo4UKdcFqMDJZjBKb7NFwwX4rwFSWK+wxKqP +3It34U3dwTxr4yEWk7nHjPpCsBi9TxAKXetiXUASjzci6+Y0n6ZZoEYVq+XFqTaSfQKwqbqXpnkM +LepMdSZ6QhQrliTxmareqsSTWYs04dcft0LgEsmb8+yeTNMva4bJUDVkYVLQlEUWtqONKtca7J+1 +4Ww/IdmHlXFJ5QNF6Y5TcFYoAMcI+oSEXW8Je3HJMN9/L/9ObQxX34eyAMUmZCE45fZfwcvh0w7y +OUG3jSWP196vbXOBS6YIUCH2JQMS0CnoD4/cM23HSeq8983sgH1ihyceolETSWIxeqmcOFRUU7Ag +6KVeXDJEcEMg7uq0EKHh2oqdRJSPLnQVsptbttvarUok/CEGl1FbbJE3LMxFxfLeabMTkEj2RHSE +WPUsqOZtbQr7iVaLEowixtuFagk1wpzj+dPIl1Qz9QHzbuZz0xwPFWroxUBBVbEh1nEymwNBKeju +hLrIoyBLMUmXXXJLoRmZwW9yYjSiyCDwipeWMgHoHglCXdAK+aa1EuntzIMsZvBz7u4DfhZgorlv +FjZH/759Cph0MWMMEK0OPlNY7plF2WfmOdpU2WBAnn/9vrqyApPWFgeVsFNUuUUB0oIQoM/rW8Gl +FiOyl8Swv6y9J5Hlt3e22ey1OOoQqD+40J+VzhTgz58zMLbcvS2TEikOqh2/d/GP7pcpW9hzPTM0 +8XIu5N9bkORTJGCVtrMEnSfuCOHvdrSrZ6nRg1Eqnonstj1GP+vmn6aGcG7IuSMPJ00o91niWB4j +DYD+HnW+jNQFkY6iEaJIWxIZr/F0K1BtXFXyaWEKlbpVFnmnZzkidN8kKgLFVgZnWdjwM58KZTsI +vLwHg+SDf8MSwyOxrfevZZgRyGYJj3yN6W81N4Y/PMMkSIZemSGfLmnB+KX+iD4E4JqQA4h5Crj7 +ebCBgSkPzGs/fl1Hz0y2ihtKmFfd41mCZElpscK2Sa6mcC9ERG8NvE5J1b9+h1uxEpVScXST1JmZ +VbUJZCfV8m6VV0JeevMefhPSrG2PodpBquWQ8MqVLzUHPckqurOyyUeinGPyxxClSOYVqzGaeu2/ +kLXWbwEHE1dx9e1Qk/R6W2f09IHgyf3VPgM2S4r7V0hMZU+bpfPdJZAdkVQ0ulb21wJnsU2173pu +Xjil+yP2sg+WoUzFQ0ccpl3lS1vl6Ttraux+T92xEtyP7MlFjl/hkyObvzlFAfcOgrQqx4rvOgc6 +J0SwiQR46tCrkg/EtBkxwlseN2OLW5R9WF8xGNjnIc5IMLlKW3T0cioYD1PdwU7KJJ4On/H3rFOW +UilvsWPnGlG4EWWWcCBHBo4plK2PNuyDAziSZEB85OqJ5GunW+2eTkD7yROg141Fgw6JKU7e/wrT +517KUgyYntgzqNFV3+cljYNSSzYs0Nrn5efEfyO5mjnU0lgR5MdqtBXIgLwB9JbEdoX8lNdk+Rka +xIGKXrrMt3qgOuIWrqvvUKW8Zn8hf4xzscVyWs7paerbJ/UYlOmlNjGpfmauPnDeumPEtfHrLVWB +n26FDp94UxDQdc6LjuPIXA8v9bPaJmTqQYVLkCpzfpN6/RahKaQ1bL0arr2XtRlA4JARh/BnimI3 +JXaQIEnrPoBDiDewD3Fsye4BlY6pb03jhB9qRYNcZY3//TWKN/RRn/rUV5piNu+oG8iV6o925AKo +LMD/LbmjbgBKr0MyTXQrzJu6ZDR5Mg6p7l7m2xWY6RP2zf0713rIDd51cJ8m5KNCONK9MpAw34Pk +88QZ+Zqw3SpcInvA9OMCOHV+f2lXuIsgVqmeSGgVKdw3xm7kjSFgdcS2ohmiRPCNMltJHDge7Idm +tL2ioO93y25q73J91QCMztDtaT/OzeQRWecQbXhEysG9vFrAhxbDfqjrznbb/eFicfuOWVVqI/gF +O5Cn6A7v3KNWffAf47cvUI6ZBHiCmsFHrZatZPHr55lwasUHvxniW5Yy6tnF2CUUIXbgOkcLW/bh +YmuvqLpO1MfZ48SktStW4nrFyjtb/Cn0+jAh49OnUau46icql77ylP6zKRO9EQG5o0g3om2bPhh3 +cu/ULlej8NV/OvPN0gcAtarRJeStjJzOdPyrBEmmjB4rpGhIYx/aAQuNRqR16zzCHsw43b5F2InB +pmVGqSgcr0CeoSBu7+mX+h3eXsrSz8z7260qKy/QyXyHd9SQgPQ/CHsWqGYKjcrtUVXMsEYS41Pu +grGdVGLw6EjGxF9Jw6Yo0xvahe2kdMc8MmMkfAqA+rf2AB5oPCN68KUniNhqyksJl14mWoauqkKF +GhdKCE3lVgQYvsHeLhIsKfTxut9r5jE0SckvfK5CdkTRNOgMgjJ770/PmV/97ToJWabS4rXjhfwi +BdHJ85KwANG6ATuHLfLKrCY55+54otHtyZJHY+8Wtjx+NadHMeLwkytCjwbxrU3GRBCP3vqmmD2m +GCrNEiUu9Q66b3XYiTGdiZZlMykYuJb+tIUO2qDF3cztew0udge7X4ytxiVEZMbUaeGWVaNMURcg +kecS1UjnPs/soCrWxUjV/UTzsptJlx8K5dyVb8ZX6u1iMz2mDNOiMQzYiI6BoB8cNekAfefoVsOZ +x1b4pBC+gr4ALG3eeSlJJ50ZL7slwOn2WxSB/kfeOyElj0fP5yEO5cgPimtAh4WtOCrePrfzSQOR +zc9su/P2OJKdvck1VLnIwdE+8VcCAOTuqIgXzxc4pGtAUjGTc4V4bBo5JzMsKGqmZk/hcF3OugLp +OUJoGR602YsxWC/M4yovih1ZD9giDXpWgpsgnUA/6jR0TS4mbuq4Ic63xrfeDG7yAkiqmgZb5kKH +DuZsflGrHYBtQKcPLC9qZYgsxmi0+7HyTp51HnhVzpia2wpUztGieCape/dYQQTiGa8kDQc83gB2 +4ZSA6Z7YdpHIDBCWQlYTcRMc9/ebQD6ve9m9DTlTpSXA785shZ7puRU7yR3iGidWzrfhWhx2J/hw +KZ5Nxw2vZnYKviwol7Ftvjw0rNrzXSGph6C1dkMistsWYgCPPVoM5oHnFVVKNZUva2J2J6l70u+0 +eYGek3ippvPk406CF4IfVVmc/Z1fdWzyLK9H6swtAsIINQIOn3pmoUmqd2IrzZl6bftPDytuLEZJ +OtMdYgNiNtzZRmlHn2zjtnWTad1yPjTEZOPWfifOB5SWvDUpDpWY2S3cdpJhDYFk9qsHE+xDfeKn +ag9Zt3rpia3Zz2jNjzSvRXnn3k7qeEa38vpd3Xo8qzLvRMghRrVi6WsG7i/HHmlWu8FA14ppLQ7v +8bbkXwpjrq92lp6YZshDlpqPj9+pNW4yC1x8dfN4iULrHf+BZaRraKpfT8m/Oydfj5TfChFGGWa7 +m6L+Dbc935FwD3VVmGsSnCCgkWENSmDuZL6oOb3zHyvjYVJU7mWKOuD1r6oIARIM/ljyvJcSY0E/ +QCM6sotgcpkrG/pEvW6vfFaQIjZpkZoM6Qo6F6jd82d5dFN75UuoY8vaJZGjhUlhsUTqpihUk+az +knFv08M2UXgQWKe29tStPLEbKLASORT6wz63rWQUoCM7Yda7jimL3LbsmDuuOQx9/G55nbPmBDDY +uqciqXmC2xzCAuq3sgnhx+rBPhtb1+Cd52/z0OdLJ8lmoBJiamCW1OpK6+bTgeD/5cPogwMWrYZ/ +fPySOG9lALITvvN4zfGfo+dyW/l7eRl4FkxqxGOXI3p+fSmagi+0ltVtem9tadBH4mgKtmeC+NQJ +RnsBorWcLDp7VfcqE/0vSMNTKq+c1TDkfMYiGbhwPvwVg7Yz9DgvnvNByudyd4qiPaimWngVpn5u +3C86QxFisjBHe5vYNh/vHofYsnRpD5xik/TH3/JLQo4xNVLavRbmURptcq96cHCa/X5WSg1HydNn +iunTPy+CWb2N98jcOwC/bkjul6mF3XlG56WgqdbOj6NhbA5iDx/QIIL6+G28xQ3t5FI6vk1V2gvH +x6CSi6EzOG52yq8xIFTdu1U0nmhavtinrTxwc57rPetZznAamYQ5YVJjLUzGiyU7/kXyVLp+AIpe +i35/qNcX543fFhSgs7FHAiQpdZY+SWTZ7OYazWvqnEmoSFLucM0/cYO8f0zBzYgz89ounxcRG6j3 +W4txzvstMmefLkU2Xu5AXlzphrhoMET3bCLZ8MqU4mFV/apgNRGaKYcIPSQtFF2zmXoBH1QWxnNg +aq8Oc7yZ4QdpBBmB/ldyAkCRx0yqNauOgFMCctnr+kxo4P+PDyf5gvCT4hGZJgwmhQLBTW0/oXa/ +oCvJ5CActXfjv/+l/kSbtab68veUe9LfEs6Lx7O0m43UewTg5w26601ygyK4iOMyZ7yUNhmX9QIO +uxcVObilExRcs+xMtZMAGxni6UV0xOyD8jZLO0ggsONDO1VOP0aX0FmsACF4l/s3lLQ2Xf6FYXdK +3oFkPLaLuw0E3z7eAgj56OxMB+QCzkO3/ggKVN8sUtveYMJ8RpvMkRjOTQSi0/bYehrAUQ1sFT6a +YaxJ2p1j/JjjQj0mQmUCn8Cytmx8qtRB4Pv7gOZUwVMcEwwCUPKsWla1sBW8YfakP5s6nDnyMSP/ +qkUHgGljtPbppI1Ar38AuPTo4v124zZUbqnhfhRjFhl0M4M2PYbHQHYtAB7elMpA4sGNwiQtAA1C +4Y2dOEUCaK3c/PPcvq94uIst++2luQbdSmeEcjBimxpoMY4HtsHO0YWuJHtRRyuwDZ+wTlZ9wLQf +DwuODyomZY7s+AjimcrbP3TKuC6M6y0ROvvg+E/mtdTqf52Ht/pbWh8KnWXdgRZwrsqXzwsSqSpq +Pvt50+yjgJTxk6G8YKzCXeeJRX6v/FgYERV6uNcpzYa6GbDeAHI6US7mbBMuBgIiIRi23yCcO3R4 +uBhZnsfY4NSN28OAnxT4wL9qx2eeU6Uv1lWrCFXolEqLwVhtGiZ24sH4rQMUD+QAVcdWnAFhP4ZN +8VvuNdTcxefR63PAOn+dtrwAoZ0LInp9PgMA9H6GFxU7uFYqjV+G2xlK08ofSE9ZahLyXTovIIrT +p7yjFRVmTLQsXWGyiZKWkWdCFgLRYwQfRQ3tCYiNKwSOloGpc2wG+hgtHWBUc7+7Oa7Nxi3Z9glj +qMnVcLjjFQEdFM59wKcNicPjvBqUoLKFSLflt9onDuf06EmQSn+SsZGbQhXOJz4eNxXy2wwsaEaT +biOxtSBOKyXyr7CKrAm612CPHbNrxhIHgLeEx9dTuKcQQrk0i3yOe9Rqk74YdSI8XFCyK0Tfl1qG +C2Xp9YTC64ZmNh1D9ay+E7EAFdaj5Vp3rZ6jgLbgXeMQtmLeWmSkGpD6RkqpnN5Pse6Da+H/lFRm +GBlD98/HZSeP2VWguY9e9TRNj6fmlnwFfpqK0PSnbxqtcVmf/rYumO8ASm/HScu3zFzFpIuWlatP +9Vg/Psm/Y/NqHadGm6ghL9+JQIHMo3vBqM9MT63S/9wcF3YlJhzDkKAFovlDEsh3xsM88MWiNkAt +hX9dNEme/7baqQIJzB/4m4agy858SsUgmKOidT4ofmxPlhDszGas7Mk82bmrvqxkoUG/jLVRwOAA +BYENK7c5oO37oQ+jSmBJGzPN33r48Iuu5+zp27SdGu0JWS1R3v/srOKdt3+9KOniMkPluMECBMzt +CsNB18pR37rMTh+DJZUwuCMLd0ADkDNYrDfHs59OyJE6b5L36Oh4ojIu0S/Yv4mq5ZsZrKcLjCp2 +hIXuyJvxKy4Neo/uSGrns/vSnuHtkOGAyyFiGxRcU+8JcIYh3MaiVd7DeGu3ZnB0XDfBBFywkfpK +Lee6ED72sbjHsizq/664jtg/cjG48txYYjmBj/cYSXVieym6tzZpTrqzXabmH+z+6I9ub0jUq6z7 +1XjhpsynD4zJVA78EBK2aHbWfA3Y/LaMS9Rw3N4zTO2vRDz9zb6GzcPSuDIIu2W3TOQCgK1ps5qY +LfmR5gr9FPxqpch1Fdioq5CSFTcX8KBxa/6OBg7SnsdkJ1x0RlW+TolfpB7cPnH5Cg4gsYiLmvWI +5lwrRwHuszNQCymYtjFfB6Ciguo7fiRz/wYlTD+NHlhNln9BIy0Gy0OrQXMZFa9zdVKPUGI6c3Cw +sSBvDDOUTts9BexnfAwVSNCXVf1byNaEMiYpTHxY1BhLieEo+PpnHtootrkf0K38deS2vow8wgP4 +ljr49yYRqntnotji6q3VD5e/MIpb+Wi/n1b/4hqt4Rq4lpNjcrvngnnxghIzEgOrpj++MvPoNoBA +9Cm4fGhVMEtMqyDEE/1m0ulWI5UZQT/uXNqpqbRNf8Ny7BKGpWKbEg72oe+F7Wgo0o0YHCwIEESz +F/LeT9O3C8OHagCIhNg3xJTaMHMLTrErG5PfMv1ZB6pwu6cNmckIPHzqiArMPpT7UgSRV/YmF2ZT +F7K6imiA8oXAvZOXPMJZp5j00057IWosGMViZT9nv6UM4jbrNf7hEFqfvCNDe3ywnbXo8bC9IY3F +UtDZFxBrmFsJeHfn4lx2u7DEYWfaHu+ZH35kbgEcVXibWItj3iqgN2CRez4Vz6DF5PjJFc2j8IAx +B+R/6A5A/O1TcvzPps10ccBBt1LlwkitxsM0OYgDJgLwAp8rzilx/Ea24RIFtKcQfqBRTPQNN0xN +czhw62b5b/ruK//0IG0XB6dVBjDeJ0TrykogvXmtZHUM03qAc2Pfz9en4FD3DaoMgSiWjucTQWhz +bT3YOCxcJfXkoE40NQad0EzpC5YlsXyqYsjz9euVH1XtCPceo6DTh+A7Xhaoi7GPHGUo/8kOgBto +3Fto/OT4zCtgY9nB6NvDZGMr6VHzO9TUCpK79sjJgmpLOYtFi7BrI2yesNJ3nLJfEz9nLl7nxi8E +CQ31yW+2Wy8+EJBBckt2XvKemZJbHgsSGVF5O7XPedBx0ZHBnzJ6qZx+T7zXWKfvahALt8WU16Q/ +MQ2i7nzsvPgesbmtoBwQLDOPXQQ2iETvf4R86hbobybX2CAhrh5fuVMqQw5gu6Y72qmXOdVV9Wqb ++VdfYLjAmukvz+8HRUA9rvWB+AOvMjQWW1miHJ3xpm/d3uB6mzG+yEq99Rjqph3qcqmpv4iPRMDE +GmHtaWyHC7//87RFvoqdml3lW+Zsuftt/RG5yRoqfOU9k48cR5vg5Nac5tdPYQ/7vd9Ts+ijEu5q +o2roYfyrcUDNkY04d2E7vPONa8BV/oeqPfTE2RyuD8PfXfjuK1BqAaR/ADMuWAs51gc1KxJGwWdb +kYbpJ7ZjWv1fcIniKSE6I1g+ApZaHnlcu8IdjsionzZVovkPZE99SJaAkuV39RJXtakmCdydM74w +Zw0XIy81rnrOwciHOBp4/Ga1eVmTpY2YtirYVnJpdIXsj7pBwdplfAIUCvepCYofSyy1GLihlKjB +oXBQYOi7kINDAoFU2CKWn1MJxWFmPo+iT9HPtngLU//Ld+vMES078iCJahOaGBc8saqtiinwTiId +abDuo9aBsRPZblTgwKpvMAgMx41NU2CQtPcAW/CeJ3Z/vETfIR+IJ7ksOX5QgmwlS46wOEG9IxPG +x7Xxa+2F5JfaCPhp0wNtBh9jhbNmF78XOnxSOwhXkU4Nx/Tp0A09PQRPV63nKfQq9edkkioveJZT +UGPeT0GCEzL8VO1x6mm4y2MOfcFVNXalZZca/0AedYR4YuPPSlZmZnrviLwxaqiI4ysSFEOfa2bz +UzSTiiu6IL3XmLt46/jELZKnecTbcOnCQkTv9aNRN3BVyykRRJj5RhLTgCBwOOGGaxRJ7GXJSbQ+ +b2wEk1dE2WtJgEKGx9s+FmxL2EJBGGZHEOy+yuvasBLLkR9rfTWulUhy/WVMuA2kXrrEdyfGl9Y5 +o5f7pNjQQ3NUXsdPHaUaTMm6hujZ5xhW/PLt8loZ8pBbNwjQAhW9GpyN4KmMPLZOLVuAtiVH88We +wVh98vAcsUQvK55oUnw/qZW3kbkO8kOzleqwhem4GPBytnBNKWYsMjaS/SOopkt9QdHR+0JMOhZQ +edMiWHyP/QhRhBbmXBsBwNmEwSiW4S2n16BXm9iTRNAPtV9YcyPZooB6gisUUw/j6ttUkmxf3z4X +pILrBKYwfb/edtVQkx+fWJ5ZxaCXfXLlBDZkNjgoNaRszcJbzOnTEpZXZ+ExGKry3YO9DtaBDTAN +E73gnOeOxdYwoj7mr7mCHYyWIxE0k9xLp5LZXCXqX5Fl0+BJNRS8bjGkmQpP6+i/RDVK7b17EZ4A +p2h6sVTBsnJEblpT3yciWuSv+KBu7o58HZQKutEiA5fiwce/Q0sqNpiJJAcjgerxKTeX4Q7qMaxM +obQguewAWBR/D3YwQkPAWt8uETG6PVOQ4T9KWxuobbhcPVcRAh4w8gRCB83xz9LmvbXP02MDukqP +y+aUHiGU7T6X67pf9nLHtJttn8DcmKb2eEFIh4z/rkrOqsK8RMbfQPNewhAMC8PpR7X4L3NfJW5r +ovV5Wm+nvajdmcG4nKsWSYAdtu+yHcRj8SxUKAKcvBuagYxrIoaKuVRYWvK0jYuDvq8Tie68B6Ai +Vm4lmQlpFi4phIYpsy6Qei6PVKG+Wh+kLN4MLZxfbdyUxVuwlVRAjdHJ8mjSTctM81IXyR+KSwt1 +SJKqBWQpqWOruQUmJhqBEo1Br7qaOzyN8xL0wdx1ZlnpZ7X6XWF6CWzVdegRR3DQDhoB62SQzOC6 +8naVpWm4qHOamjNNzpB79MO4rgui9JuFAE86AzE4NieZUKIj96+IeiLGAau6CRLpD+cq/IStD5Gj +rb/07jyQ/U98bsabIKlMfqA/1uxVymbvL7foLOxaTDXcaX0pShlcCL9/kZpxGzc1XhV7/QKdLUGt +wqkYwfgUT2zaUvxFdiBAUn4otd5SjeDyUWys1+EyGKbzQWkvOgBWqOM8eLEQhzFH3a1qQ7KVmD7V +KSl+3+20LqO9guGrAnEXgBfn0WBDK+xUatrQfU1pFmAe4383664JYRB+Pt7HNLEp8HZMgT+ISxvR +XrUaHJP0RLcvUCkJHrVP123QnFnsSn+Ffx8flrezh9J6Nos5/bzfAMCV8a+UugS3onQzDytBjmiF +UhQD1nml2W0syuBzEIvj4kovjhVGmPd8V+3GOQIvHag8+J2vKyXKknK0Zm0Ut2xOHyR3HqvVsQTc +x8iy2iMidDu/H1P2sZCuck6Vag3uJSPTDiUH6KFD7lzR4bEPVhwbX5Gzy1hTy2MJg0JpYunByDZ8 +cfSjO9A3HBVzKR1Hychez4mr7Ho9vfUWvyF6OaNG8sR7LbbnaWtSQ/aKw47YELzsUXloF5Ph2nVH +Yv0djGevNOGlKghrfZ1/xK1SNEu02IBXmvbf9Enjdg9jm1x01EogTonncT9u/3UtALhlgQa7Kl8S +MwdOv+GqjFInj9pI6dqRlrj7LQBG0vu06h8Yc0vCqKGiIuO9leEFefLbMBug213K0yXgKP0kRnn6 +WxJRk3yV7ss1YM5qN0UWY63WOL+XKQvLp/JUpr/+CKIgyA9kgAGuPFmlxEtnjiQ3RVIOQmBLxpga +K6xFmQFtJBZEs4dCK5u0Xk2S/gEAg9dMvjQPVtD7KauYDDaZJpvnmkPuWBAN8XQNZyBAXcSYTSXG +/m0Thyw1Uy5BcMrW4yh1NxuxAMzPco1Sx5D3MAu/IY55FE5dAKk0J6pDfl85tW3RzS3pILkdQ4Y6 +6KnqYpP8GpIsBWWdFsc2HKfDhb63aqFOSODdsL/4Ya4U+m7cyrh7AG1+MVi/OkKF8628a3rjlW/U +4bKqkQMK1VHYmJN3lm8C2MW2iBtiLgHg0Pv1Cm1zIXthoR7vOpXRJbgEG+9WPbAqofiK16EHFnvS +sQWg7jRwCjf9zL9+8O6FJX2guumgI/dfxjd9dNKEe6gi0LYSKHUM82gbZS2rVF7HyShwrHCUC+wP +ELk2a9rQ4O6+EjSG06GfELRYntCD8VpUHVRENy5SlOf/MJAWVSVSHkfXpp27M48aZWVtPB8uwk6Y +JDMtbnlmbe8An35/ASXtD9gJggaQygW4rtT3Ea6Vgxjmbku+zZE+3xZBuOiz5v+JWgiUElCio6Xv +4FxrE074lGxm2H1fcHfIU3RF0SHb2q5P+3/PhAs3F4xd4w75m5g/prtL2NDxcCGJ91/D+G+6BW76 +Yc6sgUeBL3380sWODtzJYTZjQo4s2UCW7xe7SG1RPEFHfENivfdY/R18YPCUP64qGe4D66EDsgIc +loFhTsTjCERtuMV6AR07qos6evKLmXcImcD23nvnU0gt64EosXsHnflaiMAQbxpF8/twFHLuteFY +FDct0RWIrPBAzHJ1z806dJwRX9je4yQE8iS0iooM+BFmbD2NCXPM/RgkstHwUJUE3RAKonvdkkrQ +2EjO2FdwKqOKYr3msaaCLWMVKl58uDIoKR2D7qM/IwWiHIyQT0J4gpCo59vityNEAXw5NvWGccgS +NApQrFRZjjY1yiI0jTOSysCrmjSF/xMmVUOULJqHh0/zZsUlflMWJLgyvE6y2lcbksgrDyplT6j4 +lx3rLovzmuHv+USsbBpXpUfp5cfrZ7flW0gg15I3HV29ZnhN4T4912lmvmstmxvWUBeL2aC0LNFr +H9nFtznj3B9acNg91Q1Gmjr4GpqDmFC+5KmA80BfKAvI1m+phy0soEaM+0TXMJOb62mlP+A90Rh5 +lv2apLNAdopD8hP/z1IwKWjzNS6TT8aH5fy9vsEeBf7jPNg09tFef+D9Eg3sqrL1l0Qzfcv9ttlb +lbFZCyjCX0x21xSomgMHC9R7egLt41aRUFZeGS4e0HorWOSaYUxd5K0Z/nQ5RotYjpz5ma+n/Eiz +FjLbZX5dF20z/T1RYlbPdCMuXPdD7SpAaSkBiR9DpHCDeQqt47HuKs9aLj4qQutfKfazEknmodrP +RB74Omn5s3lmMSEiQAhuVS17XKiwWH+qSSvQirTLOwXYUzO20AzcKRTzUlXd39ifkeN0bSqoD2N+ +5TYTbHR/7IKrxDYwrixZhvQeGwnbR5RsgNGOFwkR+LoI+lsx+qdVuqeuqkOARf20QH7mNLFI2j5s +tn+B3UsMlB9iOoinri3Zw1gGGqgkhVvAnuNVcdfYRAkpOrMKU3tEZLeZwNqnrGTrIrBIKaL6zyVa +xF9YNGLPmrDm+rlvfG9/zxPK9X+FIOpuzl5l0xlnyF/ZihsbYsQeA2+xoNU8U8ne/mZNxRWC+Gjn +ZSM5FbvL5pFco5pNpCGyxSjN7CjPCf7Qt5vA7OUbcOq7/YyJnncCpHorvmKmGPNRVHHkujc0rgof +TTi44Aex68T/ZdPubtCHKqpM7+69kzQthULRfxSN0mcaA+U2uWSJBiyRNLD/ES8TXsa/9FkVE6NR +uqicrht60hNUzX+rd/NSTIZuBj6TUN4akAhQSDZzwwD2Iuw5AnXEE+hkHu+HiyM4iv8mBEU010fV +3nwl2FyQdsCWVTVfPSmCkdhP5RsnmF7Krg2zpYdW+AyohCGWBmvbj3OHjXXqHe6EOu14DMOMsRrh +w4Q9mtG06yREgx7KqLQjU2QysISVA54+yy1H0faYCcuHCmC5Qlqg6sX5yuoLAL5O/o8LsId7ROh0 +AQFrGISDZuGRDr0BY7kqpO4up2fQEFOP1jelFDlMONTMiNBUk6joILQ6MAH9AKMWf5XvfiMmu1uT +SLLF9VV5roHtsmD1/zVC6h3dRjuAdoVak+b2erroSci8b50lSrnNtivwunSpqv3SK+REy0cefBtc +d75sHC6uJYql/v2vvndPWzW6/xdi0UtRKqB0cLhSNdv7eWUjotwp/65nO1kdnrqq9fj9YhnoHq0/ +FfaPwsy7V4F4S8ZL7BNIwOZO2UIaDTIhYywA7gTbtgv0YTLkA/b24YOMvaNKNrCF3ljVef0Nsffp +sSrcH5bCmg+MZamoGRz73ZC1mJk4mDJC6bDFOf4pdch9V5ildgCClcQ7qzNoQL58i+Rt4ywEefMs +Q8L0W41b6lV3MKX4AmRp37DcGKZr8mfbLCJ2/v7ktmdezjYS6GUwnvhanM+XAWKTS8/RJAMMUezk +Tu1fTkYJg9DG9a45oIPmqeVK4vZjeiN/MlCyeHbulR1e89iVZBqiHEK2eHjMKWqWy5emqZfx6Ooc +e2Rgb53EsU4SiG3idqgwak06TfeE/YonJpvYf/KT631zh4nQaaBDh5uxU15PlIbCCDDiXogsP4lU +yeAl+ZeoQ8kJ19NNUl2Z85I4pdAy8YOUO4lPm3VMrJc/DBxuz6U4N90X/RX8y1DQK2vNNuSGFFTf +TApbQinB8BR/XU08gh/x8PCkfWHG7pBuc0T5tGu4JBI7FaUN+3R4lsIoiywTZCLKY3g3TNJ1QXB/ +WFZHPVy3Z3FXvMefGpKDJSo4Hv4Iy9b/71PPam6VgMK2D2fk1cfQROOv9jB3hFec2pvVZk0P3mzB +gcai6P6KS9fkK2ieOWuOnimzp7vbWOz7k4XEjzldqeelx4XiNX6hpcothp+gAVJzwzhxg1qeABhI +QA+HE+C+vKTviBJvMkvcBSB0i5tXpHa9NDxQ8eAdRu9FWLhb1QUNS16gFO1Visj8UrqL56huJYae +OTD5+O4nlMrMsUj+8WRnbDkmEj6gthty1B1lwBwGThoXJ6lPYAy/2UGW/qYHJrEk+6SmO8gZOpeL +W0cvI9QySpIvFXnvp08nbSnQ3Q9priIyqtHqFeT6phdl1TM8U+pvLjGrB/lvYWbKgDDIkUS509w7 +8QiYwPnrIpeEM/XIkhDlUWAgm/t0NFCPT/9ioM9zY2VB2ibKZ1wN0Rcn4J2uMXmm1irVx1JEZTZJ +sNSD8XGMzaIxGFI/DocaEpBVqIdYIPTq6iQOaRoyf+KXpeyc47mjFtccEE8LJfK5KkZS3+jUpgzY +xZnpLf5jJCBsECzN2ziDoHcme0tLQ0zrVf+U/OxjWsT2ebgcPbJExj4Qc50azFxnGAtzSVJcQmFa +TE3laZegE1cLv1EO2qZ31xHbuUO+m5Ucr103evkYNLQkJ3Ye8/ReTJHs3l/ExtPzr0AnVoro7D4a +loDrLi5QtiKXLuyZE5Nplo3clnx3+9orgLeG63XuiLayWBLYGf/GLgZsuYpGzqSTHdT9zdxZv1us +aBmt37riXMrcpJZDp+dN8bgXh8Y2vSC84j7f3s20VJaFxV1y7Ted4gpXZMI+zsE4CXiTc4BKjphB +LVyBUdDg9F6XuWUl/jXV6uHANx7BVLt2fQ8l5fkWI0m6lr0ZJ/6Y1LM0uXkQlMv/uoz5W1MW2kmb +dyQ83bjmHg87knZkBSx7Ax93rAxdNcPSMuOgfS0xHC53ltT6ovGclVOWEYtMegKKYDNqLZgRgu7t +0fRF8vu/zj21+/t/Uv4NpLHd7rEUZMn44fpMEtwBBetn16uP6QP9Kdrn6unGiZ54P/5whRxl3bfy +QdbQPC1M5HSdIA27l9DGggKjMQYmia2i7gZX+gIPxmyidMtqp09xM6v+lj6afZVOTRK0itDOmrNA +OZgtc6/QnZwPuylPzwOU9/fC6tUlKRNr8kcD3hBoKJSpyZMJ2eb1JjrR/IF81ptzebcNar+DZdOV +HalCaf7lIyUHZ8OpdfuKYznuw6Y3kKpsAHt5tp1IEhPqlL4KJFdsqQOqQ8MjPc0hXtevu+s44q61 +VYg7FdNGmULbDOU01yoxXr/6fUZnV/NQGyPY/HPIIJIObgddesUCbSjizesxxalZKK1ATSS4EL62 +g/1YX4Ho+2QEUxRH8GJm9fX2fbfwJ9TkWdCai4ZbW8seIZtfcU12QZEt6FUiPIlgcIP20PmQ9dHA +kTwF6SscLZsogIrCEHTs/sYLgXM+9d2YPiqJdvpGR4ezY37IVZK19qQK2w4YBo+dW4l/du07GVlj +A0bhjz3hipMLJBDaNTJ89f/TZFSLEIsP5E4rGUAkhzKyNDZ9CywaHVEyMRaEgANsKNNschb8aTDD +BenmYYCS41yfc/tPYy9qM8jZT2lQQJgl8lZSz7Uf3vyBbZyxmrf2LxJJ4VLcibgymvfMynzNT8M6 +GOUaS30OIjLbnzuE41yObLJBKXHHXBa79J/OKrlzPCX6LbEYPLNzt8a+Kf2DRILdrMYE6CqpPKZW +yWO5smp6X5C2S2T2Ica+UZPIGf9ZlC9cgseYaX8utXCBUwvg5uGtJ3eOlnxFgG45zl041UXmQJvg +P1+05l6aEPJRJ2na5d0Ngc1CnpwdvVHtjhHDc5qR2T4A3ZjNX5VQ7krZPewOoNdV3Cy7+U0H0o0T +m9orDGJddbRs2ynZZdVxypFiI8GgDS66l6ULjzLSQULD+mukzipUPZmp3imDpOrabdjOfMHwDXJr +8T9DX71j5z6JxvzmpcolB6hmzlkLdklHUbWoUupRyFfSd4Lv7OrSbRX5tD9LMcmA17CT+4dPox1Q +cq/qwgteD/psT3HQhzFTOcE6t2OdEv5fzObsJ99hSHWgKZoEJ/EvlIQgXxtigHOaAUVcozlUlOgh +d630S6TGDQSMywATx7xyh5VlNlmSSodYm3oe7rPrhRN5PphFss+xvdWs/Mlxi4EgHhRPz+kmU3zt +aC6d7mQca//OnnbJsbmtlEwJvE2EZJthnRuI82LENOzrCoTkOd83Px0a4NPEPw87BmWw+Kjh14Jq +rKGARXj3w0eqgclPMS3KiCFO5ZDv2JrZRE0vFAyjkkmSPMKuLhXhoUaOmTlc5YJUisqnrHDcGFxL +yjRMv5m+HIzzaZVKp2Q3TEpt6CzlPVDeFwQCX6zSyOcn10BflwG9f9Iq/mfQdPW3g/ewCvmqxlhv +MIzMYo6f4MZuCnRkCWQwVyHosS3DXZzuf1IYtV6TOaIfu6isNTwXpKin2dKDbcAhDrzidirIHSxY +mpfwaYY8iFFtSaC9J4g1BwvWhQKsTyrghiqpbWqjMcuIgFYDkz3X2erRNxm7hAKHFhqkAvl1mABf +3wJ5efHOw7rkDd1v+JCO5eiDKTncPI1khyV6pTRHWkBesPfkKojDQL52egctnCVDtmKF2n91mrEi +N3j5ZfYRfco3wlGTvalJOgRF5h3ZpySdK50uilyZexVWRpdTMikey6fGmpInHpTvmqknLElK7PH5 +AV3WFmd/KGPBQ79RqhW76d0E+Cf7XnI8x4Vt9jEAAPYMriP5b6v+B/i4NDtQ1eSr0PAgS23mejWt +6JDg6diUOsVVUl/vOiqou/U4/1fYqmtf4tlZytuAsTBCE5U1dhMohGLrEPmDNoOxbSvIXOjX3oHk +1vTr5tO1xOSH9ew69o1Xpe3QTd2/1rPuPHQB9TxLJN/mYeQ02WagccAFwtNLv0NhMu0LoHfXzzYp +7iW/OsgzrPbommXPEuQST243rrYPxEMLOJWq3P6v7svHCc/uKeZiOcjvVMP8SkEY6KNVZKJklb8R +y/M9Pol5/G3fnqoCYCE3XjOEj/aDLP2JbrXdIl6hUJ/SG44QpgMI3NJLsHkZ+6ZFd/9ksYDP68C0 +gVlT/kLlSDcqwt/9lQV8kQfnNgrAOspQ/eoaGFUxgdA6TJfTNKWR2f/E1J9WrTC7pWc5DUkurP7o +c1UJlNEu97Yt6M6aiSYRXmQrzDGFOs0MEaoJIWcO3qtnwBLfTfH+z7rU5Roi4JgCaWPhib5VMpof +viwsnucwgbH1dY4yvTDuaKqKRnEL9XKe0Va1vUEwKcQ7OeEVMo1+f5rY/1TloW+XUDPmMbSj3gp2 +gsmXDdmhCplfVkDykd6UhnHkXheGNPcpFLuc8NfC6QdtABEF/+b2QRrI3bmX4BqQ8O0dWKtWKSo6 +S2LaMea5D2zeUPLHPLnKNEAKpJM8oGVkxm6AyrvKyvePFx5CjLfz/Wh9qIaDbgEfWAaCBl/TOo8h +NDRZcS2aj67hYWPb9tpSFDnlQGUOmNrCjnThESU5gTpn+X/1LmpVROXhudxIGFHCxOIOj6k3MAir +ZndudsE6mvhd1f5AIax0jWX+zGqzHzX6/RjcdAhXG9NY6eCOS5yW4jylH6A2VTgA+isf/Oo1ZZya +TqBf1SYsl3w2XCByz3PqE0/5n7ymIJsaXcrtR6vZS9i71MQWpQFXAkcAGq3DXND1HAWVC+574ADZ +GwZ7FsYyYPEo5fW9oWVYhZN5w1d/b6tLgHryITvxcK8HGZL3ZZoPk5fRLUaaUpG7EXsp/ETbSsBC +5O/gN9s/XkQgddxbG0HI8/kDdQ/syfAerj9bZpy2OftvsESzqwXflisW99hUKXtdbK4aCiJFf4Df +eWWyojw+lJvpLr+L83PPZjxE0cL7nqLArRvHkzLTjBp7HfY2cXJF6STQ34THWCRKRrozIrc3uSG4 +H3r1Dh7F/xBEO9mPyi4aB9ty3GWUi5OQOkFnloKKQDQVfZowqnep0HCm03hDiDi5ai5ViHrRA9YC +GFiISF+XvLtHLQtBXvHjSBiZM95AgHlDezazRjU6eIcxd0zN0KUWPH0XnEWtCprc1LZI5mfspqde +MWjpwhYoNeOyqA4/T26MMphcWNYeAi6CdSxZjG9fWNiYNPrnM0062mb20XLP+zRT4tvx7vrsIVIm +dm8iNH0OMAvYg3/GxSe5juD5IvAv2WQKE7hrIKZhBzBlJJVfjyAzcI043HI8Nr/V8wnZiQ4KGm2j +0B8wmb5hyOHWF9FcQ3OofO6PD9UclYJhaTqtN4dl/oWBdftYF/LFiNiW0QWJ1IXbxMgDRlXpkVii +rIXJSr41FwSoZFAGLUDYYjD0GD1NLwrW+Onx1Q2/WpynhImpkbN7L7ZBxFOJAGxYOCyNUqwIm2f1 +OTMLCuXqM96UbKSBg0YA/ptbLNymvaUZ2IqC2GVWVe+PWCCs7AO9kuTlZSjDrsOOj+/Ju5AtnIeq +9JmqyssxD+I8wxG+uIA9IvoIuQHCdVmIqMwcEm7AfP/WrkP7wd/JhKoTgMmRZYCFB7UiXmmNXD42 +n04lhEYpYl6mr4dnLwlxnbOmlnWxzNrO6zjMhcH88eIVERmWdO6ONy9v3/CwRcGzvnYHKWdJwfc+ +gm4sEEZWhcPu1zfOASnB1LwIa+a1ckhfIlQWNDjKyCkaVLnjS+85PW+Cpkaec5xWAx22Wo+SwevJ +cl4ibgz7H3lePoPBBK7Qrxdbae2UpWwXphU0KiF3mIYlDyJCBroDmzB4zFJp6eDvT+/t8MQb/+As +w5AtihiU/XQw+2dAiu0vLfDa/eCcv6lMwyzwjTI+zZZbm0ID821+wvD3PuTdz2K3V81BRwg3Ed1c +NX6tB3lLXeuKCSEyN8pYE3mUtFgAnbWC9Fcfq8H/mXv/zvNQyVggRdvDYFmnMwZ8sXWvvGlNqPay +fKofIJgaWwfXDhnp0ERcHz3E1WgUfICCal9iebdOOyrPr5fAyFJTTi14NoILPYp6LKPO3aszzqHt +IT5m4t5lijoTiAuVTaSchwiQk8DKWXO7P41nEYumGHO5/UIfAkUpVrxBUFG8bpwRQ+jKXM/YaSZ+ +Y0ns+JR4mdmaNg8W8WBefivMCIKCS84EgPIXO1ojXDFFeYV1eXj3OezlcbVM+rfaoJhSrAwhrk3s +QwQFFkZlpZ2bjnO8ESkVq4mbqx5mMkBepzaRTXzBtBhRxTlE7/O7IYRSKewAhKqikMNsm5N0mB3p +X7uFXdHI8ghW+qJzrakODAml4lmJp33lzgxkswM+tpdZIF55RgO/JjpbvE9IVABXZsB8it/+LQ5D +YPgxUgLSQOus8ogIRfDFkbUVT7c4mPcGGfE1Z9P3+17I+RvS3HtGQ2YxTq6r3DMwk1c0MhgRKruu +6Oh5srNdbPvAjzStQp6xtnMbMKPuL/g2ePdnRplAHaYdej8wWK61jDm4EqGKzk4ELlDrSKFumjJl +n15ny4hVLtA7yuWfqkRFzRS5oMbJ0RWCdM2bICEZaoB65u5inwUKHp31RI9InlCWoSSs3RVdtTYd ++RwzqNOwtza8ja3jdCRBElKPtw614Ck3Ih6OvNYM0TghbpEKN/4WUq0m5b98FbQd50ziUbYiLM3c +NH+xPe+F82TZa7vNLpcYywbgpHaazq6LPcB6jWupBNFUbO38kVuqj3KY20foBg29wQNH88o+yUFn +yEA1QdbKZ7NQaWiCsAgoy2b4ZMqRLwRj9r+h+VwLfEtKs54DnzOUvOLmGyAtoBhsHiujUutUvsWb +I+SedFPn2cDBNe1w0N9fjzQTpp6M4OpFy9T3wtvT7opE0fmoxD0fh9G6xCKQD8mLfOi8tA4siFCv +qv38L7x68RHt9PswVilggQ4x88r/u2s8vGyiYveZt5c00r/PfrrBPm1dos/QUDFvBjCbNEDRLARm +dlepu0rZtDtHBMypXRjwEaHp8wns7i3zt5BK2iCZyEg93FtCt+Mf5R+UlZuZXQn6xVV2qn8OIIO3 +2Lw97Z5bEzkYZiaTtaGqNpSf14txhrIgWAXVrP3/VmRnpdcAxPGas3ySjN2jb/2sWGtix7WtCDex +H98tYrtJQvfWY1Mn0y4fduYhe2QXtuLVPzsy/uU8g0ufumCsYJQrgl1fQsjstDOx8K4QwJEmkHxv +0Lqs8oqGtFOmjeJEnHuE+t3RKF9CbTCukfDDc8AovcjhdBcy1oRLRmNd5zI+3JM5K1Y51WvacgtZ +ydyy/yHTF179ViwxHgM/hNYR+4PsKR2SwicFkpMqjveHa8JMqF23xgFYA1HFj65JQVAs1eps7k/m +LUzv3YhDYMtP23FPcYgDW7iwgQCmUUJjlt4gSh6csi28rQlO9wRuT8kbQWIR6AoLBTXmvbF0xlBx +NUNHji+/Zuyc42FokxyjT7HmVzN0GLmCBtHCDphwll6xs9i8apuYN444NRqDkqj1c6ZpRVuKVt0w +UnKchueY4J0EbtVLMgO+TsN1pRIdmnXzReCt6jpgx0RWnKHsgggqLHPkitfw50xiAtMhVfPxvuNF +k6p3dVvE97XjwYAhzrH/6O3+Ub4j7NOX/k0AOcYgHx+NAFatq8ucmtWCZtEEPGN1YtwV/T9uS8Yk +KzmUyAVvRD5Mq+JQ5a5OGkkDVaZTdJ3kZP/G6QlzmThmrD33G1wUBsj1z4XT9wTPe4aHAhYudGEl +8rxKxSs25RPVoxLRP1JpEuQpV1SuVLcj2DYfNsgsDhEAdHTlSNhuMCdOb9aKsEhYlug5j+BZfuB7 +iCt2ODZ4EltQwScjztMd2xqTy2RDQZI/Cw5UJ/jg80Tdqzk4WpoIoM8avJ/xVNZD8WQNi4YEn51l +eyw7074M/95HEXtjZrTZAwpREG0QlJVsSHgNVgZBr6puIHYDJoN84JMx16DW1RmKl61cBXSKHVSL +TkXeeFP3C287sKnvUnUqixtxcWsDOtOWcFWSIZCp0xxWNIP7wUwnmcexkaMPQHbRZm+WiFENv/TC +PEEWf6gmXOeYiWGUyy5dU7O24ThwTBYMksn116ReSqzfLiFbjqHApAFOQy9E/DRHfjziKoSIGA9y +56mw/5iTPsdMvbUwSUF7iROuqjvekNoqfNOircv6K7/NqOjPKAbxlhuEeKQoBv2gA8e5TGPWaJ/h +JJIkTHNC8gI0BaOpW8CotgaoHLc6CHFxT0VxaaQMge3Vlh5eZIItaWhTl5SBIgDo6zsmmC4mPWkr +GXjQUmLMby5GSI7avjIbhH2e5V12B/GAbZ7NB8XtCKIlrzMUdGD5zpjUA/bW0ZI9Caid4s1JeWXD +xqgrO7LIATZj3/6kHuBetGw4GkUoHTpSyZHpVTOWNQPr/G4r+Rg1pZVwx9f5WUlBJF2Kh6e5MZPA +3jbzNQjSZLiYa7mltXsvV8odpHzuUvHSXW/Kh+gthniGC8EhCcIJjnbg4U03O2ZVOBoNTAIzYCfK +/1Ui/aiodb8EX9ITzUR0I+hhIhUhkifpO08SYanzx510EGf3DVIhFmN5BfZjaEhpcLFJWaAlnp3B +raYNJpeiNw+r6G/WA8lQihrAN4QzjYXQtj7rl/bJgqhu/JPIsv+AKUl5saLJRNjqzEktTq4unqkM +VULBAitn9jt8tVZMCSZLMad7cRlKlFoeCj5weGEF1qViAS80rffgoWBrJRddzspP8WY7kI50J0AV +kRbMhIdzFyELpM5QRSI+S20+TpjURj38C6lL+hSJYiYVgXvqyf5j4UzvKcgCpPSC6HBsAPveD8S0 +nfIiU9s9hrZ+QedpwHp4EyPfuR51znJOZX/Y5fjrssb26QNmg3uEzyeOPz39MEqoPU9T30sgNX+s +YXFrSP0SFrbCufwoBJFFRcA5G+803+rs6VSB03yFvvyZAAOHHPCjQcWvtG6NQNwo6mGxQD7G3NGK +q/eDMDjMiXlmtczrE54c+0om38ZQ/Z/XQFN3nIeLoNPGhf9ykU8jxfkQnkHbUd1j1bmSvmbebPry +MxSioZxw26gUl99gIX6pdg0oOkDXjJNYUAu1HtJ1hODalUbUhIcvTlMEi9weOvifrvuVqSDKmbG6 +9GdLJZ9iwzPNyFxs1gF+oFzvoF59+Eq5k8fj0WXHHIzXg6BzR0zdh2PMxw9t6qGtkUTWaPFfhwYj +3XyJDE48iyQFj6wtJfeX7FccXelmxrTpegfYJqQ9MgSFXJVFRDVDoNJbbaxtdPfueP1zLIrMDc0B +68xFpYJ8HvjyxrPHLq6Vh/4qHUOB7O1vKKbQM7T3rSb5lhPU3G2SZ1rGT7tZ6JRD1FWIzwusDDx0 +raonet1RKMG88R0H0nfaUWvuAeOgG4G1IG8Y7/0HQfQSnmVyVW6eEkSE0C9o4CXc3qFLMb8edVQS +6dVKH5BxSyQEJyXbRZM07tjApJUuhgcFB26bOYjJaKC66ZzGzV659KGIx78OXG+IJ9q61zYwRW8O +WJFbMslnUjWEed3Q5UN5kabj84eegVmm57+4xODihQxYSXpuT8ZA5p94OtOs0lRZYXQGTEGgCO5c +SU8rHVL0bV4/+oklCzAJxYSv3r82bEOP1vGu/H2gCSTcYOu2i+8CKIo7tkZbKxU0O5/7/Qxw62Mn +x4zidRdxsL6tNn3cwWTFd41bJaxwENsCfZlIfX6om7nv1bWGDXvvUXSB67VfqRbaDSMYPF65r02B +gSJ5vXIuqYf5pWTuLlTiV3xS2XKo9wT9YP+8UZ32I9iTh6YUquClhnkA2Sh9/j/TpdVZas07hgk5 ++jIaOg16oji6MyZJnca2Zkh7nxBj71hHwMVBSWVKCeXLJ+PTUcNtnDsK5bZD796yhvtpa5SOd20Y +TqeAeJD9USwO7x184Gk71QDCu+VKD8JzVbofFQjDodoZmQ6vAdSIfI5n/xWICGicQqq5pB19J/iW +d/E7coQSRsEO0a014Ulh6nUo5SGXX2+U/Cz3Hnse4/FhSE4Q7KCQni//SvyEMAL2aydfownTduVR +qO5Y/fUQ9PvPjlZqvcErHVT4b41PBKoi6APgEG5lBmgkoxEDQHL9CmzBv4xjCFJ8BInZ1thLo2Ey +tSUckZ/feGtTEeswY1GM6f6Ly86QFdaJ2G4vfAKhI0MvP81XAGNlLXDU7s6/7ySQWqeJvNhez2ap +RodCdzNkZRVyhw0q5/himvPizcS0+YoXgM3PHjVBS7pjT8ITSHQ+5LB+DwfJPbRkiDVKY4RZAP6v +4Eo1bcPHhqvg0dRynQUz2QspcUkLGj/iDvF6BEHGK/Tp/Zz6qT9eUSCV0C3EuTuFD0M6VuE8MBTU +Zp8NMJcFOk2laYofpEUueT3QnqadO3HYwa+oe6WNGoN8xCVjb2Ke1SpcRc3y73J7WreM7YRkIgUc +f1Sdwa1kdZF/bmaN0lCHQNGcnoWOgwzvTq2nzF55sV3LXYoG/C4nOHHwXHhmhQmSMnRCrNO1npJc +KISzs5P+eEK0HWgIos0fvGWwn+jTYPdVCWqNk9XllS7Xf6yQVsYuexspRMOjM0hMcac6SHrT8sL+ +17JKLsV9QRR4k3a72c//138spjXRD2Tf1ROFUCZ7A/1lbvzvOElKza5ovU7uzsb+g3Bm6Vnbu+GY ++IeIUVdE/TfTYLGO6Xz8oEV/51bVPjN5ptPgM7rtAdzhNqk3mBrqawSsGyKuKKZTExmbmKben3k9 +5tYBDrNw1VCmXT+WXIWNp8ClFxpT8gbpv4X1IRL5npgxLqD1gmUCVc/YlL6Gqh34nvb/jAYda1X/ +pkHmOknebm3h64ZpoPIKAjmYtsQ1DdoQDC14RDp9fwcLQcqV8oS6Fe3s30EcXodkFci6gogDNxw5 +f0UzETH51QJENHr7VQFXCNMnb3u+2aq3a0kvyK7GIQh6G3J79st2BE/uUwfpzJOeEwbE882XDbLB +oW4UvS2++g0/PW0setBfSp1gAX2j6VKPwas6EOSDKGNxUMvRXCsuhPpiZUMoIqfgR5iX2oBNZ815 +1WoxLeScVyHdrO+nBF35fwlr+KCVa8vuIuLMi8eJOxFn5FAwkSrK6xtFhMZEIlPRV95wx1ij56we +3xoM60NkyS1cF+MaOfVLgeXMRNtPtgm7ITTuUguntR9XuPkN8qMBEAqdAzU1YWKuMPZCRBLcGsw6 +l2zHb0V87QGV33Oo/UfV3xeA6GeN4KK3jR7odllx5aGXmHYH8xsEKbMP3doT/gJwnsX35Vw74kH6 +e7sQBvg9joVWUeWoaVCD85zBwsUC/bYcgsXK2TjOnKX9ObhRkarHhF856XV+PSWAxQz6msDKZWdX +PAU/aEn7/t4QzIlTp4l+NjU1L6ljQVIMkQjRIhftbguNHhM1I5j7++RJOEOEqhgvYBr12G2VY01K +oNvtUHuweX0fhEr5w3LRc38KN9M1sSg1fuOBs/BjojbAXnwn6IE48ogcPNQOU00lh2megk7IxOKX +8Hij7hrYhpDosuxLyKSlP7GdRIQ5appavvGe1tRMhndfWf8xZlR/Lx00B0V5npyc3lK9T/OVej1t +5SdTYBA19gOaprMGFvYgRA6vX68/W2LbmxwuZ6IEPOEWmR0zrwSKpbOjVHVVRbxDv6bfQc3A9su+ +zAAj7eCleAPRuwYp3ufjATiN3E7c5xVvIDQuRBjzRCpL6uYZwdxGZQcfLWEe1N7CpYDvaf+sIeeh +wAnStGEWo5ixDJE7K5SNfVhAvzpJSinV3chcY2odCmkh44LTEGryrjs1sa2W02wP4ZaJtcoA9yLR +WBpCgzSn6PDqKtzUKAWONiOa9XZ1Ep3imroZRb9EshTDECuk9+Cw09vfIU4nM+EhGkMw3jDE42Xs +h900DiqHJRai8G6fl0cSmFyI5Yz1I6N5v82X4hhueIwKHh7TkB+nx6Q/JsPF0VJTMpQiCTgiNwWt +MjPppVd7m78Si37IFfzRsEX8dnrGcok04jVANsrQk30blK/1LK06yAKW/7Q2dRFHQP/tRp8vqwQT +5qFjzrE+z9RAJvXrWJyBFPOV5bpJdSA7yzoqmC44lZ1nnnR9Bifkc494SGCpl3nx+N/hITZm9YJn +L2tFyIMRUqEfebYYRJlsYGsyq58Eg2GTkloQa3x49GjabZ23Rc11tK4Zb7MJWojfbJaCe9wjXeEo +vAQfe6LXYXbo6O8UECl9ivMLWhNwUpEnUdXohGqGiVvlvpxkFlIBR8ThQUDQ1+kvd9HPyteIod19 +bIV2MlZui6sYNz67aEN8yxcCHOgwc8/uf59oz84MsXjlM1qMmynNYnRwu3gaRbrJpCg9DvvDHlmU +Bduc8hBTir9HHO/LpALT6GaSV9hWwZtXE3w8n/bFD4Xw2yMuSliL1PxNWoowALwtqShoTk2mAtF1 +5hCiLKY0F0FcHsveTTzsM0T+fE6Y3dj3B8JaydTwRPxrgLBwBX+YP+Ig86evGkbgwP0t2deQKkTm +EWsl1P8Z3Rnt5OqFcV6YSjiDKnXlkmaO2s+V7jaEyUpGAGYzsMfB05yRUEighlkDIEfl04fLYA22 +ehPU32sPOQWESwtqVPR//ttaGRPV8q/Q+0am7vpr1dIRpaONzzqvPwMPvGwYwXHizU7MUAzRUhqf +r61wLitZAhmfUDoxRAa6yPbxG34ZYQt7Sz4Zo/94Fz91jMiyoOewjUqlhnQgJja1emeBgzEIrWGP +pS7yJtrqQs181wQeTr6d+WespyEdq0C5Uokx2pC0fdMpDbBxmwPYFPd+wueXzhLgiittZYJd44ZD +1v/OwWDeE4OEo1neCoSeLaDysY/y7vUu9SkInGZPtsUkwPBtC6xzy+adXaaH/uMb3e/ZJBqQagPw +suSDD7Ads/uup98y9cT/3qNymg7G6tU5wki2/7nodS+Gqf350vBp3Adtcxf8ozcLLaxmUZ2r/Omx +GVtxxt/RN3b7dZF11HvIiqg+zUtLmtNcpbRupo4eSS9tkjtlZsdAqgVAK92TE00RD13WA2FlPaUM +gRBWsircKeuufenPHV6rur/8XNZM3/tvSeIc82HE7dsILc1WAMjDioEyvoUyFEwSOzJriRZw9lAw +Ix9baFdQBNEEkoOmkHpedvp7y4xUyNYJg5DQ/IDOWGdFgoLGnuNA7i23nL6O7YULahUY5RQre016 +7D71J9hD8xa9G0nRKPngWgEFPwIeXOiuKFOj9Ev1twAvpJwwfhLP5C8lkiJfNqAyfsJDygDS6O01 +exhVZn6Om5xDZ21WEeJaFBDObB5NUnteWWDUWSuljjeq6LBpUViZQyUhzeldsSET96yKz71R/1zR +RH4SYvvMTdOaB9GV8nLkpC03612GmUlLsSLbIbXopqGAUKCci4q0QVNk6smXWaDKAwY638ijayxS +goCf/j1WyI7ZUFrnOBvYg1/TRM3YnLwwo3bn1AO1ToJA2Y68nyM+3dMG8OVqWLX7J0E136JWqN8h +ltuh1kHAoqX1//yhXn3/ND92LVPAMoARgSTnuwusVNVD0k0g6HFaeXSV+p+sjrZdurW0TXy3eChx +Y2IEgKJSGLE5yaayB9Jm2AKK383TjJWSSaeIw7+2RzVYnft1NFtjFKIm8V+AkS8YstYmjmrQaNMN +c0tAoZrYEvpIfdE6lzvCAofx5Zed0pT/ufjFhnhairoKXq5MEQAJM12E0ExVDYuSk/nrWKjc1JMK +J5tnCyZlbGC0N/qy4FAsYGp4QElswmLmVrOOVwHA+022ncjINI043vh+4RouY+dmsHGwzHEBNStX +Ov2BbysOzxKlYZCKJtYpqWumb+Xialr3nGUzWYsPmbc+KSb7nKKCa2/6DlYPZYWT8Suozo0NXwD8 +BeAXgrD+XPpyWi+cZrfMYHevtKlwi8ld+MRTnzMjxc7iOPpc2Go1COmRJ2UXdE1ttQYoJ1VFeqp9 +Fry0TiKsLte3bjanquNTo/22z3NKUgpIP0SVvR+lfTT1CPOLgL/MN8Q3BMmr/B9dViHhHlvcNjbV +c3jSNfSKGO8+oiwMF6aSTFLxr8rB+CSBVM8PKh+cGLJpsU9fqsXiUghPACQI+5Uv74LzwVSsxeZ6 +2RWUfs5J+LvB2pkeiNalFdoFt10b9x4On0jHOYm2DOfsJl3RvzQrKixJMuRW/o9XdI6Nn1XlJiFl +Cr8zQuhExVGM4tvpPPDIGVzoUxhTXvF+y9GUUHygnwFNHvJXkNljTKDBWSNII23AU4W5bZbuE5ND +/ZRdxMX/hb2BMpmvg9TXGPx+aHxLlzdIVk78nDezJFaKMwxXNP9EfwRP30PKfj83MRa+AkTzoM5h +jKPbu//+KcxAzoW7REL4Q8AgN5WWkTJhgBs9i1ibv5CsYO9PBuBgV41DKx783Yj2XxCVqgBlKv2D +zI2bwaT+FjzWPNwoGoRJ3Sq3V+PtDj2O2ZQyb2e0qgqgCpeY5blPHVK/7njdCTYXodOwSApoAizE +RxowiUSvzQjPX0jTe1OAosqHjyk3Yoyw5ZovvY/l8U7qC9V82ciuyL7Aon15NAVDCNR7m7N00h88 +O7l6hFptEp/NYNQ9op/kxPSFhvKIkb0IsIwsbWoqWKCuCyvdJKz8/cncpaOevuWDRKHGmVINGLUY +EJh0l6PYJ4CTxN/4n4Q1cChTBm1CrrAhNqGak+7AAvYzcasVHKykMWsNNqRnDFHJ8/CfG+cP9Hk/ +RDAatBdTUHgPxF6IPN91UG3DHg+cNTdF4umOCzCIdci0WAcJjCSc5DfUXudCYW+rCNWOPmwTUfyB ++usrDLMMoB/RTJfpmgv5g6HuQ/YjxoftuOPW+Z6B1iTB7pWiGxqJHHNqBvtmPNc3g9BJMpFSO/TC +CfyQik2WjhOIpteQtvrX1bXSUpa1gxs0S1Scap88UztsgAr4k9E3rbCBSdk371hQxwhtwhzsvY4Q +0hFD6N1uIVkxKgY/doVtPjj9SG9SPHtX50E3vJm0H5KR7i6eZOjTfR4zPB7QXjv08hPUyCjeQGeP +T8Q8hrRINgMafHr9b2R3Yxo0SnxK5n0h/QpZObupeZVkGKrr/Lf09Yd2yAN96Se/DRQzVVvKQ1Zs +0P9Z3sz95uwDDBQSOKdxld7YQiYkRZ1EdtHTq+lg8T4U/x8G2wN1ib7B3GMB0BtiLSxSjKdKuEyJ +1rekCnSeKFrb+ECeGsQVfeuY9CL0frhwzEAKjddzSj0qD5iDliwJhsYDz6bdF8yujR4J9irUBGGA +DPohai/0lXRjVyubszpW0Cu1/T8WsoxgX4K3ugMR8r6/hmbVV9mudnREZDbmaJMh1Tk7bfr8kUix ++zIlC6xN799AZawqMSq0Nf7Z1S4ycwLKgKdz4whfuk4P/gnjqxqinFYnZ3rzvTk8JPfZ+F3pBFD+ +WBF4mxFpdTVCiKwN77GwWZNDtLDt2etC3NsRaPql1XEbTUncbBsslT4CzEgnNIzdTJFghBKDuzpf +D45CnY7e6l7BrDRlDy4yWHmzUcttb5Sd/vtxe84AG60jHcOSpUPrIMqoFgSHoYRJ/hle1zEeiMcv +Jr2matCOjABlMicPQthOS4ffhMXeu2PaUjdNBk32UC7b4gZeTmAmEEBkGnyR48isINDPpReub/on +k6WeJSqgHbbXGS/Ub6Wj1Qk/z7yUpNxyJB1IS59V0vEc7fzjAZnjsiGfTlDOdZm8eCPl9yMtydFX +iJXsWZ52cu666jTOqYjpbVhMDOXSpwgw4KB06fuzlEdp3nXrMw0zIYzD1wOeox1OvEkk17Achefb +Sb3H6yECCYujNYMVKj4DaN2CH303BU/xMEkyqzX2EzIQ26DbXHFCyX9TPLQV4PQjTVTp0V1eSiwc +0PDyzlxugyWPPJ2ov547F090DE72SX3AG2POlGQ7UvSskipKYj4tVQebMvokpb8L2PcSw3PqcL3t +I6ccGQsk1IEhGrkc4tLVNRuLply1ds2qB5mZROSjwbaR/rXR8y56L3FtftCKynD+Xikw6Rf3SSJj +0koV5ZYhO1tg7siwoDlXgaqJ5h6wb0fvBGfu4yaKrKma9YFJ9r1JX/ypQKyor+OirJglYz7uTyOw +WH1Zyi8Or6QLUC3yJec9r4EHYSLTWXSVXPtJ7fXarlIP8Ba9dHFwx6DkRkTwPxBVBh2SHXi3P6QM +0uvjVXKaiWT0QUwlg5m3OsEwHbbSKHf9zPmxCtCZ6xQNzDZ/7JP4E0D6j2IiNblo/GNV6rfuPInl +6InzBEETaREclKVyg3WkQ3cH0I6sYW8TmIEJhtUk5Gavkef5gCaJzwrRHBz33tzDSo7B0Ul+a4gc +94b4la4E4aT0uU2PMmmOab0fRlPUQ7nrmyvn6rixrSfoaAAeIcTMDP5fdwkgKFYzUHiumS+vx//0 +j4cLffvWNMtgVJQbGD+6WSHVHRv6xyO//giH6X9widTRmWReX4GTQBB5TP2sJM1VI+fcADoDBPLg +T/3HpDWBWoYUGG3TwrbifrjVGyOgSnAl7mbHciqGxb4htexuY3Tdy/WGGjoLz/Fyfkl+ghcajeeY +00eC07oLTeDut5y04lxNco8Q/QmLswWczA2OILHUBRTUgFHW8gzXqFdeBE26aS6W72vptXM9qbuT +awCn1nnPOJjrLm3UitIs58p6mT/B+XfM4uj6qN696tg8FnGWUHBL1N2XncB9wcgLvYriUDJP6W61 +qKgpNyOeUKeT2IvTQkv4TWHBU4XMyPve9WCa5K5JjV+sPrXAmYbOWmPi4mLHzhPVP0Q0If9gc/n7 +u7rjfcd5Vj5UMJBl/FSzhL8COBA0jlcatQJF1YVhcUs1pSa1fJek3ZSIGUYa4z+gB4WSGsvzUTW/ +hFN9GDPeeZPACn23UiV//631WL3rkrmYALOHd4wcgy0dnaKeY+F8xPeBJRGCkY6XQypyv73NJkKI +vZGl0Lt6Z7Hd8P3SJTl3zCJ2uOo5SCGOTNfs7NdOVwDflRz2fq2UcU3g2EpmrEExBkkR+eE8glgK +aQX38ZaG6/t66Q7jvf7uK8h5WpIQtoKYz/zrP3IDJ4IwkbcgQg8ggnFogfilAoBAp3Hyd+1dL5F9 +r7+a4qcmfeR8V/qOvWy4bkmpqb0drSeoNl7UCL+0FQgV2s+P3+NgonhIOuvj8VlUPGZ1gUoPmV55 +6gv3Z1vJxD9i2i95z5PNHWxOICZnmoYLTOb+TcS/VoA5e8YJOJAdMOYT+JCRUHxUxorTZZhTDu2r +bPApSbIvSk9uMS+sipMfcoohJgiExcUy4rfBeXBNyUujroZDYhJRriZHY1q2tQVmvj0WstAv+CjA +7mtV2DX5hfLSY3aiTohb10Sh1L5Pgroqyn0rccQG6cW8UC045P/iXbIMwxdzXV0GbEzojPVY04F4 +vYKpHzY5uBu6BdZ/fuyFSw+n3NI2yAZ+9wwdld5keCtG6/lPvBw+1rFmKQIXvaR7Ox5cpN52eljZ +7kHViq7XEdjeEAQ7DDQ+Tf0t9+FgXbuPgEukZLh22u36rPaXciRYg5T+A5I5BEzbMlYs4FNcycKd +pCUw6F319pzWzNxjm0hMeMSBYJAdMCWgppV091iXBMvOqaancgv4aYQ4Ew8KJlmtNBq4uBaJ0+x7 +Dz+/C3GOwntFt2oONkboFMjd+vHKwGYlu+nMwlH0Q9yks676CM5RiiNvNaAOkeQiLoiTxcfDDXCT +POxMvLydW9VbKJ7QwNu0UCWHGDR2wDZLNKo38U36Rs3oLK/gLa+zFCs/A3g8C0JJF2YsTiAGJQA7 +3dxopssai82JH7itvxy6oecoz8h7Qku85ESSjUJqpuz+dIJwew9hu54qQHitRTYg8pfmFFVdovSR +YXeNZDoD5g1lRwflQPlctm8mtYcTWYlTFuXazUXfl+NEcUSf+kTT5dTims4nWYd+M+qroTCf5K47 ++wXtIdQjnlexkjslPIOGEF/YlM4LdBzvAf5WLCQfP0n7D7x3Jx+RTqs3VbR+0/X95Xia8qO53FaD +rM/OQEg2wWZ0734g0pG+7bV3QbsZ44TH0DxnuplVVU2+IEDEE0vIrcbsjneS4tGpeQwBAko7PWgd +Y+c66+5sTbBG/pG84qxRJ0Rk5qcwgms3Sr0YfU9xgCeiO4mMI1CSihXOQx/mdU9grjmrdwTwSFrR +u0FBxPawjFX3u/c7hfTNi822Zth8R9/dFIburqdgHjo/oedIdO7gl1usCmGZ1ReaR0tH2j/1utmV +b5rUwWm7C43jZb3PUKG6sFz6TVLmnHaxAHb5/5vYPdwxSjwveVBksAdD8LxAjLdMnXS1498HKYgR +XLKKUTES8ytdrbZRxcJzNN+NGSWxrnbCLi28GUfOKNQlYCQjoq1xzGerse6axmWi2ZCj5nHxDDnn +0MZS2GAhWw2l97oTlJnWeR/WSDnmtjum2BHwsZ+p8CW8BV9jRLqry6wp6XdG8YluoT3ffHImC2mB +8+1T8c9tntyegAkoBBPqIPDPNu0hCIbE9HzgpWE/cH/A0dez93FWpWf2GwqFUJTyUJ4jx0qe8gIU +w8VHgbnit/ZaVvXTgTwqQYfBmn5Gmt4Icfxx49DwvUTVi88hdNQCkv2lqZCmbJtM5gRtbeRs4IgS +ARl6Iwu89b0RG/AIMlwO2AYxB4/b3+8xl1y8DGWVPaitQeHo9bLO24GltY2H120P0pTRO3LLMsHn +K2L2FHhyLfSvSfvjKfWfts0cUMz+4+U8TwHNNP6frJHx6FfguP6pT+w6XN+thuQRn85/d5ry6l2A +Hh/tbDyyg3HL5cHCOWRAeLje7r/8ucHnjnykKIBvS6z/VT2wRtF6bb/0qA15dVm/RHq7+rkmBeAh +pMELHbELtIPIfV5tOnvFbaYwRKPX6edpIoExnKc+U2pRasD6Rxm2irQXS80TsqwQIZL0F4nnqHy8 +pyqzKPIYjIaBt3nbEbyi/igF2IeWo67JQuZ4kQcTelunIoOSuUYYgb96jEk9N7iKVta99f+0/c5d +WOU/5v7I484vkzl9EZjJAbf5gpoYLy5vwpUCHMjRA/AvjjhOTNehPlE1p+tZPDaBLKTZxs/jebHL +iPWVYt1r1S6bVFMZtiJZlG5hDnZOJSS9DCM4lKdWgKh2MKpUGzxn5LNFgAlw4o0U4KSDJfQq2kDt +19oXlJziUCnSs2TtOKdgG+kMVr4VK+QXqd4Zlf8eF7tRfDsCwlki29BfgD3VzU+1MMwbPBRy2+Sz +TE0ng2N8S/nOnCQhriLkhey6IOkCD5vBZF4grdjUzCntjFCQ9S86kHTDDe+/u47bJPPo5p71GOfH +067Z65vroYHZXJ9IkOcaMFgt7Y3FRnWQt3SREnf2lDJW2ziwchvmQxYtLSCbks+SXjHJgDOVGOL7 +OO8wGOiedZs3gn51DgrpM5H73pm1k9Z6JqW4v0tE2MelQIL5HfZrK4X6IgHf2TGNqxBua66RcxOO +xMcMI2tePAQPUMH0iZG6be7RlDbACziYvg9nG5r1Y5LLCD2xClspLKF+Jmk/iouAtGWkcdT+9axY +4yQxhxLWHTZ1bmBtHcZ6gFEilLJrsCuaEs0fO5MxAniicTSovmqpQF9NMqMSHNHeRDMTyb474Xmm +xLdac72F5/uTLB3nLuI9NG1gpVUayfl2xeZvEjui9U4C5VsfxPN1Yg/b0hDbr7JIqAx34ldy0fgu +rjCnI7dm7ij71TjVWUJHbh7nWwueJXJFnkmTkxUx/ctZ/MYLvux3ofVax9ocX3tXYJcHBTasYsKd +kDSa1a1Wdtui4YPnUDqEDOBsXbQ/ekqboZx47We5CHzSBmseiYZKVze1NIod/qnj1pQbVzJ3KPvB +5TEVuefPOOCE95h+pYuZHgTBJRKF2/Xswmz9Mzmyh2sJC4jo7sdRja27dHRCfCDh6Bvt4NNe9gul +N+GJdPiW7GeUOyfC+ngoNpEXY5oU4nucvZ3Be6626kAiOSqx4u+UOJG7Fweay9q5S5cNy8uAViNp +peB7eqz266o1x0rg/LMYfNOcDVN6hyuHm6llL/JJQM8Qfw9ixJSGmmAqdlTZZtUUP4ilWUz/gife +57DDnaztJgNwhFbQ0+4Efgljd361nxE0hY+eSWuFfY/TJGYHtewpHOUVVtSGHX27oF/dsx4kQ3Bl +d1nHkA+CfZ/HVAtxjTscmaVObgc70WVqkykickCaVJPSpdKiHnVS2JAUdSeWyn6PA+Vok7v+Zx9Y +YNMgk+p38XJ5tkToDmJztfIOn/y0tgQUVsvZnND2DFgEkCg5w1JKk9lHK57pMGR3Dea8dOZpXd+C +fRgaN1lS0YJ9SschJTPge86Bz+gvPf2FfED8aO7BHYdUDroaRVQXinhRzX8KwDM7SGk5YnD+Klc6 +Xl30VGI3V5w4V5ERb+YN/SlApVBX7utjfGJT+ZYVK6FEcIAcBbvjm2BrLGHhXhWdVH+5Z+wVmk32 +w/4fNdVi9tYo1Do78D2MDDuhR2IN3/AZDCidPx5RxCMWb+bOFqepvFVUC/7AzzDLQ25MZiA3l+O7 +dUtnmJ+Oi9CnlO5sZrpDClTSEg8WTPKGvRqUx16K0MSIVJt/vVohr4+wQCtOWr6oJ/G77VOHANm5 +z1BYkYhIb/r8Uxgr+v8WgmtUWQ1J6G1HzplGe4iSpHS7OQGn5+Bjrz1rbP93ZaEfai8GQPvAUk3B +jSNWZb8Epx1RZtNlO9j5hDiDPs3spYChfN0uzPN+XsITxwrf78RIEtzwku3w7c+PEnIHj3D923ox +f6QjhsNK7egiLEgsnqwYBMfhy5WYnJIfBiGO6o2wcQfWLgI5bgQSiuhFvjQkFH9eLLmQMkr/mjdz +9di8mSh97rcC/LR5EqvNuLxSOLT0wwOc3sNo315vohzjjEsLgahwBBKOGxIPd1JvQvk4hJoUQ2fA +2GOP2gH7fXyZU0P9dKNJSWuJYF65bgjvsUgzqC24E6XCd0tSFnGj6RBg1TAUNpwmKHRPy4yuudpq +oP1j9F+hizOJsI0Up+ZwEcmBknzCMhcR40JaEVaI0ObxMmuSunq8hORtqQ64BdSy7unFo/CRi1+3 +NRZaRT1fhV/nWNUzln16i4KFicSjuH1sTyR3pQfh8mJI4y9Xyu5aH/H2jkxzXRKvaQIMjBlAbGeq +hvV/viyCK/Ob/5Bey7UGAEZtLzgUS+73S8sLWeDPRPlQ5Lh5edy72ibz+6LG5PJoc4FgmFt2w+hs +H7KrrBztsJPxgD9qgI3+N85g1NL+IzUpsa0M2AcWwg9JS2WULgjNnZgBjSaCVZ/n2H0LW/6WAVQB ++Z4Bai8pY4aJC4ewgHQ81obPnQ+EQfw6IlCzvTsguMqYj7xuxCBlHayeJx7bdBVKMFJzDyBw3KtS +JTIrcMkty8y4qcjiuKVy4l40AXd9gI5Ghd0/2Yg82U2SZu0QVLzqugmEyvodp0xxwD6vaI1xwI+0 +Ntwdq+1N7KNcN8AOxl1EyPabB2W08Gt3bNYRwWAMA8Im/d6DLlO1bwVPFsX31IaStXxNWX/tnEBU +Brc1CiYU5lj3olEerGr1uoib9cuw79MGjU08wfFzym+xzPW7fZyl2OwW6vBLmW0Jel+BjXH+uBDS +YHnKFDr8KEd20AmZmIl30KSY3oclBHYmqwrHYD+nustwFuRfZFgdPZHGvR9lgNv+mxTYmu0ttuzs +eg5UjQhBRw1HFFmxFSwq8DTA+GzQoVi9x9qu1RFSpI0pOgI5QpTeG1fxnftrAD0PAT7WGOQQLZQZ +Q/AYxpcoAfsy8Njj9SabUvkOl2Yui8HzL32Re712SuK7TGzs7qG3atdnrKalAzjUuZRi1b5ZioeB +S/jik8TRltfPBzvTP7CZEikRAOrTLEParJTHDP0vpsR9F5lVWP7abMX5fv7bAEjGRvnn9g9rJ816 +Ocy4NMZYDIysez9w1rLku+/UPFA19DIZZX51o4plwCA0Sww88PL41vJKfVIZcUjcd9CY45OuqFA/ +ImUdGaZVFtDtvcQKQKlrC4BQ/N0+2HLqNOpKiTaqZqQmssD5KvkTYW7CO/pvMfReAdZdgJVjA6Df +5Qu4EPrBGohKFKXGNfFl5R5luq/TT8x558h7U8ybW7lDc30MwqQn0rdyE4HdZvX8be2WGD+ukrSK +Y8yUifZIu7G8x35gBr0OGiS2iONUaDZNNRtadyEnVFvlIy3VCA7/xjOlo+6lqYKpGAcvIZpRrrKc +dPak9xZmGzn8uR2DEM/48Yo7jm24Ub/iCdBCHtddliTzaoO8Md/Ka89Dv1KaxAxiRl3xfRtCph5m +xZZWmFWKF3lyN905OcsRTnCaoeKlOrsJRfPZnzu4/MoI0ge+OQggNSsNINZsGircREj1R/DvPBdO +aqLDsaNhtyRwzPVRnkdXEXa7R8U4+O66h98LZwuB4YDTIhid0cBmE9F81aVKwKjqRd+DmhquyX/F +bGKbJXfnis1JyPy8EvGtecZbN8WCmD8GvyUAjX2ai6yinghBuEcOeQ2zKsQeKTPz3Vo+7gKX+ISI +S6u6Rkg7xEP+Ni2wiViHGK/ONd9B5vpaY56kMuofHhNMlMd76M/181zQepOH9C5BEbNr9qF79HZh +/mgGxBMWZyxoelY6qhC/8SEHVXH+aWfMyDCwahe5FDDpXfQ5uh7iuVY6mQIQEwH6gdN7ll+gyFu9 +fjcUkE0Y06bze8a7LHZSEMt/gf4w8Q2zgOYT+XBRkBXsCzE9ZVYltJv2s9sW5bVFWd9J8Dcb/J0Q +20p0Jm7yDbLTaBVpUVVCEUmazFcEWIWIfvyz7/pk/ThCM+giriMSaV+BGmX+6opKvnVbHB1xqC9r +/Mw2rZ1IDx7gSs3W9leZug5IAP7OpBQR2eQYpUBN6nTqudvEPHkU19J5JwVVNwjLavxpiTWU9CQ9 +tYQNCfeYTn02isUqzlO6figS3ugCACqsADvAtBg7dtA3ikMUZZMK8/Lb3Vc0QiF/fbvhfA7pQqfS +BNEywV4OZ0E9jeW4iz+QVotN4cJ+7R1W1imjFOjxISmbT0Nvle1jN5vEBOKcx1Pc2E1lyLusov1W +GD2d3rXjuE8IKgOmxG7wA4zbkc7hPCjQ+OaTTAuH470q20T19ym+B1FDAvMLUu4qfeLMzwxzGlpy +w0FajKDce3aZSqQ7gl6aJ0tbBl1ch9rXtTVDIq122bdC83OzLirSSJStKQK+v//G9j3wePsnPI+P +Ya04ACmmtv23LDa/qEsojWA4y5q7EvQQDZRVsjjFblwbWXIMaf+lnRpF/KkAjKqtZb4ie6U3BACB +BsQFCQ/jg4hTcWi9+z7G6mTB+gsfql4Ps1IAx2XfZm+nutADk5wNd39SEwv5xZPd4Y/aNuh4Hafs +JojODGQ/CNqrK/Pq7ptp1WvCuFLY2ddhYIq4fxrJSka+zHvalV5osAie+F6IrG4IJqpHIFJllE5Z +SzFi/hgmB864tDtd35QEfpfVVnKycT42JkKZp3fgRMTn1VomPXKYrW6Qc6Q0WlNkXjz+5VR5e6Ow +fiM5vBnFMBBAtgN9pfhbQV1fz6UFjXrnDBMK57/nZHXmXuj6ciC5E1qATsi/z1ISItttViZm+rvi +eDo+udEsRxUm2lW9OPR7mWFuNW5Xc340m9tcvxWSGkjqiHCDDAkhMNf3EZRiIaaI4Rpxyt2VTIHM +PL/zsATAehr583C+5EE/rdxO5JAc3eatJxlLiQII7lFgxI7/sNBogRXpp1TbBRwGBr85H7gvYtoS +IcgDu+n+amqjFZW3jd+i0l1yX+IUNJ/VOfkRZiEv3BJDxNu937h+rAW4LOymtYYDcWD/YFkqawCp +tnlUKkTRIZ3J6fPqfZW3pyzbMF2zi7+wnS0aGXMI92u2n09asiE7lx76HBoGvBEwKnvfbjHJ2bd/ +vMQ6SEbk0uCm5IqS3QPMnksqswP5bNPACCEUgcQOH/chORWuRbZVH4DgvquLPlKTk1riWNiNLGhB +gR1zFRG7lGH3225FFydD8ykvozyMD7Ty4gneWqWq3O3yox/qAzhon9ccArTZlFrcEXA699iOC4qI +V4B51zilUOh8B2WDmJ/QOqaPBg5z9LaxhIGeoZuEHMMef4U1KMtkcr2KqPiH8QqCn8wIl8A5eWMn +45flCAIFGSAGKAzVBYy041olabcbklnOphGEIEzZJKuGH7t8ln5DWPl/H0YaxMlIHkQ1fpdsgR6c +czIzIIX20T1UxQcpcPQ2//+JJUtDvv2sqHj2WR4XkpgEbWIzMcUdMAhyfW5oNCZoDV91K1kwECSB +oIEHAF3Spdcjdf1yYzZ+zAAkmVPzXjB2dNWkQZWmidexs2t4/wM597TB5ddakPV5jJfbJOOsEc+n +tH7qPJcmnO+3+ZooLfFxOFJURasARJXhevB3AWJ3MKJ7YNEvOCn3+5oQVB5Z6N8BM3MKsfimM2Yw +7fK4A+bWDZJ3D1HZDwYYA02OzSGd1j+yHPlC/neGlBSeXaOLzm/4ReymwJAqlnQf2HdRcUsYsBDv +/GeFyTNaQTDaU/sGLAgpI6Xct5Onp0IUDsuIPLcUM+i9b8A7s40ndTnSYxJM/IcprqmwH9dZf0XZ +3AEmY1jJitZNe/Gh2HI/q50gDdOuy4unAlL+b+3a4Olg7L/rU5A5WTYsmOd9u3zesidC0trq8geb +YgBWZTgsTG42UTib4r4ZBZLYNvT8YGAjY509uoSxSzMr5qCFwsrYjHSyww0RgVry68yNPtHbMi0k +YYdHN1ZKgxCbrx+tAbplOPZ5L15NU0+SlBq6QaYjZtOXWPGxGOZ9/UDgPssbJXltQjUtdVxWYNwu +HHR+yz5xbeoNwNIkAytitRMfAGe8bXo8+CM5HRruVV8QCzNztFhrBnmwwDTDYYOE7LVshm1fc2Y/ +59Kf+3ccunNCwSWShr8BU1mFIGEsHa+UmDYpw4BDkoY8LGmI5nDJpFCJ4vuUeZCr5h5AR/ChuQgk +U9Wpf1w8wlz/iuumjY9u/E9ni1hR7mfYdNgqh2+p5lBKENdtA6Nst4xTEyPMKtwEG5gmU1Y9L2XM +A5jv8WypRNWm/SuhFv7GPV0yXj+HiRTMYzCyJdAweUGZBAsaknFpt9rQ39cVKxLiZPGdCiN2JH/n +fzKIS6D0FXR+ZsoVblNAEuvDlC+2//g6Pl8l+gfyi0F/qN4b6io0GzXLhFBfm/hF21PNtOJEP1MA +p1YUQ/QHVl90q5vjqZbhah8er1REIrrT4q8MieaZKk7L7vwxxmXWEHHG+R/uZUIOqktwDaxnldla +uOAYV/Wt9byQnNSZGs/sINCDbDOcxomSYmJiy5Czh+0pEHXo11BvHQGLAhaDUCzeZ9hrziBzLoy+ +vnFCsmsRddOM0X/qoyShS1SEMvX1Aazpe5wfOvNmTjh65as4phBmFePGzl1Xm1cjHaQQ9mlvvAnJ +1uc4utpkrgCWQzVdpntWYcc1BczFDzRcwqQkmk9AZFItLgEQ0qOHdihX7La6K5oh6jx8iFlei0B1 +/ktWeuKAGWvOjsuyXCmu5C06ta1+zfivebIWBdc+ScaPHtXFeIm4hYJaA/dtXzjWZfxCaAhATFnF +O6YOMkxKKklilm7Eb45dTzO0mPtI2qbH+LMgW1qn27c9EL40nJCrrtD85nJyLQNYA/kU2pfWFkbT +04DiHJVGGcElNSQPNpY+jstXLR1Pg/LEkNZ1BHL14T8UM3wJF5v6DZZTKjMsZNdkpGcePSAlZnDl +kY2p/CBv+rizdidJbXRO0bc+0n9JDbIaSxnOzZ/wpsk+iDbN2KJpL2l+rerQbtYyjQC8Zjr7CqTK +1TNcPisRBa3z2NQzsfB+mKJe1pgRN9vzDemr+oF5pZsRldfDA3lVEkc8AvvL6QHY5LTomkaipHlr +EszTK85IdnfYPjKpei+xWqbmfvwpX+qg/4vo8uhyxvEF9Tz1l/keLa766eaPq6KNqMaC/Ek8OBkz +eWNLS0/+HJiuDvgSWldwoAGhEk/NooNiwKzTmlzb5Gc3kHArUQjcwejhm/E2XZ3d/WeVF7r/1D0Q +LZzeUj/4/8aAYFC8nBQVnkKlmU0ICqFqSm8/bfNKuplUtDivVSTX4MWY2+A7pYvh/NLG3Adzk/j0 +zSwQlGDs82FsbWKK49yEvXtAIjSxBsrdLfTQEMXzwhcEItB0xlMpFQpCA9gGbY42vXKJ2yVdktPN +hmQYyLIv1z8QaBEQJ+Dl1xJm9ZxGix6msCxxImn8Uf1EPcFmNZ0lGaI1gyV24upaa+gabsA9SIwz +pz+zwidZTvTHy9Xzo7IDHc+zZmwlxo85r2E+AWOjlYa2DYUTKup9sXV8/UQPku/xdIPBijCRPvnB +7Uo7vi6lD2eJAtDL8MMn2VJ+2Z6kDHUnvcxQk7H0FNwzieByx06kB/cbApEYZTW7Lbvl2GdCDKx5 +igh27v2sd6tuJnaKuNEKivSCRSvfO4bBGYb95tVNivIIQbbnFrLpI6qDfbd8TQPmV+X6PlpdYELj +MNIYe7/tKiw5Deq5x6db/Rz6B89kXhzW1SuI04nPjBcFxqAIeINBftrAFhOGexEOhgifHESNfL5T +EL6RX4SOrod0tCPBAvtFU55EKcCJWxy0EMTh4AHNG7XW+BiGH+WXmKl+P3pnTAnjcic7Pu/bUI7e +pidRR8FCiy6hUbrqW3mnWB6KC0VjaCLZYFesVObDpfxMWeQaGCdIzQL70w+O/pRrNvnCekKeiam0 +/7ejgM800azYAWjPlwiMKPTha9mUW2B620xwl/1rHboxBxb/NOzRGGpCwCMTnmy0oJwDVgf0b88p +VVPxCa7xE51oeSOvvjf0nTQxkm00wu6t77w+NjyyP1lkl8P5FNyPAvn5IuR4qR5xoMNvJ/M1gKmV +OIX62Y2rnqe6Tzd4RpUroHRGIJ8N1zaUEGqfcG2T6ooOhVNJnurCvzScabyD4eZbnKTw3YbGKudn +DSWIg3Wati+fnh4Qcp32lgC8jMmLnTm1pn8SZcLb9O30UNBLAfGaTKNDkvO7d9Ork+k9BTAn5SbB +WpUCYde+lnneM/b/yUDCxFViQJoghsCvXM4fNTySRRnMHngigsvi3E+PfNyVL5CnmaQVwqhxZ7Ew +lnFk/4YzIhp4nirbUz/mqi6QJj2bCHaJd2jRaa8aVR5xQwMmKKgmZDHylHeGeu/wi1wPIFuI4rK+ +v/ZrPJb4pUspZMKJY7yVyge2+5mN24CeK8L5x7Rh5vJJDRvumwAfm9TrVldytvzo6ig0+Ft6FyO7 +5F9hDPVwjLqZXFqS4shEtNnk+AZbUa71LiR9EZggPazWxniiI0AWdYI0o70OSo2pLfefAUKZx1gQ +e1xWL5vGFtuHjhc0MzHf7q+/4FPXiDeuprEmu4yF+DQg86kEECJ+gEd8CvohtVeJEVP50+HZXVyd +vJvbnDgAmklbVwF7und0TBqut2wNGqFLMWibY3DQHScjVeHCX2Pp5Li5z8rwwHOx86kYUryxlzCg +KLpHDWcqUJZo99Xo3bA/VMpW4mPBzmqAbpGGhfi/bfmFAz0nhCYxoiwtlmgpSx+l/8JkZPtvLv3l +EJvTL2aIhDn6gke6qlhIv6xyV/jk73+mMXnwbMkyXS6Kv5cbs09u4No1Z5PxUj7KCQyCwvkaf6H5 +KUHwZSGvwzchEzswj6V+6pq/VBKOnFjuyUjSD2V5UddWM5xuG2EC0QyB+Ht96QfhQz/1IBAUhBsx +qmw4pNiWl6FzNucHYUrwPCMfitypdL9Nmbw4WK2eHu0RynqCzqqaK3Cbstqp/FGfjbLNwnGQO8aF +Nl8WxeVr/v2QiDNPw/3QahQ9izJsplnTue/4ZurgnyZhheG5Kfpz6OKinuK4YHaXQgZx78wd98Hm +bEIhkKY7o7/mlrS3lbxjywPzLHlyokjdsR4/bdzc7uwdYuCjQ3PrbAz4JJXLZEboBGITxpTz0cDl +26jFxNfWFSF4iWL5f5IGcBf1+3O5N/1uTcEn+DhYPTsDl8AF4I08aHpRxwXGq8bJ8AAEbTCs2Fq8 +qqQ6JPKS82fH37sxRKTFxlGdjsuRZB/fqCdHo8GzyB+XUtOYIJWK+JUsHR4Gahqn0QbuiDfEUyC8 +waDlYaJhxgAMg1jJeNw0etgZhcSurcJwJMQRjBE46GUpITlqjU7FBmgEXawrtznGc9Spog0UzzTl +rnMuM3c4/WCztt904B8Bo3FbKBqL+tFeDCcaHlJsud1B1EPagff0iEXXjCgNFshGY933bzVAZwE2 +6/oPeluEf2wyZwGeIEcaFwffUTeprC1lmEoAH0+bZH3kl4NHWTUZpnf6bODMfAgPiyW/u+w3aacg +JmxeeqvC6ONIToytICIsruG34cKdWWTo6x+kekDrK1n4yMDbDr5RjgFqFquN5mocqO10Y5nQuDEy +g1LK3e/Gj2xxPrpnaHwGZf2TRgiG/EsZ1EeoZf/+ueULzohBkW3gxQNC25bVCRcEKqw9TFI+aFuS +np8RA2R3XriHzXAgAp7LiBZ9H4/DsFF9OxVZPbC1v4HURfSP98xsrz2MxXqXL7TKkRm6yqj3qiZR +N6T6mfC12dPjcnFeLfb2gZ8dzCUP1tFO+7Et3+p6J1d9JEXi4fKJ4KbISIZCDpJTYsfUs+dbKddl +jHWG4rd1s93net+SgbBDbPLmJ+IuefEMnyIvuHpu6R4q9oj5hGUnvg6dj/fwjCT/Ki6indixCUtK +VXsW57FJhl2SiRyyvyLArngId32dBQ4NwDqcw8jzV95h0A05JUogRCrhdGrtJJ1SgGQTHcKpIJi1 +mP736QRE+7cSWnoAID57wzt2k3YmWR8fpCvOtQlZatYIW4JJgosF5Cw6yKlK9P0MR9fovew4eC9W ++rFF31SwuOIKBdV3BtMpQCIJo0WoaU9HU+uRzXy6aEi9liS6Vq/n9a0yBaVYbzt0h70H4NCkq3c0 +RDB9JeRKnTyXNWnRKijubYhhALpZl9AjTlnP8Zbge+O9nXlRESHwVxb7kvneQYYzwUHiGE61R/PW +ejTXJ3+oheI1EP3oa7yfAIbXKlvl1i3JU3WS0cqpBR2v7ISs7W7Vpyrk18A/ArZMZWff4iORQh+y +Dj1D0o3cBZ/2DUJ2UuBcmIdfKOWejoSkS0dX1eKx3jjlUbOQ7cruCn0U5o8TnOeUus0VK8XEBtAv +mU/Y/6qhJj53SisD3hfmEDm8/r68albrg6NNMYBnecGlACkBIqJyiK2R3rdNe93MjCHg3CG81exk +Mn8RW27GoM1cdwlqyOhPSAQBnat+7Yvc3EVIqVD5H5Ngc2tt0QSOhREHdVE1zE/K2KVNdNW2JLmf +0K1m6xCBamg/NdqLySB2tdd1HThJdk+8XJxOSy0mgJi9t+aKw3cFQKRiPYxl/QPJkuDdipWBo5WQ +QV5dgAvIW/ZALcbK/pK5RucRBb3SS8K0meFDUCnV/zHlj0v7BucR+nnGuE4cUX875nb4izxDZVoo +ynQE+e4JbJEhPuShBHfkEmFHfKgbh8OgPnBZHNuVjLVB7BWYt4IjJRk9lCzg5DzwbE/s7gDJ64WE +Nb/oC0n2rm4zEI2vX4OyvtwfYooj1vcsEZpkwYTCRVYajixYHNtTV97OSLTArqxlgOQuS/VGpNJq +RqiIlckVqyUcqV4QW9XCrmK88gpaDibxvAOd1KvXkknqeA+6nanWFJO2m1Rc/WlzusrjmMAm7d6t +GWcdMzIp/IJgh4wpcZWjS7Je8TaE+odMtYXprE/o3Rye0N+zfmtfcWi5QlQhDU2SUqxxV0t4HvHm +5UrHhimyhwl+0kQBMS+KSPfHeWAQh6ZnJhBBIbUTiePafS6SOp4NXHSmn7uvYjto/zNPbk1VQB4S +X1rXl9q62Ul6IGrT52vryw67WKlwQ05hCoWFqux9Ji5lEj57aFX3yViE9PRe8iJOlkGcMsM8neyV +XvY+Oz6Tf0vzJTAu/2riEUDACJY8EmkRN8UnFzG99FNN6Lxe/L+pDoz/k3PxNIl7N7Lg0asfB2+D +CL9KzwXm4wtecfjce5gQRoBgZ6y9JAKjWluSRA6vh6J32ycXZ7mrabGs+gOZdZwwrqichdFUeohd +9Z98MfZBCDbRxDqsdBeQv0zBfYPcZp9V+V4D+GCSkqyKPddUtfjKdVkFd9AfN9f95UsW/gVFGNHg +9yBaYBlXMBp3JMOQaD3YdhPmz8rLjGkW2sO+LG3c1270CqIwO0p68HLpNz7Nmndhrd546Pfa8A/z ++kFiHhKMgK/89nj/ElsyuoWWs6B76hQcRZcfpJDzEasHYSTTTNLbh7D00Bsdoo/g1mHBt5mzCjR6 +wp5oMJLa/SQZcZ2woj/17cks5Lub22ugWlmTmZ9Mu/06xSBG6WwamJTCszWSMA5oZU8Xmys2j3MK +6yr8N2MOrfh/TB//b9daFFDEYVCbUYlXHGUsEDwzEbjKbzUb7427c9Lz5HmDaQnh0FIU4/KEUanR +VjdJ1X0h/Q4FcnIcshydgoKlxmSKViyyq6MEkigXt3+LKxUbhiVAn+TAnQqJ18hVNFiHZyhz2KK0 +9E6dz7tjq2m5/3VuN9zM2PqvqY9t8iwNNhv53TF9x9v8jcRxBCA+q9DklJxqpCf4pES1PWZslUvI +HSzZV0tg/a7shPJb68dNAZbcmLD2Tc1hIYGP4dlfnZIg8PJ8kSeH+Xv+N635Cd+GtOymWgRRx9IH ++fqo2h9o2o/1uinNF4XFfUxLTdumdLDfS6JGp/Qzv0JGVt00NYPVSdctBc+v67H2s+Jk72U/Njp1 ++TvRhnwn/6MZ5Uk9l5BRwULVkKwnLrKsFa7ASM+NjasQIpCkm3swCJudacX10QfdcK2FW3L0EA7f +V5nMzX1lvKk2dB3N7CWyEtRg5u4hpyBGCLM9Zb/7fBURxbMooNsxWyV00C+Vp2ZzDc+FgpxPY65B +dFoEgjljjLiH0LabGsxYShBzcGQXSs/rCQFg4a8Hv/siQ1FuctlKrZq6GWfG/KUmgjQn9u/Rju5a +qGX7ye6T9Xhprv1w1tHtIFwUb6dTsk/LlYkQGRAHR4MdAeMv5rc8WTYFaFVPBVs6FoCLY7dpUFKo +a3xUi74H6mxab2GLPO6+4LENWG+/r2uT5yU2hDrlbu8lyH1PjKCW5k47DRq5obkUbYSZsoC7hVJ7 +EwjY1TrKNJfcOEn+PLRUQL0jRcn9kIVJNQ8ajWCP6skJ1h9IgtA0suVnK54vsLTUakI+6fXiSfFu +OR2MKmE/NUoPz+6YHmJ2QqyK7kAWSxNVKZUNCv8inyjzEYOG5IpFikJAqTUgST0Me8EdCmkGa19a +Ikr2N10FKe9AT5Wr6dNRUuNT/B+DQL6hs4wyg47RyQfQxwh0mnzIve6DEiqGlpxVrV509+yhhmdf +sZIuUbMTD3pa3FUnfpPkJVb8maK8jGjGgg4Ij3APquR/M3XzTGxT4WOUmNud3Vr1Klt+xV0jPDap +b1eumndHgUY6YX9NR54VDeiZyfMiPIpTj4yx/SnHN/J9SJ3ysf3nfODrn858q43ZMHdCW7U11m+6 +XzEmzWTT75f6grGvnCNWydslDzVJTGymzNmPmK19Nv3JgVtDL1jBho5oH8xvT7ZSkjqjuPyDnmsP +9rVc8qA1D4cGedPeYDaKOrU0zg0bGHo9dH1p9IfAYaSMUTtnA2ZO8eelZ+N68xfu6t5Ol/7cjsBa +Ylifpg6GXUtj2u4fOy2YclO/TiEky5mtKiunfOiUcDZHrj1BBNS2iCZ4BwZ2bptRDFaTgwjs02Ll +pKG4CsBu2CDhFe4i5GgZdHqsyXzAo5ekXY7v2hhcLDayYUkx6uYbk2kRkUwCr6FPJ+ehPeAZFXuh +dn5f3P3cYqTpFgQpcOeiSng6x/4a/VmLRszJ6AU+WAV2Xp8woNuAayulktgk9AtQ/cI1r4Jk5FIf +eV+Z/Tv5Zt8/PtWmoIHfqmvR811PnOAgiVIZsvFxW6TB90fCPNe9wGq11KNOWY+79iK8RyH5ygBq +CGafY02HufW/+hMIIM+e376s33ZRuw6lQ7jSmMMOjDM04OOTLMgQ62RNP1ZN1ygdWcPkePKGv29g +soz8WPpgRyAt/BoOOfRAaeLRir7ctQs/ecqm4a5qpw5r8VyqVDMoZDHuwJtr2PK9N8d0rB+iChy+ +ex1blXVkNOJ2vl8GfWfDfD4sdLjNXtL5oQorVmjnHHbf3Fw6+1UD+ns8BU6vQF4kEXiQFuY+ulck +qgojq4dqltFGNoh9Qg2fkKvfZBByoBGaA4A17xWHp13d+fewVOpCZ6bOtPFDYkx2/Yq5mlyQW3W3 +/bptixT9RZmRsH4d/WNbA72/OkGRIGe/1awQbWW6B4VkNbusTSsXX+HqlUpmb/9G9K8v0pqrzDpY +2QNlRMQBMIbKa913VMaHwNo8TJrcePGHMZW02uk8oQqd4QmkJQ0COLE5EVPKOGfiX7GCgheGL8tM +nECaIG7Ea4CXMn3V++q9xiW8PlBENJEO7oEgpPWW/OBJc1pihefh9YvEUcNfeTxZvSzA9jzsUERH +7xIrsb+rj9j11w/498dWDV+39eJGnc0sRzC3BGSSbrFDA3fmGTCNso3R4bO1SgiODhzuAGlHe4AM +ChqgmMyDdLyMf6963yP0yvVp843hLs8i4yxQP/+9MWMVZAxq6UgdHlTKpGzRvYc5PRoBo6i9OMum +1xRPoD5Qe+KVHpQS3Uqp+QCpvcm8nw79kP8ik5JzNge/GaYWQiwyAL8P1SN7leyZAy/xcXq+7+n3 +RFHH3MwgEFt5hA5SK0y9wyrmSwc96kcx6u6xujJeDTiBnnae6aIRBUFOOJvxhTkvCPyXqTO0ot0P +EG8KzDSQD4U+HjFJCBjnZVFe9A8nz4Dg5b2c/bwCqTLpCkYX7qyKxUy7NFI9herSBdbylmtVmbSa +b2ACbTEDHSKj7HVWFoHv4xQuX7vJzY88q/CVrooXv0oBP5Q/M9z3DKBI3NiHKeXVrFNTkrNkZIhu +BY0xBbX+wRgGogqJ3yXb2kWfllaGHbXNpvaXo3E5kpQn3vkUfJg8b5cLsaXymDRFyUBu2xV5DYU2 +X09NPoKt5fsOA0Q27fYjXnTgVzIQl+gWcNZRqBS/x/h/zXcjGn4+6s+/o0CoAMDx3ptsCyDZKTV7 +ejLOXGmGMfL4JZPb9NDUALE5x7XkoUSyMm+7TBxXEeUSPsGZKwadw1BVmmuj2Qtoxee0E82UljYE ++9rocksQpmMDytY4bLyDOD0OZV6SyB1UGGoTpL/Wi3eEmYqwo0LY7dHFe1bPII92yCYnhtqfM7n/ +oUCspaixbVOyHcWRyFLdqJFBg4Dq9PO8MAQCFNJ25Zbejnp+zoYmvcb/fNNrseczbAVQQ0W5do88 +aywOhn89n/HP1YtLBBypuTeie89/9jApdOSgVywkJXkc6Kt9hPEJbCBSHkX8FBvBYgbjYjONc44F +YG1a0wls4uhb5341Jp5BcDRdhSv6DaMz0yaycgTHbEUHq3aBeXgwteni+kMnKsmSS1Q47iJGYip9 +V1nEFMv9iZECU+Hgv54wqr9NbkJPdxnlJFLacbRUjHZsHK2F1kMR8jePliMeFHrHwkrj9HuTGbh5 +ivsOHyuQ4J6SSSQx3NXoPjSnScb2Xn1ydrHZnYh56Ri0HtQYbVNb6N24SVzZLmMwMii/WvP4x9xb +xkVcm37lBRDMQHTVT76ScZQZzdBo1H82SzTXds0JigIJacMVD9VtpZy5fgGBEUdsLSnoMdIm7Q6G +LkofA2G92UC1AAUWvCmzmqXfTAeRrqOAaZ1TDEtnZOTj2sfFt80WLRTPZR9vPKbSP+knXlWya654 +nPm4g4WXChmvDHQU6JunJWS5xtD3sOH5vNSFt7mOMjaGk730h9cg3WSjFRJTrdMp+XC7a7ACRs9r +y83O5kb8ccAvb0kT2XaQBg6AoB4Xx6+uGtsytJY00b0L4xb5AGH69QUDW5pX1LO0VIx7cqGnLK3n +LebRB3dw4TbDOBQ83PcpelEPq6gLFV/lc4+sUgSOg5Btqm+TOQScWN7GmM0JJMSULG1cPUWp35oF +B0mfJ6O3IPOhIl1jGT14IdghdZS/B9UC1B3aqETXr1hyEoJ0Lpop4OgDu6cgaLytbDZUGK8KiurP +Ejb4ELeX+meeMvm7GR0noQNZIpQFcF92nwMsqdRDhafZtl/IFFkkDip1GhrlNWYDHKH3ZEcbygee +SK9sstiD8NUU+r846e5tzEmObqyn7vptJPVEbspSp6ZMA9eAfC5k3zKfMsv1F4qZQKngHFncj3x+ +b2pUyrmgOtH4nKWLtanaPHFN0Rffedk/rovqCy7OJN8xg/HRx/j88U92eer2ZyCmqainyHjTUAC+ +3xHZzR0A/q+8HRfeRgbd9plvWiQHLMeLF0g554rbaT2nxOG6zGtZYHIy5cei3iFUMylN/iGaLw44 +LLNLJYKqPDnfLSkMChYDCieSISK7TR8uk01g/g3OsUkaYMBrcH0LiZT47O4OJ/rGXL8C8nzHXL8A +MexVTakpl1ixjskt3v2HRC3BGdlZIXHwNyzpUfsjW5zqE0z27d8ZiHqmjMHMJuBhMHSbYjV9ZpaF +XX+cGXryxAz6xQIuMT5UfoZz1480M5kxzqhwIpkjdj2PYi6NA+gPmKd2AEkDOvKakI52B4ALQIzM +U2yb77s3+dSkuyQYmrs0XT3Z+Icc7higDwL344xxfd4IzfMtVsnmJesHlmwFsmnPBrTrxMFzbCBr +UjjQAM1JEX/g3LA+O72O29osVluhglp6x3NrqK7BW/ndIVtiQprg5qCu89VJxD5h0pDJfZsJpMsT +46SVjJOoqVnGz+RUSQZYPmahbmnLQ6e2SaqpfKLbVTTaKsoRYoyDBjUEHiCq1srkFNr6ilZ/S40T +JSB5OPTX8zNM6SRAH+3pkkGxMGzo/IbwvJ/FwZG+i4an8J/a14JtRWymlTVRQR4MMgjoW0CLSBes +vqxv5E90OSw9Hy4ptluhmTx8l/dq1FzLASmC1kmC2P1hTZ8Ztr5F1WVcKTlm5Ft+xMEN/JaMKRtO +R1CsxnpFcndO/HQTPpxcZ3SyFN02Ejb/1e0Ar/xtxWCwM+GOKHfDR3A+cERiOMpcMQaR3P8j4L7I +K5YCSyvOH8lKf8A37smev4Cnrg5xH4pqXjeU/QZQE4DEgjn/nXD3APKvm4AO1X1ASsTYY/jOlx6g +LHFH89s8cVO6nW7HZJa4CGF1NUo5LZ2Ut2QVDmsxavAlLCwrAzrtVA5VlYr8iliuZVUF7nmzflcz +XSznq7GHQrfx8TTet89QCNq4c2G4TfoLZeXMlJPNZUQSCEvTV8IRRPAMzi+2CMTSwdT1Xjh5XVwh +y3bFLH8IiMB+1VCki8Xy0RZYarlVVgrKvafUOf2H6nxpDD09HrMnAjy/tqCPQ1Gc4mU7l5qY05wV +PK9BNNBjspIfPxl4KGYGoVaBKW5saTHn5L4ekLKbSb4PHo+Ny0IyK7/Ls7slMUCf+g9AoGAbYINp +wltuxeNHGmKM+YI969eTZFNbZ73EZw4t7V0vrAxYFbUS8kaLW3v1sybGveQ9F3zWs0SK6jK8lEjZ +ozMAsQpEYiC/DP8VthPv/b/Y1u7z/UTorxzHzw4jzBvHnm9hnOgIkVqVNBHWWWt7qAiqvh2aLMHJ +wgei7jsnHucl0nLrdnAyMsc6p6uGTlODwbnGBT5x4KkT48EoGNiwkBDdHzKOxHhvgIQx9aKAiHjA +LD/EiEdzjL+Q7Y8Wbafh1M3nSNlGJAmjbcBu9fgipvH4ewvJs+GApr5hIRCXHRSCaGZg21o7VDKi +WmNRndrQ02+BnGqse1QOvOe89wgzc1rMEUAnpwGaSfaKfkcfuAeWr0JqJpdMhViYYkkbJlTYevGN +oQ+lH1l92AGHtQXIhQIS2i+tsyUcjndJ8xwmUjduEPJMTPay3q3dM/ScPtNBCDlNENvJEhLuvQAs +FFQ+r+kkBAR6v//NaXG9GrCPUc66fUMtEhTs4SlaZZ6pWfpC47IoUjgqVYiKu3G3nBse9RDqAKLP +e/ot+EBt5CvvG7vl0y2Q7setnKurfIOVPsJjKbzchl3lgfnRq6RL52Csiua/EsdmHydHYzlg90A8 +U7L8sYGu5xi0efg3kCTf3zWlECr/xXR89x9eRt4VNu1mqJ4ozF9Vk5RnWEE89F9rv8axuj9a7IGu +lakpJHxv+mtZnIUaBfJMcSW53cCPjxUp8oV13kV9K/zSvKFkmd+SbsBy8Eip++CcsCLKKMaCCy/2 ++PQx1b9kyE0asaZrGuvdJVKgRESumGL1zVMSpHlEf7k4ww2XluqHdghvaZ3Jo6n4LacbD9QPtxH4 +AwlLGAyK69uwfxdYoODZSudkKTWMWpx0tJzObdK7MBO/lpkNj1nbcZYj8zEEQM/cs8BngLkn4fKN +ydfmXbMoPF3YlZNTKIn6QjUOfwCjV/Eqy2/sPxDOqAI50Bd2S5A620wjzhvW8hhNyP8iWHVppn0N +jAEjK+TNZLsQIUnVp810ZR5T5If34LusrOnYWEk0NkM1ObokH4+fclyOIOESHYqwaEV6lVfgYvBU +/d8fHHu8oFhw+uz//qMx9alBcD4LF3G+RCYNg4t53kvsSmkRVdEAlIzIDsodosSmWR9au+crsDHN +davMMm1zsIlVOWoXwWgjigl5gQc7yS1M5IMr3O8WHBRz1T5UBf6ufSvURULaJmgc07+FKZlUbAhO +asZL4le+Bt9uDN17STxKUdCyqBNmcAzdl3rRfAh/TLv9y+CDa6EtoeiiX6SlmvQ+getMmlPprnTv +BaElGpOihtLWJEKGp3RBA6rKgJFSsaLKlEvgt+IfBvumJLY7W9/lipAX+u6b64Q7FLRHC4GkpD+x +ufaAZoIg0LGuLH5QlZW2eHN8355Rmx6+fw9cskamT9aqOTcdF9S8d3ZNnypDKTIuySLo8xsUmO+0 +ntf1wAa3aXiJiuKmytPQ0bHZv/i8fqOhPbMF8lS4TKb5JqVnhisELfkJT2Dpymt1g5oNvtYo53qk +AEqSHGA3yFRMdS9i/7j8pMw/sDZAsJ0YuzU2NEU46c6Iz0Fl938kVWsAsQkXjk+37ul8kzPgL2Bm +NrTUIxMLhTwmDYduqFBblPg4BPqV5N5Mq+IkBhoXdUs07P4JcINBkFp07kP+xm5Za6N1+87dpboT +RFJug09BKObWqFNZak+tDnnFWvYBdwXvWqRtEUkHd4gWGckFtVA+6Pq0gRadruG1DoOd9ECgc1Ue +t4se4ZjrM1ytshkk85r1QsgyNDj2fCngQHfDkYDJ4nBNfQtbx/Q/VyKL8XLgeESGegTIdq0Rj+aE +2E8q8dvxtW1ElQOkOWSuy06if6gAGPgpYn0n9Egp24yDQlJL5pCSUq+fqQ3MIozWY8Jz72HpJjB3 +55RC+9+K8h36jelqktQIceQBlJSsdtOT+9VGKrLRC0DlAUmKRqO6LQtfzaXoAptrTQDqN9wkHaz4 +BThkYFQOEKNkfMYU3SpQjYTlmnnWJqkdKzBPeljhsVTjPSoPdOlFBlOgLYEAHCIQjxjTiXpJheQ0 +OhLgW5xrOCJCQ7y9sCwR6jd8Vvf93KfJibcm+GHwIFdoTDZlX7MD6fPLFcOZDB4TsMTKibvDnUnL +cyPOWWkuHThVskVX6lFsqYuxDJuJdHVMMRV12zGgVC/qP6zMbKFOIxdE2YJ+LHqorxknew6sL3hQ +ZDRA3uFIRm/ZmaG9vZR/jc42Sh4YHFdIhOZyhFe+XTu8u+mxNakxMxhBANDTFIZdhQKuiuywG6Yi +xJ+OJ3/w3ckD3NfZiooLgz9tjOIfKic91KtNBEszPAqfwCGIt/R2cdILgyRsKfmvbJ6HCc7LLyly +2DdXC9mlIllwWTzKRwX1H0RCdBvTsFiaaplMFRuHQsGVo9OPbcRM5g8RKROz96LNbwoORuXAY9ar +gjlJiQDn4s9leZVzwNhsirTWbsTkmKPCbBu9bwhrjAtTqLKbUPhhkHo474swa6L1AGwq8wLzXGu5 +3xovLt4kxTGAfjr/5xN/Q6EgYZEoAlJZsbXu/S6hC388d3UG2IR8W7JOBwVe7xMlKEUloouZ4L6l +dPY9gXBlryhbqWn3DIPbA5VIYXurxiPHzNV0kRzjJPflB2GBiDE3tIEUcV8LENSLHkjKSNo/pcVp +Lxt3JYDKKmvkEEYhh+n2zEBFaw7ffKXgSDVCiA3kQLzEFKBKAdHKa7Ci3rZGioRAi04qKtXTOtuY +xkmUVYm9xHc0YfIvsehp519VChXmfcu+QDOtxTerS6ePgiQV+lTP2rErFB5hvHE1pwLwxvatumBd +myzgUU9gw8X9dUsaGH/+zDB5/X82syJOa5CuinXFsCPl8LHqEQn/+nvEEbmT2nwDyt1tSoVPSEuU +QAE4z5X0tw1cdNSguvo7nyVgEA4+BN6zrwj4y3bm7nIMt8RPB/huGShPs+nq3z4i69e4MxB82sds +2PFtwwVA9NIDTFV6xKYU9TskVCt7LE1cyeqeFIN0Arh7ykZwY2Ae1+8iVZBWtgwX0tm7Yt/CZQbX +32gjQeYHaRUKN/puPtdXTihddOKmP4lTsJRGR+X5/dgUCpMlsAB53ItjzPLdfNPoaDaK1nQ0uN2C +WQ7Dnk9RyC8qyxFckQsPvQPbY9a8oSnUgkVUab1OTPq79R3q4+Ijj1d1kHSnndz8AUnR5S+m+3/9 +EdR6Ubqv9j+DnicgM8M1xN+tNEnsCYlSUXnQpUZcO267xL4hGPDdimEivphiK03bMuU2U7eqYIW2 +e5KiD9UzQI7E7aoWG6i8vqfPGCexuBdWVBczZ0DQTMMDrLSP3hGwjOwDstXQ53zrGpZxf8NdLyZm +E6w0x9yQoXWsISGhXyNp4ziq5e687awgCA0kHfJTzT1fNxFLo8mYaTgBOfYZERBGP9KBNQc5jWEt +ce7WB5W86WMKINQNEbgwjPTL6eVwNjFRnjinHH2QcG9MzmD4vBIgxvbUgdRREJPmIix0n1GNA8Mw +PejGmZiqROGHJF3j6E/iZ4aNQYGE+iKk/lQjgTQjsBy/UPX7y1TFyWbAxgA2NX75ueweKMFocRpN +stIl6bKJQRMgDAzfiIaeWx6xsCf1mh+GLDzGQA/QqAoYbed/AyHNcYaPyIgNoOxnIne1bKUCA1Rs +C5K78I4S7L7Vtz2LzNS+B5TtqP3I5GrxHsyyolLR1WfeIzq7tWXbdjZzmNu7WpRIpyNA+M2pptfp +sQbVk/7KWaH8hoebVriTNCNIkmkxtGAFXFTu1Nq41ypiQovWe4a9mIiorZ0aNFAFHOI2vcOCctHH +mNXCEIeiPJZy7q2OE1hWo0IbAE0ZXH9KSpgab1Craoo/mrhgcrX7jhPNfY6pq19MXZtxwv/0DUNc +/DpQOe3mFnLf4n1vFCnVKE7Dethjgk1xxy3npzsr+LcLF+YPm7Ar2z3DjftLwLNPZvlxCfKPikpV +I069xvyOwIRnbtyLVdlYyCAWc4vGuCjy+CxRwP+Fwl1XD8eHtRsf5l8rylmnwRi6dH5lDTnoDm/I +6fxsIu/jXmWp1MY7xOwfyCtjX3LBpuDTQ9vocx9WjTX7slVbCt3EWACl/V0s09HkeDqM4VjytniQ +Ifc1qYJFUgwm6pa10bGoEaGwTmOGOgw8dHRYFkDyXLKdy2Si19q03OrO4X5iOO7avssinEKZvLZr +B+zb9gqzd/TvpKIS346besIoqAJddibKxqlFCm6NGAg20Dm49Iq9B3l4e9OoJTa9AEjdk+IS0zvK +Qv116m8vsdZRPMs2wVqKjfmmeasqr9Do3FLzoSixQgj4Pvv0OQDEmjqijouoIkH/BoRz8V5PuRPJ +uK7Ko0QrEfGB5LJLfsSIN6OjKz4NujT5UB7xc4pCz72E1DtcmkJu7udoyvuqsA92HB8J3Cy+P6jv +YiPya2fEmaFY1qRb/CV6hy9Y8iQ1gIEUvbt6FsUg239dJwKES6KyfiVJnMxIgzcxS8dE64hminZb +H3YcGNpt3iVkxqAH8aouFwIfZvzuF0CfRAfofDWWyTaxjzNJ//Twwlp+KQy5Ggi+GeavtkF/8+ow +cWuAZQYktC/xjDx1Uk2rCqnBoMzDqbRHOunA+1joX3oDsnvUlYcKqeAu29tCPZGGHY5VtkXMkj7F +2nIjQNM5xXywClPfAiM0eZNzEMzXvPDmKsg8PcAy4s4w9PRKgRw7+8TkNWfnA1ZGBJaxNjnB74Po +33oX3jPxfKmYpAJrrqZXrFSrWwHphZUmwJgAN10kqsvL01J+0Ws4LQF1j8G7tnkIguGDvprByprv +xtM6f5DahEz9UU8SXnMgJ50IQvAnJ+nE4z9fJRqpa68Pr5jTMXKl9kR/iFsKb1NcWiOLgakGqblN +KCssn93Exmt1CZoHwWybEaS1XZlb2tmLZvFe7Gkayv0VNTdMcR+p20Uh+IMD58LYfg3+qyGKm7ob +KP1vRRAukktvleFOYE/4tXtLpJyV9jrEk106otlfmNZP/sAzN9kHKvWqkO3vP9lQw7zK9jqOEppN +2J5/T1xbarJXwggmWg28HWwCXJEV/y8MASCIyPaEqTIKUrRNq1DS0hEyi1FcfqN2187Qs1hxA7Nw +Z/nWx4koaIdCbKUz6iVh+DAz+NkLBtFp5uRFg58ZKAl1/NRqHlpqewt3lm6UzBu4zHvdYkyb91GI +6yylD3ltONNHgU9qf6k/LAnIFCHLFuS12W7N7+whe4wEHWhS4aSQAurhE5BY37V3POSzvXhyHZs/ +cfEIO4U7dbf//5w1/RVpjz1A3cQSG7yC+CnAsqcoz+SBUKKlHVkGWC7QGnPFWWHzk/n8Ndd+Tv7P +X8uLtKM+Wvf8GJ+GvEgk3D3XzuOQWQ0+dUMbwiwBbdNU5KexMTK4ANYx8V4+xAqXC3vk5VxxfmMs +Yms4Sbc9t3oPqfuj0mG+gclK06lT7Upe/KLTWxXCAdyk7h3EMn8qTGtulepCCy7TjAHtKBysW5+h +yydtkV406D1zajXlDp0AR/04KKtxES06yTzz4Mz64jIb28Y99KjvOeSuHxfW7EiJo6Kv0vmOBtoK +vFcP80pfyCvpDv7RD61r9XXK1J/DVPFaN/xhIeL4eob8bRjCtHQLJMY2N2Xwu3MhHiF68jt6RiCa +dVMPH6Q79Uv6dviDO+pU5472HRwNqJqEfQJho3I8Wr92dr0HKqlzUFpjRsoB4sfDCoDq5v+aEIND +AmOp90PpaMxkTpjvJ2qqCitE6JLGzFqX7JotxZ8g9n1JGjK2JnefjGckBpG/GDkDNCBT2BQSwOSM +98342SZ0kfAcNQZd/aLbF/npOTzbATSE0jh/7A5ZnwKYrBsEkxZ7Q4IWH/y3sLHlj8IonnuQStuh +HsWd0ezjyebv/5d5UTRjTcFnvvqOsSCd3dSMBexSlGMf14VHNMIj5FpnVU3y37zNbCwKq6o7gNEh +2Khs6DEAG3SyzoocDQvQus/YBJTYVLQLDvgKwP4ZRNGzNLm+2ChBnpCzBqbGuqX/42c1pC4i8T5t +dRuKSzOX2T+DbRjEPsYd4xI9JCg6UUFh7sbGXwVkmaQmX3cDs1FvV1nxYzoORSY53VlgS6iSqpO9 +yZ6jlCzUvYh9XE+xMQ2ayiB6mQsR+LcvdQfzMQNuiWqAyaQ+PKSpWrtXASofnkeWqt0iVMWA3wHT +rk5Kq9i/+njVzMGU0Srpa4Cf0ScTaonm/fcCRs70+Iehd+dab28e1uZ1eIWRY34lzyGJNSZeRU5y +oWBHUriJL3neTD4YK9J500baNEc1Cr95kUAfm0lP7zX1qFZm6a9D5dfDuQSR4XEU905MbxQcMWtS +d68UqjEE8l+6+pEAz/6ariz1etoA97LIIr2LQTIwm0VIbZ+7VtzOnYByaA7EQp7wWaGl6cZE03s6 +6AT+GqqUtODfBU0bJEQ/4aD7Hn8xJNUOv6IpmO6tnOEspZdnLoXR4VN0MxFiCyOpihl/dfVwY2Y2 +TuSEWw+Fa24b56LNre0lSX/5LkcFsDXOUwt5S2ABo7q7F9C8l5Y3QNMxW1m9dWdA0YsY8Sv73xEd +Hql1SElvoDL7l/gm22G4aewzfFTrVP14HQKt1/ojrlH/jjNSN3KyIQtVoA7CsRbs9XdAQHycAwIL +8AcxAGNm+Mzlw1E5DBgMhdV/pv4gYLCor26PYhKBz02IM7W4h0797E6jeO1izXZ6pcbhlpm18oNO +ZuE7D9N5nYPSfBmjtEAE9JKW5mD9nR9wrJBQnztamN1UINfDnsTOirffn4xDXYGZ8yq0j7qJ2s6T +izb9fI2qMyoGJaVhyKJ1PccAymdWHeN08mKRsdZkBe77aVRFiI7hWU52ypUK6pZvpc8Xl/Ymw/uo +f4F2UA4P1s88rsKZvv89exVH8BVvVgH0LN4dwl2Ynro+7SAW6jZ2m8+zJaFsGOezi5yhafRCx4Pp +kLWBjszxnqBRJv7U6ZD4+XwUTgMOC6MT7KoTbu/SsVytxX2wVav9ixb236xoFLDu9vTTAbYHFDZ0 +ZG+aMG8hfv//hToev6wPZvvfiVJ4e3UGeUcWlRzInACrKLpoKPNEsYcaORbO7vQUdfyB849x65SV +zdz24XohC/tbRWjLOvAImkDmw5rmaiiM0YbVBeDztl5CjNF+2zfy4gqWZWgC7S6yCwQeNK6GGUls +8yiHQNTVQ/sux7PCqJ8n2teLZrhmWSb+RNWnsuAaaxkDGEkR/0A2/RBpb0FHd0LICLBPlSxEhvQ+ +KXhdhKxp0J/CV+LxIE/8R6V37peP2SsUAjUcAONbTGR5DNdOLN5623GO3yGNtfLwLw0oWKVXR/MK +wwheX3hHAvkwOjHMxcZv3wKSLaKEJ5VHcMTC7zx7XM+rpQMfsEPTYlN4WAx4mbgt9bZcTIJKj2wl +GDuktp5483Vyfk1qu39H/K4Y9Nq9y0kE9d+Uy+v1TShTl47zt+Ru9MbtrncncijjxC5Aq0kfI5Kt +tx64f6t58v9nqLp5h0C23fLh1t8lFXhcUOI1kThI1fhzWEEka3tqmtXzFSddyJH8Qy+zPIhs2W6W +y5yAiQmQT6K/fMSDEPElsNegjud6qIIR9ZeTl5/aMf65UPYjqGx/2/qnurKhQtc2qOLWCKENoo7Q +CuSzZ0qd3a7g5DzROequPCpIbsCLrCW2KcD0bpVEIKbVRBeO+Bb9p/2StmEfNN6CtQoH8PkXSaje +BYZkDHFY+jWPe8GrTYhzeHfxDUnvzwM0dEnPIgFG/sAgpMTLa/G+TH+ItRJtwikMnqhks4BhCPDx +r7n5CX0CTptZCaM8jbFNvKyUsumglP2kGgw9qC45jMTm37MzDuQMbaKMFYqXOjPQClAf9rxagq8V +hncqTKOmjWEwVFntm4sl8KdtzQ2rYP1ZossUEjsjqVb9JB6o0vRj8a2wYV5ZaS6rgSGJijKlI4QN +4UM432R2xuK36QmXXcLnrAUHy4wmwWgWWTaRnoUlAPYkfSrftMIBoC3gyy5XdgXTXHpqTOt3N9sx +I/lFvIAnIZZ5/4a06inqtxqtVU53UbwdmGif7tBw/M411az6RL9wR878sTf9Aa6ZNyCn4SJ2om2D +TwnWMMbxa8exSR8P8xXBSNFrTT1msusC8ht2u72VsOSTV/E13buUOxvPPr+8w8oaaF2YhNWOci6j +E0lKba+FyMwzSXdFFGw7ahBjiQVlcpqdof+ZSIWcRh+7x5HIV0DqDkA0wXuNPQb9MEt9J8F5f/ee +Q89hPIBaTkFjZbpkcjZ8pvxqjCALdkJtRtt+e4rUHwhbyqJAcCS31rWgN6HhHKdMkliDL+Y1ZZZS +4YzSJwimQkzdQBIGwyE6HtWZhJFk6erpJJnbnOWXbgIDr18rUAT4afEG/s1XKjh3pU324f/2wmVn +FQsV924AyyqFeD9UO1pfC6bPh0r+xmhydiS9Jdy+tNo5wQlYoVOIE0GoEDHIzDrXQ4ALZBhF8DqP +Crr+vRR8/Qt/E1sXaaEWonyxuFwORw9Z/Aib4KJite59oyRrxuml47k8aNNMAqNZ0QOp5VrdOtJ7 +Rw2LzSORsZPYzRg9d2ukbh/jJ1L+UGjVWeXKa4H7qSILD4tIlOC0h5Bz0mx+mrPSru/gJq5ipSUS +x165MN+RwHu+4CT14OkLvwV8qhulmMNURk2/oOXQUE1LWM1FATZOlSN84vUgV8lWI6S3jjbXU2nG +6Uz7wLlDjlO/gegcRx1AuBjN3sdKaZYvNKFgIRsntBinRKJdmKh+HBNKmhz7EgLdWElxLxAflsX2 +LKu7U6IG4tjRW3xwzs7SGAdZ1DCL1sDmGCwbT4P5Dz2wCqE3PD6MDy1PRBkpEyA9Q89J+etPYJu8 +ljrNg68c2aIDthFKdEx5r9NCg1rzmciJKuvPd+9dYr0IvsZxT6N7JBugnysNvESVhafKtF3WfVdk +jHJ9U6UcyDOqkVSALKytPdHCr26smIhJcL5hNaGGdicr2lPf/QQZwSV1vEwwI4xZ1tvjQwSPzi/A +cAAR53DcBKtec0q6oIB3MRQFsmKy5hXM0Kvoj3+iv6kqbHl138Td5eqdScwTyKvaakNLLo8Y3WIx +xxZvG6FuiOJGKzXhvAReS6VoZzrdSwv9fleQSKyJkkVSDYcQacZG5DF1KFNR4ZI01TwRlLMVIEGw +FBVwNBFNkH6yyHIxKI2ZfHKNFN2UJkobFXccvlgN+dNn95cLimXp5ZIgbdSknOFYvlUhUBuEXLAo +O2ua/Wsdnoq/nSvBBavS/qq+AuAHNh4osIH2OmrLehz3FgHikIgAH9ThyPUQWBSauPt0IrmGYGGJ +DKu90reLhHtiUL//+f1EvSCSxZyZLAcT6b3RnMy8TPieqdgUb1e8dqZ04LB6+E6jnJtW7wZLgDYI +a0A2xCfqOvXePpEUdkHjR9Ti7RZ08zawPjkiyrhHM9suYzkOm81hk+J/OEbNhU/NBmQkHKf/Rdqw +8lFNxjnwc2VNT433mnZfWOlUzedSEK/x5dEGZEI37EIBdjDuJOV/MEhmdTGzunJM45MUYr411Fi4 +gdrgXbUFV397n4G+zmfnhiAjY+g92aLuOolAL0Fscczx7QGiZqGPinzCNr/d5GiAQ4LRYokAzwqH ++akAgykrGHf4h3tAMrRujlYmCXT/zQ+E3b0XBaMK3Nl5rZMg65EFhbykqddvBpPh/PW3yfW0OP7F ++5gVk4tlsxI6aOMuEDTet0zDXGwEYIxSIfHX9N5IGYo8ykDueXrEMpXEbueu5UramRJHJ/Mt0fD4 +m2YEW7/0TxOHfx0TlhNkP0YB5OuRoNFlh2gw/+nfR0YX/Jyg6hM/nX1YvUtBgzJcUphIi7OxLJ8u +o+ITwYg93G5rSLc1qWxmuh3SlcDIdSDVehcrayYg/raAc6WrD5j1494LCleGOtc7qzXLpCTLBrR5 +5/98MwpqVOf1RdVkoQ3JEI1QCVlQRheL3w2dGYLy8lwLystcQC1B3zC4kbZdZsCzBtahgryP6RqD +Tj23UnEHiq4Rzu08evantmLijlzeayzuweJEei9sVBBXyuGtM7xJ9dNqqnO8kJBxwRgaBcMQF/wp +mpqI9WtTFU3WD/Y43w3dUgwi8FrQ2nMqNDlvYpbcFVHr7UhnKl1OPx66on1iO/3cxqxOJOORn/sA +7DrQxftYfXlyJCYM1YoKMgh3CtbCSKll2kiiVoTNYwanmK0fyeCVQMUSPjzq9LoDvi2WoWHCs8ZO +jEHkU6DoGeGF5EWd+8JTJRUqVNJuY4qXiP6bjKnROCif10zfZMjztFnP5mCFUFy5w2qoAMOsSHZv +6OfJcOfkdocaU2QyLZcHtxMAEoweJvBJ9L64TtMZNQn48eJ0m4rGgn8v0UA/AzOQDeAAUhomUpWg +BjesYLA2TYWhLpbM56UmhxeAFza6Y9KZgwTNeKOENS5hQ2G9OKZaKXauEWjsEMJCM9cGhI21mpBg +MpibWz7jHi94CJz1W0VwoAslwkeHQq5brPTVjeiXxjoYQ0ojoryh++T8NtCzzz8o8dksovD9asdo +1WqJCr7tzQojaZ3EqO7ZtH3sKMy6TGbRygTMOTiMNXqazNWMbzSobLMGARGMRyyUsmsWQaY0IYaK ++ppV7JSKdxjVQJ740l+JV6r7aBkURVWg9DMm/cu/rEJkN1e16kbNWCy0a3pvi1cXJm97z3ug7cDa +cdM2ai/Lr5F28mZgovbqRwC4R0BB/I3jHbikwC7sDaqTr9sSe3TlTjFMoTYPNLnfXRfBIe8T9g3y +/0kJrfBlg7Y5hqP+AZN/pEs+rdGem6JwK4nPWVFUYF24C0lYGYmuT0JBk6qE7FT4FFrkyyKqbzXc +aaFmDxa9qY6M6BRH+C+3ofCY/+4XKeqTxpqAM6P/9tIhHkhOmBDEDYbCAZLKndmKiKzW6vpkeDQs +mK3n5PCPcnezGkxT6JiAPLmXP1hT8B3611T05TldfxfqFBWq2Phb1cg/A57Ofmff3HT91enX0EXe +81iuTyD3blkLHfTS45S+gdxt+14JtISiRnbRk0XxTv0QXwq1NmI6Bn+N+QUak9pauwl6z9C2WpLX +M/7MVGjQEVfBcm3ViclFgqoNeXKCGNgLnGiVMjPzRG6e51BSlfwYjYnFXoIquGQhMMn3jieI77MC +k6/85mVH+zdKZQXjiVVeq6SF262ZSOzP9Mj4ndq8haO2NEMYeM8sj3Szzt4dE6wwFofrlxDrcFxo +5dEGcgwgrqYvW+59RekgvkbY15LkpDbMu6dMsL8NLk70ylZ37xUN40PIxfRiZjTGvu0Mw7eM+Rjd +UlXHtz5FMeItuUmzKYs4tql39ELYl3x7cQZMPkfQDlI/B3YoSLmGGw2bnNqOoAPzJTBUpxkNBW31 +mXezHalGTzHZIC/WDTwlQ7Bdd63JAdSYZU8IaEOB25Y3IgesVZueVTV8QomLiae3IhnGPft0TacU +botV46ivxPiKREY/LZYsicA1IElR0Br8AkAsJslOftWy6UKmxJNKmDWsu5vBtpdvEF4fB2lGiRYI +WQ99uP6Y0w/1h4lPgcqXPx9vp3U26qea7rWlboN3aJaYt39MQTa0Hn95ZZTGfVhHgI057EItWOJ+ +LxbP1lpL3u3o5ebuuer/WNwt5ayvDHSHlLN4PRWGsmSQCp3NEU8xszSUAIu2hdFP1wU9UTMxZlDn +TlimomFOI2J803kQGFIM4OsFICQKo9nlw0UABNBToTieOVfQTKzdRQCBsl31bC+kOGN2B8E9cOrm +eezpfcSDYaAz9TiGSc7Iyv28wlAVE1BqX24FJvRSA9c817x1hOVEBkRiFX+LtAc53CyB5+W5iQYn +m9BG3vvihQ3U2UEbxpNu2o61lpPXs8Dfq+ak3Di3zj2Vl+SV0cRFVdjlAGajG7brmYKfnx4ZvjOn +SylWz4C6U7xs3L+30qNmkWmho6WQME9tFgtnvT5IjxMmh+66oRgsvA1aMo5rhzK+Mkj7uQfVBrTx +AI2t6nBMTwpcIESP93JAY1leG10+GYLBWtj2/7RymVROxHSONw8sarMxsFOoEQMeUgWlTDIMPehO +xQxaUesPSc7Cr0RDXyAN5GP9nt30db9ZeoCrF6eTvFbl5lihd48xZOS3aM8cXKYy6J+/CBH2+RhI +iALVdCDStpzBrolS7EZ1W6rQ6gCGwYwaj+Un8Dp7VJyu53wGGapFWoGF3azogXbAPYQmz8PmuRrx +hchLZirLL4zLJI8o8yzXEm2MTFpD+hj0RbD500YC/2uQf7xIn2akfFZGMVmWMFBTk7aeUERlEOX2 +k7tMVvZ35JkY7i+EeGeUz3tNOaqZW13sXOhKp/sF/k9AcG406E/DqX+sI3TZhdl63vbNhnJefbjH +w8lCfk75kDeJNLEPcBJqN1NiyZBzFxvupl3Fo+NDPNXAIRzyGA2gLRFlwplkDWBOZAHR3IwVwdVX +bm7VTfl+kEXR+1Ev/+Dw1TUxjBql6VKmgmJ2Xal/ucoXMiTPYtq7M3q62cZgVwej3C0jMABZ7G1r +me+KqstHNyiFgltfpr706NI8OQmRaKVujnhFKyfHIxxG47aH7XK/Y27JdKQ0CNmjtWh03CILQoNT +70bN2WpkU6bNAZ7g0fQBoO/Wn+Hyou+SrfNw5u3uRsuhLjbcKrKmhGB+HGHH2y7VlSIp8zG4PDUy +wS/nHJxa5Mtv1ogfi/OaPYC40HBQVVzi+/jcyrXoe31+rNb9OiSAL1Za27qmq+3GNv3lNn5Gp+w3 +aF/NgTVX4P9GEcmZwSl7eNzAx/Bc7nGIDNphTsbetY1jVrgYe1C7DdeAZPzYHgBJ3Xe6t7Vh81rg +7s1oTeCel8Ae0OtskyAILbU0GGUkPJ90XFSAI8lAWYvjpYGz1uSc5pYEEvIY59V0g257qd546Q4g +luv1Z+jF7KkIiO7zorRq5TXxfBxtdmqlaRnhrcxdStUtmPsixhl7y/3hbNIk+zNv0OxFSoGCWifb +XdoLAp5e8ODBwSdiH9lM1xC87OKmpTuKY2aD4iRuGpfZ18zKrniIuq2pNj6sg3hCSZPlQrEEJ0es +lEoqCz4UwIuYM1X27pE9MOak1b61MI7bvslYEIBHfT9Q9RHDZjhtOv1q2TDSh7pJG+lAtXirJ6IV +vw1W5vhh/MxI3T/WjZWgK2v9Ly/dwHFEEj6jxJEhXS3K9y6w/DWljLpZRwo5SqzcPaFdsKi1jVTA +c4UUtNeZgSpIpGT8+TWzEimJUAcXJdgBrW1ulA/VLDlPwof/uJlBltnkXuVJml3alxJo1nqjteaw ++A7kR1KG4eZzoxRGIy89E1h3fGsG0cHyU7j+VFIctiBcCJwCOGizpnpJHj0Iz3SRwcxzKUX5Ol1Z +/rUlEg40Rlh1RCpa5oqEl5M9ZUyaWS/8DtG/JsUlVW8uiVtFVpPKWdmiPA3noSxPkp3A82ftvViM +E7mCIhfh7OUr5TD78qnPjbgtO8cUa79bZvmwyUu0YScaEdbzVT4OsCqYdFMw+o+BLw8bI7L2W/S5 +vGYyT2+4FV1zIaGDTYmE6qN91l2xzYRO481mKKAenKR0A5dGs+ZhXnqM11/ZvoQCD5WuqhdJO/OU +F7AhOZqXO74bH+iO1nh5PGSc+9M7FPyUwklzmCURxQRpJ8gekK0+CTehcVVoaRzHK3QcG7Bad7vx +MnOK5GYx69jmUdTVUE/YZcvbo2YpmorWCZLOSKo7Sve0AQ8FNvjMqKXw0vzyEem0ZDZmw5PTju+X +rnkrhC+HsxPcnqvR6jV1RKQ48ivELPA0hfB5qXFP8nkXIbqL69PWTOypz1Ekawpi9eTLkWhOb6z6 +WWjE91RG7MhmbXBThdt654mgmoip+TChNoMhiSvrenBPYNrA2xBcWHip59doSKzz8zYJ/ZZZXTVr +ji1IiVOtHn5o0YU6SH+f9KXsxkv52M1LqpykQjHoGREZP6DuunZyiNOo3sBINDgdzf9uPR0Q7SFN +rfN29awDpfuenfnkRFNuTMczMsZQVljBeydj4wiesEcTXxsGIxKpAnIubKTJOeRzY0d+FS+1Qwtz +fh1f3ir+JYh4NbfMo8QHFAAm6HRj9c1B3tGOOhms012gRFjSXHBtJQdPpIPwkEJzYSowrEfNHuwB +8VEW4IELDvr0B8euVOjQ+nDoEPJNFDlwRvroPvLAU7tsQoqZPp5cJjqDYtIcUWkLKuTxL5ZdARsR +VjIAsyBY8i28hJXpdDUC57E22XQcTf3z94/sdEr9A4/9IWdxD8pZocYwvqc26Wn88BvnGHczpGcn +mC3ka8Raf74cp9boK5TJbLJuoNQ8ZjaznIHf9YzsW7ffk60FNAYQ+H0bsmO20wKtivtgQxuA1KG2 +M2ntwNz84wLSvjXVk37QlKsSCiAt8NTeGC5hL/umGmcuXyuWCrJ/J77FcwsxH37Gp2y05ukFaD99 +U8U9u4EHChlXIurfznnNRgaaEIWNJQ51204BoirYVOwXnc4J3b8JHXNT9vPhYGGzMg+towclfpqn +jGrntt8oyEzTgI/WWRq0PDAzy2uAfcpL7sDI9hGJik+00pgh0bOaJgvFXRbod+4X2CXWqTEnr5Cd +NNrWTkN5cCyqyeaegzyfN6Q/FzpMrKxUZXZWAKPQFiaZkSUalijdJ9fSp921kvuOiZLsqGEvWzfl +tumE4D75o5R0VbSKvqy6PtS6utoL+E9vL4ZTw6oW3iaZanGnJDHEn4RIRHqBh0cdHxxlVuvm+I4r +Ty2ES9IEFBqQj9FeE/lKZBob1tZv70thDrXGc77DlRrs9eBx+i4JUG/EStMd85Ya/JdMDkQ/JBH1 +SdETPLYDqYZnhvjOh2gs6i5/EZQfuVxKyYfkmWCgsI75sV5MCV3tfjosB+biTdn7Tw2DMKoFE3Jm +4trqw2HOQCN1q4kMCHEaq/QYIXAXG1S2pSEsU7mPP0v359RSNH1kOtvhzK9FG4ESBbdUaXgTJVEJ +ei6omuRxAM7iU3h69aJsLS0+b6BHaPPKw/Lth5eAJpDs/77pIxXeTyniZgvDauBMVh4+tCgysKKp +bySN+pRBoHTgB+YjJsn84CJNGObfPkZ7vMpy9tmGwnmn/4OFl7RXObqpBHAU1Uj0aLIHcOHAYjUF +LVmbz+aYtm88GKEWBY9hnS0wAGCFDy+bm+JY6eL8bOT8DIHmp99mcsxcI5tWUmCSuGD17bApmNJV +jnSvjAwYS4vUH77GcUPxx+LKAI/+6geXJUwBZOcKP/l1qKrNCc4dM0CatkuobqIBY4ewVwoblT2B +A5StmAHFT8u2n4HrsOL/Y8t4rLs8Hdax09ysoCWsqukw6JYN3hOYzOLf2e1OSNQT8TH6IeNCLQo3 +JuYTvDelM/vMRzMCqHVyryxgbc9+fxkZWeE8VjhS6GMXb9eilRoqybh3TTkm1yfCCfTjNdxrxAGD +KuETiurfUZEHJ9eMQ+yJYUjYsT/PzNOgcExALJkQGkBTIQC9/TkEu1xn2ul57dcwg5p9U95nuo7I +Y2ktvPLbStg9nK/Lq+77KLs86MZnuRfH1SrB3OGIOHseCvci+dCvJtvFcmHv2nevjQl80sBst0t9 +LSZof2kVeDIgQuuj6CyJaJXCqOyEopoKut2I260cFNcXx46MOWInKizMXmBCRFyNjD9Dhf08C7oG +lIkXjDqveU0H7j4yOCfih7TQ19akUgp3KoJV3vZ0syhQFfUghwMGHIfxRup3LKoAbqiGOxK+VpZ4 +FEyl+4o++Y098qs6m1wIZ550ZL0kp+yMhC7/qGOEx4YL3VOCFhZ3cdjwFe3TQwmz6DhOnTpvmVxL +D56IEzpNmjndIHCRh7gRc7z6IDo4d7o8DbSBj/vyvf1R04oPWVext2ij0WGH5gWOM8Eoc8dL+3sQ +4m7+RPs7tdj4nZtCQXiWyiOBRazlIisc24dqJtLNf7NsYiDaFPAT6GIx7S3a6QNqGovmjJ+8Ao29 +QX1T/GkoL9ylFRDDVWD36ybeDgh6fWDuN1gavAMuAWOO1qcL/IEKw9R+SvimzcLFRjci+BWeJ0PT +YPPqPiafprZRaGGHsvsVrha0A343ezT+2oK/9sFPFSdLb2UPo8LYOF1iO0lrFcP4Pdlg5K2fQRbd +m+v82sE8pEk92uWJ5IAUmT5LDd6bI08+3XuagtfoScHZuFtjGKqsCAqEFU52riivh0dDT5NiMLmz +J888OKXU0Ob4NXUQ3sREXMHA3UGEF7gq0T/7caMpqB8SmjMGt4CqN1LvxU9BUKTbDqe7w0bhyM9z +VEC/EnY87sxeMAOukjpAdIlYOWnK0KTgPbUWqKBVmaBMXB2tqA/rGvpKJIh4jLuCZSCNpyUt7Iw5 +KWqfR0/NWLhQzpU1eIVOEeNm1PBHzTf01d3tUwwGbog+s/CkKslSFEdt79N3ZsthKiPoQ1SQpe6o +0gvudHm02rmjVLoS32cYPpG3MTiJ7pdKiZaijJExDb0mugNlyv89NX5HVTuj/V/damGbJ4xGLhPB +Gi5sZoa9C2QShw7N8DLtZwl9yqTHuBzZikvcyiTCgU6Zt1VNZcaPA8beCVX+65da/o4NPrcOsgtf +vxcD5BdKhjzwrt+CR7RrdKtcmZrfpr/VLJN5jjDNTpQPRCnAm98R04NslN812YoYmiITfA8Edam2 +qsg2dpqjLGlNGhc/sSgliB781gV5PZb2I1iFDy+H/Uxo5mhb6s46Y9UWlJ/z6UfviZLRyCaHOnkc +74eTqnewnTpuDKvLJ21XPGEqaQydAeGuvzAHJ448Ml1lIbpOzxSl0juv8PcUvAhlTJFBLBrLXVKk +H6S3tUtYZCH4XAcqBeTvZxP100R/H2WMTa6SSfErQj9m0wOVrbYMztOcaAWe6DZWgqOyWoABs+8z +VUUJ4s5Pyy3WzTTqyrDern35ywWAF1CcmMbWOPIE16GxtcC8iM7J1W6lLnaQhk3XM/1/t8owSeTJ +K6I4IrQgbFtcOtDe9+QaX3k0o1oY8lB4SjJ4V9aIX+nUrqKWCdxLuy40whwOUWMfdqxNZ7AYcXQR +Uvy4UgKfQKq6nkve9RcKi/ybi+YnVtc5ZVEFNU9BSWE4/Z9TeNtzaodTn9Fi0/hCbeQ7ArtLObEd +FF9nVj+8wrbkVQHnr5tbPD21rtU+HMedtdUqyVUB8gT1MAP+PCS5TUzunLXD4qI4Y4IfmXehAymA +wIBG4sS6P2RWxbND8o6SFEWJKhW6Ha8yYRwqcFSSAAbir8h52GSToWvsY32hXNcFtZQkex0XkOEv +EUZKLIYP0JbM2f3lUNMlNQDs4T7yhpcCUaATgFMuKzDJq2mdXpiEbDrEAWqK6SYD4HPQihey5xpK +/Bsq3NzyYf86E9hdErsddGRPfLGvWT8D4ZFHOjRdqXklp1AwteP60gq2jKHZFomnSixy9Sv+JwqL +0eNP0Lmz3xmKbKtclHFhngz+ZkmpAV5GQqV27FTa6c8sd0J8En9GDpZCqWHy1dsWJWT24+6b6phZ +SIYUXHRsykV2feBnhvkU59WWbup6+4h1Pb92lPhxiISsU62feCuQhTXrtuWuWtB4TjbIKE8ue6y2 +Q1LaYCaD1o6+8PzxmpPDLUCd/hJ76ECwap1gJZoaFlHH//liBukcw52m1Z8BjHpSWFSszkdmnthC +YsvX7urFm4OpoAC24Gw1AxGrdNSDS+ops4Pv5WqJsf2tW06PX+pIn/cldQfVH0bLwNRGblhST5E0 +6sVLnBH974NvNswWgSckNm5MAsOCku39jdwzSGpptXI0TLqh34vgwZJBxxr0D5gN+RtR1irlnEoo +fViy/jSnzBR6yfvCBTWpF+TbcOQvWWy/GOyKtGcGqudSklFZH9kjfIRHu5N8i9DmTOB/NaL8YG1S +pCJ/EOXe63fxKI44TIX19KXeVFNt6LebQhTjX4WWLP7edxi4jeKKpFVAEQiVxMEb1zcNub/d3iuW +W8SSrnGrfPpITtKvKPdnjMoX520Aq1L0s8+WnyLqJpppEuNlFRW43blpBka3XOi4tB7hgc868oRA +9txMonCZ2BeAVGUE5r92YIZEhZyMwOpwbRttte6gOZv/ouDRnwDqrewbRuGcfAF72bUcCCIOmXka +iGkss2LiW4VRIdQXVPjx+ITFei+cwXpY9k6a7AYe4e3oa/z8OruaetaDRHwezQWWsN4UZxlP1GcW +Ay9ldMj6WZ9fsRF0l+VHwuNge+CB5NYH4Uxc9u7Pzm6xzFaS3Gr+IUdsa/rZr78h7uKtHH8PmOzy ++g+MFhewZ+0VRS94Jht3BfT3XhigPjvpVCr3OJa+aw10d2ic5Aem34P/ejH7Zad99QKLUaVNcm/J +2A3jF7itlx6ke9fyel+OjmVroCUTQmvo6qoD9SJ3/UpPZ+SdRRnWGGop+pkFLFUgN7teRt5UdLV5 +sSyewTuVaPni0al6Pa2qts5jNKZLw4OnB16AmBHl+q7/VXy/8PKSph2PEeKcPUSZoCSqp823P4p4 +TSG/QVHeUmV29P4IYcxz2CHWUwU9AF10j3b9UGMLsVb9p9sWD0IxVXY3IFGPg9qjs+Y4BstkiPj7 +XjK4QHjaIkDpyc6L84h5o/XR6PJK16IBVT99alBh/ZJ/1KymXChynS/39bDauJkUdITky6lPiLxK +1LkAUoSwq5KEbsSggSgF9SC1EUs65yYT+kvLE88C76x3h2wHag48/e5jyNGXgCFC03iT6DZLUMMq +C1VUYNLxPMZTstKiv5qIZCmdybHVRm0zRHuK1xq6zY971E5TwFV+X53kJgojFCs/KDNTdbnNkpil +0QqJ5Z8N87tQULOom6lieUzHOFaz0RO+IRE69AVFHBPcSkdmbtzx4z9iBvBiGcuF9ZI95h+BRK+F +VUTu6lBN+aqzfspDy96plLTzmtZtZEF2szwAcF2iGsXDwYHCCZ5yjfuzX2Oji/JYXXN0D0cgN5Dd +dXBRTXjFWvEJ85InPqRxNUfjeZF2h8PgkdM+fX3D/j1xHKQtGfjRWwDP8SfilaqGzlYSMznZBZ3/ +OjtlgAlbBVJK3vBV95gx56pbwYLQb5yblQLnIabqaPJfWVaJCAq7DMtTR/UXcbvqS/OPWhAHzXZH +jzjclBKDUMK3bzd6H+f5JgXxyKnQuARa8WY04vwhKApZnW73mT8GP+7ARu2Zn47gLpfGFyXk3KMK +gGQeh3rfxLB6UH+oJ9ZTAJY0SS6R2SPmd74kIPI72Ukn9N4j6rCy6EOVM1UD3STEoNEJfM6BnIyv +EtTOidVr3/i5vT4V5cbDU8XI6blGp1Aj1tjX4eUEMMRaMEEsR9y0opVd01X7SRYQZN/jIjNZD629 +vYQaa7x6FYtI8qymo+jBCdUkPcAOmQdgRm4PSg6mVslSWaabs9vduX3QAlz10j2bVbRlFFfecdRS +8iqbtpokz1nfkVsrFV2M9XMi8Dx7abH8sSbEU+l1MryFXOBgjhoXUS2x935Uf4M+i6H5QmnzibmK +0tjHT7F4+xt1l9G7OPpV+LEw09QpebHaorQDY8wYbpe+e1vbjaC+aYvpEto9ZquA0DGyZn7N6dpZ +RCFYliuJeqhTr6+YS/n9eGgrMWFA22K0C01kAGXQayLHRn4Mbz9ie3GYQKeu3C8evzX4oWDfjWX6 +8sSrhKsoTVkIsNBmNngIjqa5qd4exWPPCLhfEFNfS5GAIHE5UKaXWArssCtVyYYXn7v+L7fLOkDJ +43hnGPzAdwHAseBfPBmw2kmfzwBKjDy2zAewb/jgnRaDTqmIaKa7+CWK2emRwADz5kpPKnbAXyG8 +ZFBAUdCacQIbq0uSEnLQzX+WNr18At+1C6wex+AveCQDOm20I/u0X9JcqlQi0bhUxMxu92WdUJFx +668Lhu96bm8S9hwZDYYtR5HY4w5h+BQ5nijPL0SC7Rxi1+m2fKi554yOxjGpTv7Ij7bLYC2FnV2q +W24mFmlYS9xi7KgVU5ogplhh2Mfz0fcJqMSsm2YO9gbs0n302IrjjPIrRbsLZf5ex5JKDdr7v6vl +HQK7LstFFx12DKJwzw92AayxFRISnCBHjjQEmVEihIk3OzeyLincEDlZ6m0A6Zhoa2RisGUnaRmJ +VnItiAywj8mQ5cy/H06el1lulBLw0DNaKLSWd0ZX1ZAVVQSLlZJjxLs+QHDxgYuvrZ68KYCK+wVP +EgNLyBNgEUWhmo9PZ5xp4gttYsjXbrZZJfsMK2OQgOHCdOlLKienmI48E8wUWqvL41JsVGhgyQEW +HYGs8/JupgH/tJAGl0wPMVk7JwJEYGSF3BNqG9unhYFZJNmCn8Pd+6Qw/EdDWsuMF8Ii1QStI01Q +oFNga//qQmWDrgCFCdyJmEh7CuYobEbygx9ih2/dsZygojoIajeVt2YLMlelQ3mmsfMAfTtZWMPX +wFVWQEV1xBCZsiTMIuNMDwRmr6yPfZT0SRn9djAEL5GBAfLlo2Bs9xI1/UXW9szpcneGPTz+AZdR +zkxZTceCsTqBxfxK0Bsae8NSbTMJLx9EiG/78NPC2t28phG5e+ASM+b9TuWy/nsrOz62wEM+oGe6 +Lf0NADymMYAbDCWQQimR+S16Y6HsUZQpRsykwzWZ+IRKiDZqnZUeTnuLkYjFkF9FyqcyIvt1/GHl +O7TT6rKGqNtWobD0Mee0A9EC3R+omGYYEy5RNb1f1cTPXA0UEeOyN9hVOgEIDfGUB5FOqRYUzjPC +sjDhdbSrRX1qsoHt0om1JYSM6eiuEU2Ob3S5pnN8l9W0sgEtI5Gt1tH3Jh1uF+2IWP5/OH9R6E6J +kayw1De+PFhgsTtJEXz2H8NQGWdLHcH6ToOxzQay4aI3jXm1NswuxkiHTQCJmBzSxtCBURA1Fryn +pA/Wv6BwGue1XdtqyiNdybVz7OFkigbMzkV2xpkONMiQyhUfSt7A9GvczNdz5GdHU65nob9Dd5MG +QhQWaG11w9JYzaWEDUi2mv4HdBEtIMcR6JhPMYN4n27UsJD4QRZxCOQiyH81zMWVKmpG6uXk4wTp +q3OvXHEOy1taVjFMygAR1JMp2Tml7IG9apE1toK6VubYQH7C1oj6LwY4gdHKpAGaRJkY9mJt7Htp +4jhlxwYQ4cyR+F1nVX7pot9xTxNWagPrLdeDN1edcagwnXrkyQBpqIJgcPfUGTGTBRVc065o6Xnf +C9K90nKRBLmNWCmf1HuJ9uQLTuFnVNUcMBDtsz3ZQen60+tEgbmvweVuCeNfuWjnGUL8dFqfeNam +TPLTQOWYQN/6AFGscxC38mq/8u24+HGygkmfAPrzm5BAbMzzfmhjH27NySxxe0Xc01Hcr0e+03Ab +GcFDvAjOeVvdEVf2bIyeHbTJtY0dA5P+yYdmFjehWddU/S1TWjEkg03z8FF1jBqWOcjU1vKMKrax +B/iB7amYvciMf3vQf3q7d5NJwR1ikPf7+InKlXqFptfQu1v0R75V48RdGic5c5hL9dy//RbhcA+g +sud2p5ZZ6zdLI3B6NIz9jaUQuTwGUz79S62uM4Cp+nV+eHsk2OF/GqPZsePxCvIGt984K1rSbNYm +G9UWN/r9vpbpZMZ+Baiq66JaXz5C88itzCTlceLsxALc/hiEc0dHoptTziBU1GsV8ooppTAM7hRZ +/Kf/VlMoUWqTCWYyg+lFh19psHE1mMN7X/Ok2ZM95GcNYFgZIqqtEovTqs6QV70dKKE8Z+AEUoib +57hJDrVLr2aSfJxLDRojaCx6arhZ6kp/dL3SpevEIDbK0QiWogEpPVWbI5F9sUCZwyjPbveQXmvq +4NTFlRWJ2QSYNy6doIshBpAg+4AtD4Yrq+JO6WINf1KseNSTOllVYNwG23jzIJsaXT2bMUE9mUMy ++CsQKjxO6oc3h49d8kvHGLpxTSuBPBmXftCV62A07gLxCdOjOKrEVVJHJpfhQ4ceIBNHj2pwsw3W +yfAq2E8yBtDKuBd7dmbcgtX1AHhV9r23r9VgsEvU8wWf04rqeP78viNPRW7xfL0ihb6pde60gG7Z +mvpsCr+Z8iCCRv1aRtgoHpfKN1DN9C/oIAWKYbC5GQkiHiM+xmRQNmAzAO7L/T2/gc38HAW7dVAq +okVIqMSdsbjfGw7aLYpUkLo5xEXXsIg4JDkRi11BnGQDmxvPPlGJKVINuGc/ISBZACvhulcGQrlD +20ErqL9rrzMgjNmWerily1ieKXZqPNimQkYMzFCTWqeFKDZbZ4ZkZAbUvSNsIYuzlXvFnXTPNNwK +p9cDLA/Q/aHCB7XOjvSebtzV6coG7dXwYmOrWsP1IpPqK9SAfoQxl1CDGoBq3+gf1og/hfAgSg/2 +ehDMtUPReRBY6SNM2AaY9Ify6uWWYv8cVxMKicPDL3YCUHlYMlvkuMkasrE9/noEdpXRyNFJOQWM +fR0mWyKycdg9Sar4HPKCk8iUnQ7iJRAF4oyTLr/X2v2CMdKObp3rKRJSeUS/mQwW+2QP909OnrYD +IMQ13lN5dToN4RrnEyW/Q1SM1cAPR2xRSZ2/dsdyZ3IDdZYzfQV2oIlBpknSr94GeoC1bSd/9vyQ +CKZL8yMrWC66EgjdyDEiI6IKti7AtVOiFlaQ8SQdovpdzgNgZaQ2mmw9hmDu36J3U2zo1dVzQyz+ +9bob+RZ2cPdMQuyxndevunwY5YdqdcNnJ7JlYBlJ8iA250q+xi3HrYiK1rcYWoZ8v4bPvEZ4h0Wi +/LGUXuWvqVjQCLktYfG6r6w3HkvL5KThGwgyhu8CZ+RWd4qmndn1OnQsUrDzMzFl0WThMK8ejDUI +nEntj4qnKFbRV3WfboR2FnqZxGsM4d19h5olLctITrA793guX7CjC8Etjqkd9ZVrGIkWZURTFD9O +Rxmw+OZMZc1d0BuRLbId35N9zRJEhb+Jq9SUoLB+KVz516xf6Tk+mNHNdOXMlpsNx9Q5UEWAclnb +AgDzXXph5IIHKfPCp82PpZyaLNjgT3MSlbbgnOR7KDfQJrNT2VScyYqkPF2kHzEBynl7h0bYUpYa +yYoaOMBvR25aQ+HMHBibIRABFFTb4chMYxFGsFIU2ga78EyLHWmx5qMaN/o5HnYAj016cL0ifnHt +1xCOURzv6jOw5ZzCcRmTaodqO/kWQVspuBOv46hAFQmxWY0OD00tEPt/59X/ugzakxD6g22/chfT +HHYykWcZYVwk7/hiNtXa8cEiUnL/yC2FqSX8qDe49lPSUR/aJDsa7X28uadG3olTBGgchE6WZFHM +R3fyoXZgGE95hEYAANx0kNxFBoN9/eaOQC+M/zIiHeeFSThBIxIVrkUiK/AcCqeLp48iGYsGJ+W/ +Ax3P3c7T1sVxj6Fqp+AeiFqlg7F2/m44CsLxBoXzi7GVo1nhYrtGXujrcsTfghozrnsTIh57hmVA +EVwGyWqcUJ35iQiAlXAjQYapB4NLKiQEGtswzfuXmmrGV60rxN4y4JCuYN4N8XeR8de9wYci8IdY +NPW+BdHy/TcGMdQ9z6I2DqALtVoriE9qNh/WkTTnrnhZ04U9HkdrlUFa/xHS6sDeYiwcIRSjJIs2 +c2qiNq+XdL+VLMRm1Hp8c/U0pRYK6xYG57C2STUleU2+QhfJn04CmqZ2vtZ9x0mRbiu8UR8evrgv +ekb1mRYPfq+V3/FfbD5bTf5JBMoOvy+yEH8CHSyOI//Y9GJ1Ior9YK8/pXyclI4RPUhPA0qYQPTs +EvdQ7S5SnhiIczsiZdsCndfqwECgeCCeXlS7eh8mooVkrvoYnupooS9wk8hmI6UgH/cQt6nSJy42 +GnXM+iBNZiml5fjHbM5HJEhgRiWUhre+zR9Jia96P9pvY7MuOdnmcRyk5ahl5lUBFMUuUCe8wHfy +xpBpJ2vI/FarcP24+02FPNEWNv6Lztmr6lXrBIK6N0vGE9zfIPIZ32v48OLp1razW9Kp5n4rFhnb +mBFU+Ha7xOJigLILfOaDaxmCfLaCr4MX5rxQSQUF5+pEBOScySZ4F9eHBAQL43bp1OtP50kLc7Ao +AD7lPYdDUrZdaiFpWPWg454aMl//MpmhxG5sthEp7RNvyNW3p5ykSo6AfpYuL6N587smx5GcLTbM +AVr2ZyvDwRCD1Ub1tr1fH1ljWPCpVDpXsrFvxRr6Jzh/ySxoARm3M8uOMNt3hZhZzdqIIZu86aI8 +k0/XyAzhVJGQbPMiwh/xZKYx6Bdq0Uz0L8BGomiphQYxY3KQmOHWNOw3CWdKDu7yPXSHe040mgGS +BMCsjS/HLTEMdeeQuaZb4OcjCxnZ8LlnR8uNRUc8Fp8TjR99G3aca45aPWl1jQI/i+I56gjLNbfa +F3h7VGEIAEq6YyEHO7wl0lGn6y5dHSzDvqwcFICLhPijnq71HXzTlpcX5SuHV0LJbix0lXtfuP+Y +4cSjmSr8tnaZ7vhIGzcIfKenq8hinA9y2iV+6mN/jNMwOGwe5pdLPbHyvJRUJw8Clb19+dV8yBOD +cBgyLpyOgPWtWZ457S+WmdAbvtN2KI3iUnLRoCVhbGXFGBMPUvNj3LZ2CDBgtv6TlL3kwSSE741B +3z3BQxioKWbbBPXbusruVBMfP8JpBbJESGJlj19jYENREyWeKvbZOgLoTZaHWE3AR25Re1SrHC8h +ePrDFpMF/1u3nW0nNJ2/u9+oThdQLinsWs/EA7rK1PbY+xqJXHzPcYMVJOeaQK+PFC6gcO4Jg8NP +BeEH7N5RIoeluQFtzadZAGyQYKHFMpun7MHypGZ0t8DdQbzwDGN0nRQWz/4qXRppkWR0LXRqulWy +14Z+tSK/0hDZUVfnTXF6Vn4qzYGeSS3GfCKX1dCzfgOz68BR5ujNKjygWVIWDtn4cTD/0FPLlrz2 +dLNZLrolUTEapD1TyTNlTgNdVX+pIdvLivuPjEyyZYSTpgoXGq1UvHfDtetoIs7PRoTtpbNvgZ+r +QuyA030RGvdT2VqfOld0wQjSNm8ZYkuVOL5AxHpSrb/Au78mrGqOBeDuLF/kHLyMc07kBFMHwDCZ +/rtb34Cs6eEu0yhigKjjHt5SemoafIKC0DqJw0BuVXINK0FVfZCPs0axZVOfgtZNzDM50hLqS/u0 +XVSSzZQU3hA0vx6oqd/wzRXPIaDx95Yh5DhPIPFJ4PIdwaHVPkzdGZEMIypjJtNc9qUdn5GWEMua +nbJ4/JrIVx4T1AqKOm9avj01VKfJ0lcAW6kOpVfoutcMEp8dWOrHjJNvUg19CallsUGFaHc9rr0E +uwivvN8fMOtC8XahbKfcLmDhwRC9MfZo1UY7e19x48/RNPsFFKTSjufEMIhSvEA7lsZV6Z5ZAPZS +toTg4LKw4cOJrbP0+I5hxopfF9RSvPriozmmQHAewZIOcGYnxxxf8ueUJ9SIaGbxSUETQqceaJ3E +n32jS6aBxTE8XfY1jQjVsCWIYO1/SRdq1QpODjdkWW37FA91jEdvPv/y0rUe9jAyVIIVOsno2m5v ++WPeALhG3FhiiArN8rjRaz7dq9kvDRakjQYTIyuUi7G9LikWw6d/AUC3d/5gB48kKX2AiAa11lJ5 +BTHSAsbuzRE9wJiBIUtFljKV6PG7Hgma7QqX3bOsXbq2So7n1v3JjOFSItbS2VvXXjcniWXYguyD +gREyGrk321QLenE8wsosj6xuDQjZk4e5B9SlAX6j8W/HeyQKL2Q+Klw2+NUHYp6PMbZYq1Ly79L6 +XRLmx55+VCpmWOQClDtVJ3xgv6sEVr8W+T+mZqiu3lfIvsivrpjXBoWf4ufs67V81eeegFGqGQyv +MV106jajxn1gTGGgNkB0CfBBS4jLMjAhMIxJ1EJ6vpj6qONvfX/vaySEb4mcFtOYNXp1HygRjzO0 +0fjsEIYAn1XlNqFMf8ZRZ7QM7WtWIdUjyIgNjzUZiwasHUt6aqSClr9IWo/nU4Fz3S1M2Hq8vgRd +9k83ZT1UR6Z575DQ5SAA6yWJ/QH/4hr9Pr38cSIK/OUAS29XmcoKxBR+TytRa8nkTomc6wH7jNwa +l5qAFeWnSzz3/EO/gkok+wO2o3yOTvN+SL3Uv6gM5GCR2UrbemicHQAesnfxZRlxnR9Ma+PVUlRQ +wFST/+/DHWVjIzisCGCfGgwlrHivHRsZrx6tDFkG0jMAXqAewht3OgbGZO8OGH2sQN3VYFozOolH +y3za6Z9hxEfhET8m91tjt3/DT90Aqp45EtyYDALwJJzVTmojJFl/CO/CcKZ3fZqTErItVOMkP4Rz +g8RYiYnjjhBHPHS+O3smkgde1Bvw2shK+k4/iVES20Z3knzrERZbJmXP8xl8DoZhRoPZ3QIU+FSS +24++35Ef5FMNACGeXlaANWr2vYhW/IWMNaI4r1B80/yDv2UQCsKMal7DPcksA6eJ6N/hunYyZ+Cw +W/Vf3V09pDe0R15vkLqs+XZJtKS0Snz47u2cAQ8uWGdQBWf62GWejrIeLQVdTo4xN0z9b6g2zAhN +iDGD8yqwPC1q7MZQMEzDjXPkRWJiQPjqNSjmDDRe3l4Y03pwKRyYLS9cLmKmXMLUkQh+TnAiCCq1 +lLVukv4ZJVF7e5x+sRn8lejlHrygzB5WCLsDi69/M8yQTUOynjjZ64BYYGrNYXjER6lL4TZfWsTq +4f8u0Cbk5nOo0OcqY1T5SMQ/H0+J0HjzI0ZpJfmNEheNta7zmSLkd9E7GT6w5CxH2O7IlNRf056L +ZsgsV5irryrJN59iLqicG1XnCXqnom1Few93/O4LrCH+87Zhb5+qXMGLLjkQHUdzOzQzXd6CiXuM +ORM5wqZr3m1fHr9MTz8Pigop1wNWk5PNpVyc2RDI47eK/VIPgpnKMB6UGwlC63kcxSsGd0tcwWX2 +LGBZR8L41S5n5l0pSX7wW06O/gUDxn3CEY+mgqS3LZdls2Sd9Y/0I58wxDCxLDgB8yVJDxt9Fgtm +vQ0nmmDoLR62+Op3JGRNVI5RNXZy7oLNiav62JSrFA7Nyykwd95SXGANqtEe2e/YkBd6YZ753+h6 +CWMgXC4vEtGwbttTazPORIjh385IexXqtx10bZqhJo57gPcklyj4TacPyiGf/IgpwaVGLefrE5C+ +0LEH3QUYsslmXlTDipAgDn3XX2RkFiUcKMqJgTk7AHqy9qu98XAuO9l/vwX7y2tHCH1iVUphDr9E +4hnOHLB3bDdrIUVxWN5F/wciR1RuZgfmXh5EvrtekLDkJk+cWbYb8VxBKq4oXz3PpgPIU02JPA26 +/tYqGSoPfuU3PJ7HufU056Y7q8h7uTzX+To0DDJNxVOinRl5PvNd3vITmSfWDs3em1LWICn3jfgZ +y2VBMltOxm/KBh06fligztHQkV3tU2JxPdJdft9cMyxF6NNwwUM5L8jiCOTahLYlM/tpZCZnLoCm +fch9LuYxYS1Dx7mUalMwe5K/cNIZo7bGmVYaGupTJkfbYCDhNZnPeUCBuFlFpWfluU5qkfyv6OMn +tlqvQ0mWaZB4CaAmJ55H9aSyWNvM3jAd+gOggascvMfyMck/l9PgLqHVo4Y5MNXtKV02R0H741MA +eGoZxXSqWP7+ZLDFvej0en5DCZQLznMkmh9TM1kBX4xNXtH5oqYsngvmZ9mtRU4pjLiG5K+5HA0b +TN+7vS+apGe1msHgJ9Y+hvZwaPhMPiG6Jizgwf3qc5o2ikhVwxS3lynrAvCVxj5DBhC9a5lLXH6L +X5fe1OVnCioPflPPSBzuXcYjQArTRBkL9ZbCYDkAe7/ZSyyRICbxQyLDgh43iPl/E+2zzM9EDfKL +1wABVc2LZt3e2jvz9sBGwIFz9K7EZO41F4bfBWLi5y8+4Q26LvF6h+CPe4l7ftOfe3oCnwuBqHuQ +w2UDHumEghuFdzG+IvoxvrVKePj/3JwrCh+IN0Po8cxqYAT5oNeLOLLleY3XGYW0SASe/C+1B+bx +WfAUNlDbifGSBqhdRvxmgHlNOBXuFgAXwiKCtsZiMbtVHGyZrCyYxzWAnkybk+dVjpudF2pb3+6n +egL1mvYyZOTuwc8B3ukKIg4L4vjiwbeL91FlNDwhO1HrcGgYZAkIZ5e/y0OIWQXirRTG+w33AYyy +Uy0rnoRdPxflYUSTo6TdsBQ0lJvxVJ3lUWYbhn+04F52XynauJAVWZFIvVDVOpzZ34bqWyBlqOUK +e+wyMegVyXcXwSju6G9C4xvEb/K2eiQ0vX9fgVJRbzVRIUj0UuCeBMyPFu79coHC09fiLnDyUiK/ +/Z5GBOVS/Q+W+U2BQLuZS0VCha+yz1nmK3uk5CkeROMxVZfp6geQwej18PI8drbt5uYKYrCJ0wpT +xeu2SjSjL0pS+wAlh7EqOXBPDjSOVcCKlLNMVrBaKAWxbEsXitelFzImLsPGc5Jqgo2vJTjkquZ8 +Uy5o4XUsLiG8+NWFOmMYJqgSy3fggwsBAInAYeoghGS/Yv+vpYrkqcyw59wENzjgfjzZxf+uaEJe +Nb1MOeRixkVSHxb8+rOVg9gN+mmp9c7geiZFNPkwFL7FFcFkrKdsR78xyytK75ohi/M5LuBNuP16 +zc05xxdFVcBHldZ0Q2CHKPkNgQ9tveEMMVNQmFd8dvgGp6YAytVsfs7k8VvJxq7uSt96hJJPdWzE +RhYny2PcWyncO0oLFtUQeFngd3Ll3RzPDMzo84MhZW6LYKXPzZbAG3d5+2Skth1nERGyZc5tXkYW +KfzCRf2x33se50kuPzlObT+PHF75O5ULYA1GAaDPef2qrIWthkvLBx95myTpwO+cyw65/JtFmiJ1 +tJg4Lu3SoN4RP+ZHgjRsPwOWRmnX6q50RSOeCXFLnbNUVmSfb6FGRmzbOc/TXWeeU0NZ8BXRZhLn +lJeaqrMipMj+wLM4Yi8bZXWrNhsOAvsQrb8xBubUMEFg8PKsOXCtiT+0KfFiYYAZJ9sBKMSrNQad +a97Wt0qqtrZ8ZNxWlxTU+eq7W4it0nxYy0wxmGnMYyvY5eLj5BUPBnogO6rVK0oF80VOj7pNCvwi +3gmHnhsODJzB3BrAvQu9fJKKLsL0ymZqYGnJmWEbaD4DNRF4uKOhtV8IPfS/EIl9b59jwIW0htgK +3Ar2r+Q2cTxPvczS+jM8P2DnyL8yW3P9I+mJCHCExG5MUPXuAN7fs78pfmyeHB97N8p6+789BBU4 +NGLeqAEbD2ywTml+81kldY4Kl7GhWSZsHHQQRL/ksZYQhjLj1JnR9HY6ia3lTsWmzeQNVyJjZMdy +2EutQyBict8br4Z6uxGjVOONI3uU578yXnIm3d/7Ka7enlYZBj1CBTmwFQLzZka4Xnoop2XO0FQb +m17eQYga/CAQ2QPUrrl+FV09YQgFRa7usVJ4K6XeiQiLKCj7mreXDrtkk1SEHP3/yXQvirje/tWC +XFLo/EIKwdFDL9B52xm187qrjJWNRtVqNveCJ779Qqmxi0PFcFEdoZfyFrZqzApmuYdeAwoTc++D +VRxzAF15mgGV0Pb1H80uaORPO5U7OecWvLDv+bYuK5AMbOeTX63pAi2yb8W+QRxAD+KL4gP1WnRl +6kSo5FDU9b9oEqYDCSsLYuzg9gmQLmkUBUxvey44MIANwciMb8EI4x1OIQMEDcaGOArlKAcKzSZn +rsi6DFTmWvGZgmSy29xjHJKuxAjuH0eKeeLxfAmMjDi89fcYDsUM7gYz2e4+uTUESV5ahtl9IP5d +N3t3XROUUU8763cn3mt+1RobSZ0Z5S/cZzgzdakXwg7ZPuQTwO0oHk1iSjpWBMdajvxo6oO/1c0F +mjm2CWZ800LNzz31zAXg1zvqFVsx3zF/qs1OU2SLzzqH44ZivX/3aFZ3IghZy+CQI7w2qWMGLsnx +CqdKjZ0gjMlxazu7t+RrwmZg4aoaNX/9cA9qOkK8oYZ6kjSElpO7Hdy7bI9pXxvT/OhmK+cqxNDk +yTW4GWKd7GO6rhZz+tYZWF6DX1IxcIDGhLHXFDdQAkbmkf0KP1QcmEznTDWm/6EV1UJgwCSbwQt5 +d7+bJwAwUDcA8Ew66oTSyL3EadT7mfTNK7g6sq0LGl65LiYTYCaiiAGCJnfnnRFhFUqfjAL6bT8R +bPZGmsduVV0UNu8L4Bpi4flu5XEQVErqc859EY4qtgC7BufBwlSelsdr9T5W2NDEq4qM5ASKgSMk +I0ge5roE8ByiLTMbfNieAyLAfLiw8fhBwSt9Tp6HawTjq/LUPkbdXhHLrMGmzD3MV6I1a4bBUaF/ +GvckdV+m8IyiV5WyDyKffmCsQB0pU8Pg+N/vVII/3TBocSnQKPXfrXmWu9OMfjbbO7r0OA0gTHN+ +Fl7GlXHrL3sVFvn3VVSi9CwjMdpBOekWb7i9yJxp91qNKQfmtTjiGfLr7iT9Tz1a2P8rMdFFJB9D +QlnG2QvBTIABkkl2YoVxtion7GaLbaMxFlYKB5jpKo3jidtUF3o5Bt+1EkesZa5+tTqUOZjhMrMk +GVh8mUnib+34UxDrcCSSYOeiDGwkLUEs5WlhD9maywWG936yvP+kVnCXNdgKFspljy9pFs8y5dgK +0TDN8OIexFzd9HNCZfpaNPG5OxkW6XM62gD2JYTpZpYYENE/FbDjyfdcOLt0nB14r2Ycrj7l3gfB +DjpRuEVAlJqpN2zXO9o7gOiVWZwozgpHtn9MeYI7w9XdUhjIO57Dwr93YmcfAjWTGflOiMdkQZ3d +7efANp8L6199qG46YKLjJAG27tL/+g6Aq4c9q7VdcPfPiG7QGBM74J3mq4qoBohF4SGYLP3Ws8nr +yyNjgqGd2VSySjPflqJxG2W4DEFX8DcBdYLKY+QfMNGxydWSTIttfCiI6FQ5Z+cmhRZm8PwCPtCe +i0EBesenh8fELAIAQZiC2a/DEGY/ZViT+tSbiQeIm/04kDNp/c3/ttm3Ix/WWHtLpkPPlYV+wMNa +006MrV6mzJYMyFYx4Qm4+Nqy/pVVeMHGTX9JFog1ep6lglxqOAw91tLNvPr9nSrdd6v6Y+P8mR+F +AIZPexiW4SpDfSmBWOgqrc7rPKdUv/iQhXx3OJZ/6VlJbTsLXVpSyvGOkX4z5qrivPkHxpPm4PWH +N117s9cjl+s7fWVmHADUFr8g3+vMRbvHz1G/hEIz0FmPDFY8kCcRz2mPqX9USYl3sAMLRr2ZpW2r +I6j/wWJk8mlKxqPE6twHaspiIxwkL/hiyw7GV0DYcIpa7ou1AIgp0GTTwacGbdztEmT5Dsvi4cYw +2X0PWWefb0mfbDHtZeRRa+8KDn7zhsGlG72tt5kHot30Asbshid203dv7Qz7SnHC0Mq3UBZy7t+7 +RXjV+XjnGfJdDZy00clmvzBOOuETAWPhCutkF3wyc3enTXCzei423uHuR0AedBJOEFc2vrFG/iFB +rzTQrSAVRTByGE9JxwCs9Wt8ezOl/ssLn+WY8niHCUdssZ1uaJqoO1UtIkPmtM+rNII/OOcALNVg +eWOZD9g6d9yRK73N26jAxoWHRfxErwMHS/1Y4gViGEE8FeRcd5oXqbbepWq9u3UI41RoGSOjOAia +ss7vnmOHlNRvjzfdPUdB9klVBbYdZbGOloJvmZaeWfEBdvUi+ksxiTpPPsZCqFoBEzokF4Ms90Hy +szC1vbIwVBH1CJGV4AOxsjFDZ7RwWHMZa2Bz6QF88Of//kA4NHBlfnNvWdywb2Sn5iPJNJKC7RIj +0n2/7xtScphOFBhh5/AEGiL/MbJ5wL7fakoPIfxQ6AFfZrWGkTG7JQ7xED3oASZNifrQci/p3Rp3 +KjcLJCJHwsyUo99LUHyrW9doD3XwDrGhyxzSyIDIpjD6Yt4Kq68qAa+YsybuPiZHhU69nwsGOyoP +Y68+rcJwJ6T0VHxIiy4pUofCTk49CxL5nkpi2R9E0rfReExaJ/ON85/GHihoh2agmR8OIr3X3iZ6 +wrNCphr245noBG5btgTbcyq95gNOQRzSat8fbC55IcdTReF4ogCoI9GWaaZUa3akOB/0Uu2kPZK7 +spO8B3v6WaeefJfnKvGUg+0DcTcQ5ZYtD9dG7wdiUcxEqV/XvSX8gme+7rpC5rnrAWTrAhG2OVVM +fOTpcfITCZUfwexX39KsYArPcQL8o4WvvCi0ZYoHmC5fJA6rNowKr7sKd+mM6Aucw0HMm3si5mBK +TFhD9GDFwLGgQyYelZCMfBrkQSA3UcKjldBI1UdCnwNUiHIwcHqT0qUJ7YHC/I0nNd/16d7SQwtE +/Hx+SNsTkqPrLW48cBr6D8NsC/VTUPYvcjZLgpR9hSX6rEVytKkr2RYU4C1fxHpUu+CGFu2WtRxa +kZIV5gXlmS3d+2zp1sDAa/fIBuvatsHk3iHZi+HdRmf2xGBv9XN1H+7PhbUoc8Ktc9n/v6oa/5DM +lkPq15T0lAVJNYHH0GEcq/YLkHy7RlJdLhx6kEC6JiZp0HAhUHBchGDqblLMxSGs+8b/B77akjxQ +RP403AgiXoDplcT7Cz+rXwCR/t7k30l9LlqN/aAC/i5tpDsTwAj651HM3wJ1KvYdZeMhnMLnXSn8 +v6sGvCBJmPdAKn4nQVZqJwuB0xnThiUjhOEZ9MDXZCImse3a/1Egtel7wkLDQm21k4XH/ASMd9C6 +FIHK5Sx3UXVH0sJUDBKG3mWFEx+OCHW5cblZ37wcBXvOcYwRIvpsLneuzqLQIVGKbzoN8LLPZyBt +Ug8CYMm1ZMKQJi2NfLfNwcg01Sx4KIVgsE73uO7OThxxdR4GIZMyqmDRc5HJ06OwXXZNqK7e3sov +Zm6dZG/roudRElWLYUnZEtEn59PmnwGP7vHgjx9enGaMgi4hStHsvUZuPldYt/ygUUkM9h3A55P0 +t7q5TzeNOeeAB+nbWmn5sHXyh/wzvaUGo63UZqZ0vRib9aiHOq3l0q54b8kkUJypCAGqFFHpqlSN +GLp+FYH/wAfJo2ArNxGGYdHzpcBYoV9uw1LJwFAPk2qWDB3MBMa7Sb2W/WAAig6D85AUMNNxkEFK +TU+AmLa1L+qRJdDI7pWt6ljcXNKbpVzlgOhEKhc9Wp7jSfQoK1eDwrHIb1bKcnQtMmHUaU8hu2Pw +jRmrzQzOobUwIGMBbogOlDw/SUZ+Ah1uHdFD4L/A2y2mvhOPqEuT8+g51IV+JYKRTilfnFP/+3WC +pjUDLMtSeBNvrlCT4cF7GaHXYRoEg1SmoJZ9gnOtZoELmKiZ1ug+bjP8XAZ0n1vCIkKRMOWdFtmB +mzx8ly8uP47EZHnguGfo7uV7wnVybJYCceNXURb0vxofNVpJfnK5Cdiw1XBngMIF8DkiSzpMBkjj +tVIlh6W5o3ZmyrCz4rbK0P8X+0W9xvPE4e96FjCsOHu1KckaOPBwonxw3I4YvymUW5+Umqk03EaB +/Ps/MA1rnMkHbHd9i0qXoPkwQccpYpYU07UvltpsAf+YntOk7DBQTt5bDqghEjdGDJVwzvU/4E5m +67k0sSKHQzsZtLB4ac7+k9b6erNJ8+Mdc1p1D3VL3HG4hYkGRoiba/k64M82dvbaF8i4ydY3LYo4 +XwTaFY4Nvo1Xo+QJbiNLqJfZ3XumNQfN4YwRhBETfPB1C2UUodQnpvig/VjTOxrLmGBSed9JcG5Y ++MyPusO1Q7EYf+D8qRuVcKDC+7Sf6jWxEIsikh9oV6/iP3k3RH8l8bFFCiq3F2GpVBg4PL42FvXu +ro1Is1U/ODiaSVpvvEkUMD5/HHbqBuQJH+i5gMyh9gw6+CImJwPJ+PZtoKedxVgIPWy0E132/pkb +zc+16hTWcbGQyf3URt0U6JLiRSLmWOJJ/UD+X1O9uO4QWQ/dGFWp6D3A5vlIT94aexWWhvCJyD6t +zED7/ms+mCgAd95TubUNTmSgHQHrLBAT6hr5vAxqvN2vOKjQVMbI8eaAii1z4mBFaOOoGq/XfVXc +ejZkFWFmCQSAaxvZI2oXjjcZS5j2jtR+0X/E/eMpCBmKSK12LUldTAVmF4vi54ans/0RGBUMeqqT +hUDEmZgU6nbnUs0BKGXB3CpQCmxyg2+nVHdE7gLvDrLFGTbzjTt8DmQbkyJmoo1//AKSDie8XEZY +iD0wMXYsA9NKp3k8g4kCKEA7UnFJxex35rIvCWtvKp29C51ToKFyq9KM+oy8aLGyXOkN/sMcoraj +U4EEUxfvOG0se8qDVGRnAQLmf6vWflqS4u7EgSfyrhB7WgJXnT71Rpirsm506BfVnjS0SjB6U5B7 +Ys673+JIxOqLsGCKKQ5TRyMv0TOe6HTLCqBMe4Hp/B2sinJC6JILbkwz73mpkCjE/xftwFxTcjwN +ldEIgZXfdnv0d6sdsyVSCJG4tCdru7lrR60Zg7rVQUJye/8BpO85p/9ME1ROBgS5YbZ06sAkcnaM +pk14nIhi2onkLcIL8tbETX49tvhyIlBtarCVrx57/v/vIAmyh5F1OdBRdaee0X1Vitj3rw6FS/kW +QheJL1XFai6rqZCQ16XoEtvNR7CMa1hJu4Ugmos/XsBStXd5R2drE7Qbt1JsECQW/QVXeFqZB0Or +y8MbFoz/kc/cETXdgRiwfga5pVekQcXWR0td+97nuK+BOwtPyEFwoYQumJBwMna3o5bcg5j0pZOw +OJNi3cwHYEUln3t7UCektxQ4BMNpFZ6ANH0amw2VVC/Fk6ynSk8AU/ZAr9qU67p2j34iVytS0vSC +kE6mzzAO8i6rQ3bWOhHFjBmFC0Lz+KZ98iRL4KWS/23tKMQY2D6GJH63WWNjp5UFqxEceEB2584C +5+Fb1IhCYLpt0/OxwBtj/N/i1uhhxqPUvPFzkDA3a8vvU6Ocf2nFO2gvGzeQGZR3g7pNocvujl7N +1o6oYD+NnDjzO7UG33uw6M6deX6gPniP00WyE1HiNAx3C+1T9m8zrRTfHd30FVMVrp1sUSUXmo5A +XslLIGsArCfqRm8b71FwFj6Mc8O3gXoYeSWy9k2v3JTsZvB+mUDUTGGzpg9rRewp1VNbBgdbX+uk ++nFRKEF5QdI5Z12iIzb5BgC5iW2ZgQ7Mt57lLyBfRb7zn/NulBiDXCocA4TMk+n4d/jkbLC3PZEU +eIfpdk+9Nhk3z3BLy6L0cKsjtT4iRv0VZXmSuLt/zwrcsec1EfLYa+vY+WjQhC9KfbjDucFTPf3N +ShgknQqZiE72sa7ZI2Tr1QeLgzU4hNHST5SVzl72MytDxqupnSJaNP12+3RcUgCSxEtIf9C5CKvc +xzV0vcUmXeYKdeIYGxKvjDe6iECakHLnU7uc5vOHsrA5GL+ZmFJiQWoFOVJ6EqSCUwQNPvnQjuUp +TrBscTJGfGh4mROz8JfgBGHipFL2Od0JokBPgy0Y36p55yZKKec14XEjJbdQR/K03TjtrsuXnJUz +HTnpaELa2iOreuE9IMts+ceUFfn71r8+slIupgH3g18TsGkkh6u76NnJC8imr4wUcSbVMsl792gd +i+vG2PoT0M/5imQ+pCA9tUATvsOZQmLHwBa2u/B6m4kCbWdvV1zSGI/sBO6pJPFOiLieA8ELZKVk +V4NTmjSlypvjMzhrjx0Zc8JfVnderg0KceSv2+QSiq9uHMM+yD9WKH774ylERZWCJa6BFqwt9tLh +9l00u5DCKHDQZ6cFcR1fpPLTSj1iM3ZkWwlnuOzmduA9ZdLRSsSLITBn7Ut4EeFKiXmy3EAl1d36 +y+Tx4SEWs2STy2cz9WraNstPOSjjYv2Yva/K3i25qxdy6OFUjxBztKy1oU6qP7ey58dsNLiiPw5t +VgtgIlC72l/iGLQ/btdhDlHjLpekfWgEFiIy2ExkGMwhpRyp3BQyljlFUfgWvHPELtv6F73nXZQE +ESPBtvL/MmxcPq2A/BHWdZi5/F85LGMC/vwzmjNpKAbVcEv50J9v6RmPDrNX69i1/pUbcKDKVO5C +1x2rMQd/q7uDcbyIMAXrRIZUizJeXy9+pEn435dWUrzySC1OnaHTVj3ptp2B9FaCQiht7EuJEt6i +s60LtRSGekPXsHwso97RwIS0ay5v6wQFPx2//tFTpNLNYCF/tdWHY+gkZdES8xLSC0rFF2c3HYow +pFJje0QXNQDuuimj9kV0RezIA+swrcUfJPeZMMXWpGXfK42igftxWxg1dv65mojEsrApXMUhIha7 +aJDCE5t1GFXp7a4jaMRYkfcrPQgzPSp1o+kbpFc+xcuGtoLS1V93CW5elLQeBZ+GFuLmJGtVdVL8 +CJiA2kKCrPa26W5uV/lRFspOsL+HJCGGMtPPLgoWeR/AU4H9iLcrWnIvicgLRBuu5EWJVyLEupXI +En45K9IR20Ct7+Q9bobtHec+VpmFXLN/Voo3AjNfRXP2lxAwG40l+37pMyf1gAm2HemOw1Lq4hvp +i7kuCtKV83048141kL07kIcnY6Tr+8NZYlXXBWFQowFnGnNWn2jcS+uDQ+wbbN5u/BwRl5CBPg2G +XwC1fYZSRloVk8CGz8TM94HP/HEC8qgKIULtW716iCu9IsWkPg5FBzvokdbZVGSQcf3LojQwuMF/ +zv22dD+qoWIiOYREJfK+yQCH2ZlCshZv/yQoWsMC8KZZaa2LXvxtwCl/vxLgAT36WCw/kkBsITXB +3LWB1THPLXvtyX6YPVqs7kqxKFpWra8n6Cm6ZoZLEokwDod5K2mcYGfYDGV6YEMsKxxccL8MM4f/ +ibARadQ7e4bTh2yA/O9rjqdTnX/RiRY23nG4ms/agj+KFXc14mVqeNMEHc+bbv7I+uRSCgkRkwzP +YSQ0PsR+SZwEtKBjPFtR/1uLsepM6CQuKGQIM+/Ur1r9pSokhxOwsvSRWXX5B5rfUoBxJP91wh0H +SIXlqf9HPBNu2UdkUD7eB2Tcsnpt8Ucz8MHlAhhOmiBaAf5VKWmoqYg+jjLZFZ6eQUigTfZzKVYW +Or06RBVTFSNGTgIbBYJgJBfbqsZP2dwJWadTAiHDgywiJqpn1/jq4FNnCyeUkAnbITdd/uSONL6N +5AVWVhJkNz0Z5E+UFAHtZ/9NLOQN/jCcWSDil537I+PB8TsCPjYmST5ttvUW44CqqXjbH7TWBPQu +yhnuvk3URcmCFrwG0gGnuAvqfoJ0b+Nm3Wag3ZJvAIfF3W41ZXBit/xgX7LGT9BQNSQUTXRJhT1r +2DaS2B1IEqSFgWys8v8EsdC7+S+N+BBvvkq+WY/daZbOqhmK1nSCWEptWhWVGGpkU1Vxpjflll0i +SoOGCCc9UCEfwGuExPwXDb8qCM4OaqvR+t+lUPt/JyeB9q4RqO9NPD4ct0V7ykYcFi89LpUaguSN +WGSHlPdCM5bxKcYZjt4I18mstECfHRx80lwSOjLnwmjLOA8sCtxBJcxisLPy2ucDqw6RZcdDDs2W +M/Y6nNcDdomOWHdxYhaBNKeUyh9BgwnF8c9pmgw+mx5Fy2xnPoWWp0GXeFUs1XZNVOCL1Rrc3dK8 +vgqW2MxlDUm+pmy25wdcNxAIzKMb90LenYL6G1+66LX8vNNnGUEu1gSA1wZ8dNSmnEf/Z1nqSS2k +LVRwvyFhWL2mUYZSDxW/pqfT4AbdWwjJljHhpIW07Cngfs/FCius6T5N5J0V+nucDnaGh8mjwaC3 +TvQ6R4rE2Azm0gnmfRnjc071l2+DAoVe1r2eQdZn5BMu8W7fX31tUwtvNC9HHCLtezTivYars2NM +YuFEtcm5xTqA2Mzq6VG1tfYQEopLyCph5Fftc36c5bsr12u2XyHj7uU2nW4JMFe7vGjklhj7tt73 +SN3t6e9EU5m3afJ4D6FxjMxXFMaM/90ZfzwMZUnbQzv1cCftWzJvizLLhmiSlVrcSLohBameHAei +NZYBJJb3obTMePy5KJ/6uiK9OpoJVF7yemeWsL/a75Qn+GWagRSzGOENgRqS1oZTKWzf+djkjT9B +zcF7k3/bu0nZlTaablrNOXLJ1Rtxs5y1tO0R40HhRmAUn7zbUISF/THxpucK9sAUu/23IO49QR24 +8aLlHAaMk4JkemMGhXx3Jyn9UrzmktOhaXroNH5Z2vzHUR2mDCjArkieXfMqqMfBIZGtejF+kKjp +XinHnTCZbyJSFlX91+h09Dv6Pwf10iIDn26IlcPnJeeG3h1yYQFLG3+K67ya3V/On+uspSefI082 +y/aCyQch/THlN3U37hcw4EJxqgfolttNljtYzWcbneGQi41JjXbKrjGZNEM1q4lpJNOc76LH6uQa +ZoWO7QtEvv/f1gElO6HA0luqH69/GxFG2zG9RFNGKl4SqW678l7NiQNEmUX7H9ZGiKCN2EWdTcL0 +jTR2rzjtj2t1GapglHX+zorWD5QbI9foDTANcEThrK48RPdkm8dji6BiPg0IRB2v9UNGj1FNUCzP +GhKICI3LDXmuAwGZFpNi9ybHPNgSuMTb3Z/TJldtVsaP6nY1/Njmx0dcFzDy1klugsJgs+nx1FRV +MHmZfJ1gLWigVN98h2W09yFoWC5oPIDRBK6iDt7J6eka8Pu5j94ycpUSBpbe+CIo7kYoMOip0O66 +DYjJEAtu7J6paiZaK4abhU2pZfXtbIl5jXLEd01tUUJYe0yRd47jszAeJp1FZm4Azff8b0zZIyF7 +6F7sDUIOgnV0BcHmL39yphqL9i9JjYmwO3t7neKny2MwauJ0h0T5b9WSCfRQa0EfeW1qrBXOy1rv +yKg+bgfcWIAMz8Gyw0g6ORZ9uheH7u6pGKqZfwZ9U7vjSACBToh4M3cGypDmIkDPNWHBnLh4aXsy +J8tDnNGaW/PUnx18OL+jixy1tgURkXCladenSFiDZvOo1aJx+qELEZEryc50QyLuEEvODLN1Ylfg +o5oQaJR8LYQ1yru1eYD967ulZQ3Y3x1h0rpCrFLdPCnSTpLVWhlK39qw77yCAX7HAcp+AbMCyW7B +Q52hqg70SUS43PSGJ8968WDzgoAInAMH1HhCyvUrXA0IyOAqJfwSP7zROSNy0Om6NNqSeGc8c+Pa +cCmLz0rFnvJs6FTTisS4ZlvnR4sIDBoQskzAgs4N3QFZijg+dYtu9B7fFpBnkHSOryTdDlHwBsrm +ISNh/DfitCnAPyp1kC1i2YhoF8P5eKH5oQrtjoPrW5NpIF7I180qbw0iVaJ/xuEUHtI873cermKb +UaVs+ptCsBCY/9lo9xFONydWAUX338AvX6KRbkJqsS/Rqzclx/kQs8RWpYwKvu+6QiIhfcqItEZo +o43EikZY/XyyOgyV9GZ8zJwFirV66WF0/hcOOwd3EYnt3aSbq1E9pwZUoa1vtkyzLJ9krJAwClHA +C91GWc6O7JYu6ShR2UKEujxES72yDw3UVL+JTRHCCp9bWTY+KYexUazcTcV0mHumWgZkbA2kQDMq +WW0xSrX8lQulmbecFmit4CLVSeQvcJ3bI7O7ewFfgkouF5q9XpexLG85o/aQLK2rkPiRmrJ7hqjF +KSEQsG9bESamLrBGT+g/AU9MON4y9+BDdmkOn3oRZAJDXI7/Vo3gs27lzGCPKIui8sUfAGG01e86 +5+XkkL1Fx5Bc4BdNGoVmRu5w81coXWzBRo0dcV4jSu+O9bgzhCibdYmDqaJC3BSAPBIKtOOjf4ao +HtMjbVoVSr/0yvB2Be7owNu7wpYksShzFfeByItXdKvBlwSQ09rr6AFKKk3Z3+OKvFnc0wko8oc2 +DGpCPd+19O/odAUXz81sSCtufmCfzyAUeO/T08zqt/LaWiznruZxrTLzywvu1YaafbX6NHiMO+H9 +mn7AggrLuD0u0OIlShPIEpv14s+elPdkTq9kwMyQukMHg+wa05zt81T6dEBno64GxBk+s1kLt+M0 +gElRWwg9nBa357Tr0eDUTz8RtUcr4amXlEDwt+7tHIffTpnWfAxhwTOxXuZXdTMCltdh9WMCu+Zu +rRJjUWTu94wjSBWRWmik3vZuJ22XKFrKpPOrjbU4Ad1jdxKts0uUkWA8e7wTGdbHTJobOVmp05m/ +pJ3/zIJ+IyHHz+W9zvNpl22WcqPY8PAf9waZp2Eg2G2OHw3oCEo8Ggf3YtRtdNwLps7egK3yDuKU +a+sVhvjiCk14ZF/MexTB6bCKzyhFao4Kvv/4zmKrCRRwmHUYnPG2izTQlnb4l6k5cTCxhB7Ykmt8 +LbfjNgZGMrrDs6UIh/S39OaQ7cd1HsWi8kBQ07CY6dmqmm3sKoU8Yap9zsfzVuv3fhv7jqGpgcyO +yNB/j9cZuIj6kyhEuYn7HVdsUj8LUjlJ9iMyxau90B3wnAsCJaqhHLgol3+h212TYl3IIGkjRtng +aXrLwviXWK7TU4Iq8s2fIp1CxQsPCGFJdJb47h1FxpnSunXasJkZ/Ecia/FhCwR2TsaueLfqWDOA +2CDrEcZGYw4OkugDeaXGOZQyRWtg7AU8if6/xAdZOs0K6I780G2RqlQ+YDmCaySy39gtHh72zX1X +tPBseiD00mRxxbxcEH+gdieDGE3Hw6RXQCV6HqEzmN5r1C48SJFEe3vj1YV8no1Me5KQC3CHS8LT +wfZZfd9m/eqBJAa1CFICKxRskIQQmPVXnGObnZAK/lbbex9TgC4WgiuSh+hMLfJ8jzprYRd+5usc +KyKwqq4W2c8xsT3zD1JveEXbH1mIr3XikchKsehZas0mmAGMSlMMRflmDVog2Wt/09lrSGFkD+Ub +4GXGUtsczIIxTzwWNVmvx9hGBXh/+bQIR8oX22RX7vDOnSWI26rGlXbQo3xoxQi8e3UYUp+QcU8E +Osqb3QyeDc2by6HDTpvFhIrjfPt9shxYXNql3L2+J8ACRU6sh/9vWU5aqYq1xdxEopfvFYA8WWVI +enY1x9bMU8Gcu74dX7miAl2ajZxKZX4zf7E2oh8j4LG/wjvjguUS+lchr+OYR3gmcpqms3vnJVVZ +Ws2o/JyjHcDM8//ukYwde5TTZk2e9+yuxnNoW/iRT/uIyiQb9aLfsbjmFSOv05554fY1efE8FDI6 ++W49ZUZCEgE8cnmg7rm4Yz0NTuc3YCdn5JpsPsh3V9Wv2v9Ssd9/mfBGJzne4YMcsMyVbHtlizfW +dYDqnhnHbtpLNx9XudTnv3QCGX6EPphQ6Pr12EKWXjbVLQCS7FCkpM1fIG9/DAk/ckSbyJnfD9A7 +v27jzF1sdsQNpdTZEMAEkN0OvtAiVnGQ7N6v6CohpiKdeO8jnQ5DAny2GGn5jJvoST+CLA3ppKfq +qpoRQQxfnp3P3hBfnYgKepeGVt/1xlOqc8P7K3+oZVRfNwjcIKStyy+M3OY5RyuOPCzlD8GuTe1e +LQKsnsnJcmIzNYTliVofVeH19bxkk1Iu8ycLTbr1M0+lI4eopNSxsPci1bB9GPZfGS8r7OYV0kff +ueoW5OjxwloK3iSR72ztFptnyRkBIpCcM5sXn/UBKxzmiTlkf3kzJz6MY64A68QkZwn3Ekb65ApZ +xnMvfLGPFxXwf3BmS6AleEpXqKatuI9ZAC763oLelF/0p7CHNGPdS51/FMiwJHjXz3kpoGIMDCdb +A///1/VOPKnblVU6oAVihHVcASSgsWq4ImgpT/IeLaPRfrAaQ8BC7/vP1+I+rE+rrsIW2y/HcUn8 +xVxH1FC3EZO/KI+1iM9ziSLqfRW+Mu4Je+rLRmuEEjh7nM69x3d9T9GQNIsbt8IVTU4gp9vYkHnz +OiF2B7I+q2m4g37d0PYaOe9yhBetdJNCOYG+U4ApjYqVkqSsyzvohIjcx5bYR1H3E3tGfKjx+2sR +ybdaGA/Mpbn1dW0QNskdG7RS/A2Us9gRkIcXsAJS6dR8VPZOCwhItJrcHXWsbGgXMm9k64qBvAnY +FnzL76gnqGERqfMn61rsvetuzz9RZTbitIrMEVK+k/GZuerqfgnWphQnmEAOcMs0IfOxj56NA2vQ +ANbZvNYeqbxGZb1jJYcsUhspKzweQ0ZQgZJ+ubZT8CqCInr2W7KCMEM/VgIfy8K9GbqFlzFsvgzb +XNZKOKR90w2/I3f//ZsGfNCYOgRl8Zrn1W9ecHSiCCEmi5E/76O7zqYLgZQmMIiECGZhtVYG9VKY +XkzGMH5NYytCFckoujlO0ZC4Seltyd0wsJRSYFy9GxAOIkmxW9FN4mozFHv+oQSJhVAiZk9Lucu8 +iW7KRYmfJdUVHkPERXTx1bEUq5AxQWQbedlaw2wltdUhMvaC3txTxZ0ctC4IZOvkmXVc/xSehxuc +7+D6l8NYKOCpyew1rUoJszTCFrVaTfySooeXeFT1CGWabZOGWT/NInjdkxhg01CcIvh9PW99aaRy +XqKEdotkryHjKfYW3QUXpaV9Pn3sB3PhIDVchcA/fyYxWJLLerLh0EXgVbI8MaEx3CUswilqdufU +V58BidMrQbtSLbLL7NWtz6LDv5O//ECQ4ut465Y0uyO9rMLD8AYWmV65cv2JYJksIgEuDif4d/UW +S2vIjVrF0bCdDQQYXhW5LxvT0QwzHBvsk8wdMsNXe8/ExO/yOvEtXVLywBGpmd2CObwFmc1iEtjx ++AA5rzmqkgx+fOHvPP6kY7pNec5Mb4v8Vw6qQrDi+MdCvIKfZm+AjzQyb6pnZI3IdnxBQQldos6D +8ZAZQKB1uH8VbaBtNfV23vfWeoUGEbtWZrAmn3vb2AV19lfJNtoWy/MrHwIuF7DynmI8R3eQKGNg +iccUXIVuHCO0qBrqwESBaisOR2zRGLKjse0EZANG+Y5kkyEtr/dZUtA2ROXupifsYJtCNdHZOSSl +n04X0vlym3saM7F+PV2kyn9o9YjYeKdiDHIGnDNPT+BpgxIi5YKDFdOWAxhnA2TZohiVByYjB0Sq +2H0oRizGUk4jFR8e+T5oAEMRQXSu65WCCHAiTEYbYGxCD0kHeynptRQMcORn+2PtBSRDMIlEuia1 +6qd9h2mFg8E0KAedptgORaST6OTgm1Yj94HFc+SABmbF6AsdQlO3wS0rPeHcmr3NydI6FmFAxN/q +G+IR/yDUA/ElHE27+453l/7VAGOERNKAQcuIcybnkwGBxBRxEEsH28sTr9msMUvZ031B1Xr53hJK +rJOfvhgOqkVMYjX3YoW8vIiB1Mrgu5UnWrEl1e02oHVpwd3OKbm76mao8E2EXPOwUSyQPXnAwQ4g +tmG0nuuB3rRBkYaHS86fwZqwrQFEcx0TtSslwS1eCdIi96OUZKDdGQOYTO1+52wF/BFqSQ/z6xNn +Dh2rN0J+gzFQb+4KTZYufWJaVZiYfUt0P24Mcqk8t34yCyCxlo3nj46XXwETNQ/HgieVQj2nNIQy +SFG4IekF9+Z5a4IFc0U1dZZz1GjiMrlX9uKmsyiTgTNUJRy7Q0gHMir+OioRbgQuKTEoBFjHJ+g7 +L04B3pfJXbD9pmsHV7JSqq50QVAGL/6mtNdzw7o1XVsYow9nXWK0yW2tzmS0uFDnUXkLUZxRAoKV +f63upo8+Vtlf3HXAxstCm/QklU8AVhhQIIdEBmLKVJ2TFAum2vZUptLBmQaW72tr0fWIfcBTGS29 +vyJVoFI+ajDoHl9tGFLTaO4qSyj+RHnqh/vbVrFbFOkx+7lXn9A+jG5DIPJLSoR3zNcOBY7lk1WS +S85s6C5Cg/kEsngcu7eqyZTdIb7C0YXjLOIMzcMkOEFDdtEZdJSrGBkjptxDVfohWnGIpvPU7yWz +onuacbkBsQVjdRAKdD1572b4Myyjd4Kw9Qd3rdBA6zRHrpl2TMvnR8mHHTaVwWYriiUjEk+AGXl0 +07R5T7fQVZg+vA7uuGfOX/lmS+Jerg307AiCMkmDrdVNKiZj8pwWgopG8R3Q04Z5Jw9QJMJNxw9Q +3E1v8bUOWTcKdSaWQcYII3w7tS7oDgN3Jf7sfBZJlcWoSEyE+OCLXQZiFI3cPg3H9k6l9HuJl5h0 +u7G1VrDBicuMRbJTks2ySCUd/zjrTbMUX5jVaGnfavOQ1KEnpdIGpEy6JBYGRP/dnVnNilDmarH7 +VQPLnkqajF7VuoaR3TP1XoZc5Z7geUN/CnsUXPoPKUlQtdQ8mDMd5h09MHIxTPRFbc0C8/9tQmL3 +rAFjruF891zviF3jqjW5pBj1oAk/KHeIBaAyTb2dOJFIYEbCNsgfJYwp8S354XNX9m+fUWd4aCYF +z+meF/kBPjL2fjrUb88ybIhaXaxcgreUuH8N43OUVcTVi7RKzTO/oIQsPCBm/Z4vl1Bf1WJPhsaS +jpY293UimLbrpAFmpIATjpPA8Op50BXhPtIlqSSo5t/tkQ9H89VJ34vwhuOQO1GcTMPSJlkQVP97 +wsl8jWEqQKLjNfIfRSNDyfZ2QGQzJx3TKSMWuseytdnrKw3WVzKhYtv9X3uHqKWz2/MVFLNOBNNV +EefHpTEwDxKft2jpVHFiZ70kfOJwhJ9LbO872hXyS+tSync32lpSbybzRik7yLweKotHcrD1JD5w +TY2/dFxAPjHXb1vLACQcHzij3zVFavoiWcZ/DZQMZkrS3MdiF3YF3qzbUMQ2S/En+0Oh82Cm6t5q +stdIwOVZXc0+7CbROKm/6Dy5lQI+/0bC6N1BUmW22iGC+GcySZsFrZKtSsWg2uWfEwIXGOQHSvuL +ltC5ClCtGUMlh5jBY5fC85268nV13C0PrhIahU99E5DJ1vSl9oMsYU0U0We+aK0/rioPWzuYxdzu +u5LQGWZd6ConSHWTCKsSF9LzpOFbxLDy76u9jV99w5jDiTEfnuUrXOhDlkZD+Do4rAyGYNdguO+g +E4//uOFi/BYCZp8NZ4YAqS8+w7q1wYjPfrxhEaN8eXC1OgKXHDatYyhaPJatQe6fscyQfnVhO7pe +8HuUDc6vsw3vUOmYojUwg+RlGqxPN/Cl3E4kUb62W7Pvrdo/I/Yqr3DPcOWwc6UyfZuiTJmx2a8n +dPtdA0YOqkZV952LnpZPSaObCk/CTnQTT62r3kubyFsw+2grt71lsrV1Jnxcea4m0MFP30pHKQP5 +A1SUKyHnbFnVv6vYi6a1aIHXVY4xLClp0pTGrpggrVUkrm/UceuL3PGo+gpOYaTPx4p/pQ6lrVxh ++p4t7p6r2isoA336sNzJ6ViV8Z6EAhxEXoRf9GFOEUScaNFMVPi+RrTzEBvY6yr13m685GxxC388 +xP1hhaDjxLncIQWdM7ysDeRhVgxplrp7Jw2GY6DhqEuCLcXhQR0Cal+tN82zXMGFHIdbPmt3WcDC +BAE1SvyGdUZYcKrlpCZZdYEz6UMI4CwFupyRJUtuBK5aaQAmh8Cp8XJtykCU98EsuEOtJ+Ig1xv2 +ON/65GQq4YF8iqvWmDNQ16XlwxzWX1SXJ1hEZtBER/K+yNrWpPMgO72Gaj50uurBl8lYE5/wGeGY +UDbCvpef6n9bjXDBhwWa0q5MY9/QzX13qmwXt58gyR7GvyiqKCNoLknMuYsZ+a7DQfEGaGyQaWPt +qxmeaHpNy7VTq2BrlZtRp4USIPqqKaY3UTuD4PYLnl7j4qwN9Vt1JGF+ZBHag1praM/Oz0aCncyi +CyMaIVGC/aW+gIKU+eDKRwDo6KGe2hZabqLTH7xJ+b3etfckMJBRc3tr3BF2WOrPvD7WmoeJ9FfX +Dt+AH/mNa40r9WB/F1l5WXrWGcEf1VVYXeRhfMqIOMyIKWPR9tn2hEhRYR9fPV7lBpi0nV5264QA +GcBigdrPu2dS1m9S1hNziXhR1oeZgwg25OopZDMEsSqKMjWqzO/NLTGM5c5KXhyUb67cPkauG1Oy +ilbv1sbgXbpItWxMiSPi91qhnEvpHN+JmATqMem6bgt7c06qaPqzfsV8mvukdIZuZof8RxuwRxHh +aUXvwzyDwGLXEyLDd7UCWFuByQltCE4GbWDOGzGxqJNcWmLlPB8PyNp6zcEbZO/rl86LsJcr5iYk +cTa/KbwnaOvOzDedDe/95lnGIy9uS954ShYuAUH0+3O6u6x8JCJR7xmrhQ7PViTC3AbcMiA7CeNK +o6B4ZNSSie81bQySsMtmz2ES+UTE8fBRQL3rmVjgpC8x9qQo/fBsyqmJfUUW94pfOkXrfqLyXBcl ++voYbeVXoXXBzqOTHG1RTEV3lw7pmKXOR7ozVnh/Xex+K6Bf8qDbYJSCQZOXzzmUBgUr2M/66dGU +fBjUv08eJuR1qIq8CPd/+U7GURQb5e93mDGg2sQXvPKTfwrcDr/z2Fbj0IhUMRVnVthyD3dVll/2 +FL0TrQY99AVljI6fQcEoGk7h+HIAg5tWd0okd9S9Yt15nl0rt3k9uLoAg6urzuneBBRlc+W1/7mv +Rmsj7Sad/hxB2rRwMtR48LVBb95uvKJ7790ei0TUjCNvRShUjvgXlOaKOika8KioPXfucX8sFU2Q +YiOWCH0vR0p1+DJZkZzusUu8opvOdj/w9oBBIfS+zYHOwWAFkI5FpKtyAE7NwU8D5uzFegsS/e/k +pOK3zgqDIWhvlpXdoxbIuXpUpqPelT+9oaA4yIyMEAp7S5o/OkIvdGy8CEpKJKiYnXqzUTOkM3tr +GR7XK175K0qzggngcJ4T3xdbSwl5BlD0yTdyk4vxkCMEcg5WY2idQIMtW5AmEhDdCcOboDstpzpn +mgBeBQmg3A8nt1xKVatFOserFZ1jm/wVqSCOBrMitytA9RbWDEyo9crLz5IjKGDxt/eNTYzFZEXw +KHM+k5WPMXyCXThwsCAXcrGynWQMKm0Bgng5YKdz6BuI+nSDigZQdAAZM7zoFP7w1fasCreWKxE/ +eXA9ueLFdJ4JuVC63JlSB5e6U4QIw1Xy4TvJR283fVORuPB337Z4uUb2J6GU5+s1ZyfUwQ2V4k3/ +PLBk3X5yloDpulsRWiKks7oqlJcHTeFRuSU7z/MjmCZ6Tjh/mqZtcWf0N6hCyp5SSTcIE5TOlCds +vMuSOnB6eMpD3RhX40c31OYEwBFv9kYcGtHcvtHTxe33LxVOrZJt0VnOqyU6qtt0SbfShgK/c04M +vrWHVsyGEGsDPWjhur4JAsDvMivvOqjwTX0ODSqlfNWbMpjEyDVXr18w2/DCxZKNXkcVpyy89Wmn +2HVAsUWdyQgVPnUMx09glha2GFFlIhWaAvij3/ii3HodEyDcHyMGgCChCgPQlV7TAAf/lKcE38iX +zcnAPymQobcGIwWWMY8zM3L6qtCK2z1ZIX2IO5sanh0HhzAHzFzTqtO0HHEPVNL8hNZLWzD2J86K +Nb6l43SPw9ZHTgrWKiAAJDufyDDFTjSZeiQMjO2yQNs6kB7R4LY1I13gnAtuwYWPlwTRVLbEROvG +cE7p+vy+XF4cOTejSK6rj7FoOC3TxPe+lrieaimnGeRrsl1oRyljUmR4ysiV+58bi5tGpt+j+kam +MAmZDLn5Pl5eD9bIVfRv5bYIp/8PsKht+DPHxVcLfR6yfDcl5Vh+pOGvm9CCujBv0A+0eZMmLLZ5 +Ows5aNeJ64Vg1jogtYLqTe9hyN9hJrQyvObkzlRTGFdETbFj/Mj3G9Dzop3oSTce6Wz/DsscXPN2 +AuG53Sh8Scl+ixOikWCM/pZDYeRJyYg1USu8NDJQ6yXcNt7lDbG0fBDoiAUJ8ClhZhnPlIpsGYbk +F1vS209OXLYTUUYITWeWUwun4K/ZN2HNTUEkdm1tcKPMtKgrzLDcCto1PAHXuKRVQHw7oLI6U8RM +j5PvmpXdBRlwPb8B7UGDxryzyzYV/zAxLaq1uvydhWECsy/lgp8hHzdHXi0ZI2TwVs94MKgXWAPu +NvG8rCGXRW7T8pM4Nf8rL8igjT2avnXSOfwegl6bV56lWVLnM/E1lAoU8OcybuyEwW/oiVUq3X0O +40TIJs6qCK5SkuYidIef0QEslVilMaQvtMo4oz2SgeS5D5nUzkpZuplCp69FXPcdUNnsX1UX3PMF +689chMzp4uOXsP4a4vRwuo9JwIfsLXGrVggcVuIsUayu0KklSiw26GnLnL4sx2Qp7jp8gSO3OaRQ +qDUaV4++O76kGun53So2ShtqL71mQUFjAHhaQzHU6w8AakhfGaua1rNgBkyKGAvs89kr+fklkXe5 +w5f27XYmgO7fkp9a8J7vBrRt+N1a1wG0j9rkZe8qPAP5WBmnSd24inPyQghlGSpNthR89Frx8J3G +gXLsalt6yxB+TjV+lnzw9t+NH3pF+XjCYHB0tPBQFfUEmprgXgk6li16/q9R4bnHr25AgzilrAbr +4N90mD3JbRYaRF1rgyopRMknDEl8tC9H+2hvz4r9xI2ev8/atGVRXRl2HFS94jLmLATPjV1lPreI +HV6XwTHzfBS+QxwdfI3mmk2xivJB3cMSGpTsYqUFVAotHsO87w9Zfs+7KIK+ommW1KAkoEZZy3As +UxFXLz//NIF7VP5PoGeYCOQ6z2ThnLQXNqByIeVLx1nCvAiOFtKTRPS4YfEG1lOdT6v0K+oUVB1z +oHXiPIzjPuLTZpH+d2xYgiS1lndkW/dSe/tf8sPyqzPlWP6qYn1pG9/2WOsJU3OUiARzGSeS6xMd +c9XPhoXpTtzLjRPrPS5llnAOof8MbnLz7h2qeebrSEJj/7uOgZH+fZL2+oxd9XIPm2AdfmRooU4X +u8sFh8R6phrN56dYM5H5iQhox3aV+2OWTTCCRE57zfxlAA5vhABUETWg3wqnV6gT0hE6zmV+5Kxt +rE/HGcX9q2UQ2iRE15Yiv/b8W+zYLpQkQwR7kUYHI7LZbPvq0DPyjIAUPRzNHPCz4kC5SBgokaGR +tQp3GJYmsSbPrGNRGSLvAgxV6f/WPq/dBQnN+ZgqB+2g6sOoOqKjQZzfdwL8je8GavneK7YNaDEK +MeUx0cCPT2mU1y0NpbrUHZpmWefORAtUn3dJ5P1xhn8JadlvR7nDmOoJQlQY6TRijNMVkb1lSjMA +BNLq5GBgeQKID8lDDEp2nTrQ3rdz1gYbBLhvelhHJQn0JjJnTQ/rJMi2kJKHlXsRXA9EaLTOlXox +T6dpekLofmwrLF+lIpl5fSvXEABpRTxU6sifTirkCRBdcwmeGJzaw/DL5EEBGux1YKXAim2eVHkX +Azs8F17e37MdTOuOnlCS/WiE4eWDoE3p51oz8coMVAkrGtIfv6HeEYXJDOIjgq5euJL3E9Y0Iuq2 +fJi6VN9l3XMx4fC0v0E4/6ifI8kwvJUuBqKR0TnylM7OnpRvJj4yOp3xmNQ23EIbT9WrB8IZmaBz +L+DSHZaxVl66iXh91eUw4SkKElRC19+qo8GsZLHPqfHzh5WjY/mSnySltbo05g5Fa2B1rHlfBfCF +I+wpZxPysCLALKEJ0H+Qm8HZmxfgiUEhLRiyDwtjVDWbVBkMWg8gzizS4v3rqc3eQkK1I4yIkg2Z +vwnRVTh0FrtpTpxx39rKah/cETltNv5CdEfbcz/G42n7uZHCWS66vk1nB89AYOkl4k8FGKos1bMS +HbRHqulWasE1futXERns6pDQXi3rpRMROU4zbRQfOE3NJmJUF/NQ173dSjy55C/82TbNZIhSLU30 +wMpcgnKmHKTD5oVRGMwkFwT0INehTz8RHM5xxmFMlOm9kL+DgDyPaVN86cDLtsUr7WPqWbAD2Thm +Pv5eW4X8uCvmM6T+z9hhRMnYxdEDov6kazb0eB+AncTQswB7g/LA90Wg3j/JhBDh7NQJN7jumULC +wdb/cObDkKd0olDCDTeyRaBBLv20aOr2gBY00jae5CGF9UQIW+cRBOAljL2tLeeyiNGAwsLKqg8z +woVJhiwo3NpmA72rhP6PEivlTKyUjLaK+9tURHHpoLKlqijcv3ePFlnSGC6nRDt+j8wDHicHexsP +nUcUtuxtKxQBh9qL8FFTMgmWOacp53hfp5t7TZzniIv20ytJrgPWDtyKOclyqnVssPYi2y75InO8 +qGPgnecsXa4xGSEb9HHhIDAgyRs9b63uPR9qBc+W4pVFbf7fF4kJM1PCcq9ecgVOT2u2xMZtcP3l +86sky+kyMbel9AruZ5ovGK3VgpQvN5uCQmQopC1yShSE29V96nC+66jAyh9kEVe0ZxZpzsdYvE91 +eVQRx6/sLw56QJbejUSkSVhHGYt0sc9gROQPX3HHqGdo2KqobBbnXnteUUq6XKSf44c7N3Ibw7xP +U1jd+K7a0D22+QFON8az+96Il+ddKSswKD8h9/qNuTJY6l+Kc5Q/xrQ7jANvDbw36CIlELG5Lmt/ +O+KdDkT9+jUEX+ohO2K8S/rGv6q21u332k7bLD2m0CDol/XbO+KOo3R03PJCeFeAuaiT13Ql3G2Z +fhp3H0nmhmOvCOWaSFsnkAq0nyk5wajPMjYvoKcX84pyGxSlcXI0cS4RzH1h9tMUQmsjl3grsg56 +L2EkK1mIv3ZvMQ4iZbk/DQo2krotOXkvC4BtjH61wkK3xdtGRv436BQOvC/oUyUeM9oeC0g2/qwm +BdRAVzcFviYy7QQrBzf/b1/9yyjf2RLP7tUhw8udNzSX6JFM3o6l3qjFwwxrzotGktPvfxK44R+0 +daKS2CKWxglDdnSz+ZnkURmfvQjIZI3nznH8ug+d6vk2GCL+jhorn2xLBqLIRm6OVHg4sAdI9skR +ppMvZ+gkAv/G18R9dXad0Gq0HfJEEL25p6HaLoZu6p+ajdryIUBHs1gH452inHIbs/jpyM4G5A+T +/Jq6F2GloTpBwHjLa+V1uggkwCOkjRucWL5Cq6xmAhxkGC4etjwJAKQc5PzdBifR/E0ms/jZKw9N +6unuGcHld31p+sRIoJoKRMOD00/pY+y7r5/1EUIilmideRioJkByPT0YID0uPy/7sYkjdJJ09FTX +MjLgJTfLAFwLCoXkehHrqzemG6QX2dIZKqyh9tusmA8+TAK7aOfaNcKw4VTNFgCr/Oh8H5ZhTSyi +QkV9iBomVHd046fL4/C05nhiaUCAhT/RuKiMmf6jqjekK2r90ld4gqquPxhbPTDm9jBOw/6xKwls +3PwpCe5wWjAVh6yWd4zmr1hbzScvJ91Drb9+qIe8LK5zB9SDqT4fywlCmR7DUFUjW0leAJk2zFwb +gqOUC78s14BVDj3u44nXi4Fd7pCbvIE6IXi+sEaR8NxuYvlJwSC3k5kDIjXaAljCC872+VeofcfJ +FdgFaBPiCaXVHCSF9Y7BFwOBISNLOYFYaZJ0rzECjwC6wdO7HW5X/eezSEmxTy4JTZBMxi8/yGB5 +v29Pdxu8CI8jcfgFRbP2yRF8bH3tqIdnvJFDbPnFTo7NyGek/CsAHuR1CwyZCXlA3w+W6MtQ2+Rp +BbIACbwsSusshydquU0W27RaQRsw6yXmb6XdNEJBC6ne+XCO5MvE1YuvPHc9Oipk2gu7+W3lDMb0 +KlCsYnwrpXOXQUcXB5YeOc3lsnf3YO6DQ+9iSE6UPd2MT8fxmXJ2ZBenNEl1rpHozjyjAG9xB4zN +WB8OZNxL/ipAaQSjBJtOL+y/Y3Vwxe25i8p/HiuJFSF+OKAo+shRcnC+YJnLf4apB+fQQaWdVG6Q +QDSm9feCJ+mHHNdNr8BDHM0M5R+p/mnAfwv0wjkRwbXSSdqcVytpcOdmW2hG74N64Oc7yr12q5tY +wNDHcxY9u554nIQJ5/kBVyOWqX8derB/YCzJE6mHcYyTRYtCpUdK5AXdINEf2G+Jn3YcUd7tDnbn ++qd/k8g8OoN3l5MA9lCBF9zETmnlbteS9ulz2cGgomQVibVHRtdJfOBRENm6jF6qo2VVFZuvuTZL ++xqn4v2lIflyoCnvJP2mBKL2Jh+wy20o9X2bmRLrDYuACCLljszUwB/ivkBzDPuIUjs38hD8FWY+ +igAwlm46ApSxLFUN/D/v85XXnDi2yiKoW1xFxsW8EgvmJ/zF2wLx+9FnjxAcRgzJSNDBwxx613+4 +qIyqBi2jnxdhN0kIRkRe9j6GygUrgMWUbw4tsqjFt8KrsvLOpbKyE8VuxB9HUTJfWAGlGqYwEOFX +i5q/eQvm5TnTZaQhX2urdNVwYUQHTaysO6vf5rKy0HCCr09x+qMkZ7/3H8WN4uKLNMJ4BZHutAw8 +3zRhrS8cACBNtuIQ1SVhbR8n6uJNmHc8LJC1A8TJ7RlRzYE91wQZDj7oujEMvH4InyEMaPBVcxIt +M06bpAP2FS/3Dez5ho09rXXDyGS9j7NZhNnAjxAQxlUPQSA3R7gFlYNVuy7JS8YvCZBjEabPhyvJ +dkQtpB745UShrdAkm6LD9Eue9lw4e3HYUKdIjc40c2tmndUqJMdRlmwzTgnYY5BD+YWQnPoNaAOq +AlBrTx74SDPx1NMu5PgsNzZ8O1FZIjImturyS275IQ7A7N9XxOHId48zIWyP1K84BB7bTlYAKzxj +k572oyaSwgCNiVUUrzEt/7E2H+1jqBxnZoHOjzFexTmh0WwZaTSZrZUQh5LaZeriYdL7P3dmWfi5 +pwB4qHRJrvVJN+YyqEl7mMIhHsNPEbJ2upTrjvsl5uwJhPXsVZeO1Zj2QDI531ILfdFhGhTSMyU4 +uwyJVoiBepZAvEKrVh9fFiRs7ds5ikhvafqpfnkE0sUawNFvxtr4nKMb7nkCsXEg7e1WF8lxnEbY +CwSzetKQpQClWNDpgGh1ljNTCUFdtbf4ZztUZ3/0vksboeLwj9CiugE7cxoCQtlZDvO2JyRCoPtU +IAOTejIC7HWehyAKhfgqhm8d95BvMQRzg9QeW9mAbiNabKjtH4dVF0pkfJJg14cLwiwPpAhgozZF +df+L2WNTDs0Kw17lq5YIwjHbjh3WCRquaKPcT2vj7HQf4Uc0RxOzt/tEJvYR3JJW+t3vfbQMxbz8 +CJZiIF7/e3aTgZZVYkL2wu5UXkix1qUstF7zPphDz2EUsyZWoeH2BSXSJYJRDoh/hwsXsgZFbvnA +V/PNocdoKdiqo+yJmBEyuGtEcilQaOu5Vg5fsdCk//BcjsGaej8GAwsK6e2XgSqjx2LcDwuKFcP9 +fLJf3S9iUqh1eULJByIV2143arVe15HvArt5aNmtiVw+rhkadX7Y97iPy8Hp1wzSYAzol1SaqCS9 +xifFJSj9yoPiZTCfGqN6y4vdBUkWGGRsQait3enbMyG7Rwv2BaWmPHsHNerFMiqq93FmROy+Ie4G +OO7ltE196ExXLeJM1hDps4DXELk0KoYx7oX+bR/r7Yh4MXijf99tzsbGgObuANwohsG087jjI7aK +mFfg2hNCWhzJt+JKnHzPGqS0Q3WoM3W3gZbBLpIf0z6ARiu2HaJfZQ7H7tpzf6SSAm+usZ2cw9B0 +8NS+8foj/88JH01NIZjTRw6Xfhzb2+YLN6DFHhME5L+lSLcbTYNm53+vs7wlcGCRW5snZHRugIf5 +rLkgW5/kb/cSxaAgcNFv7cG4bDh7mwv2bbUP/PENFytU9LQNA+eYQGTdmXbBY4EawjIop775LzAK +urdQOXIMQY5z3B/Iok+Y025VpareSb5awX4Jh8mQQpZbCeNpNdext1kvfNwU7DDPBU8USl+CFMOQ +WbDQI43GTxpOxQTcpjRGAYMij+hhBqgSBWQgAR4guo50Pi9ebbaI0jJ/wptKOA4EDSfICsM/N8Qt +4qJnuWkNx1GhjbxjjlcOcEpgyLWzweaq77FfPRKaGw9yFJCHmpsQ7Fd7YjlsXkPUIuiDguSbvBla +OJfeEQQjYYavkgI3qUATKVpQLrNgCqXI2nahGbGU63NwoEowb2oUBrxnHLLthiPANUji/N+5wmQ+ +lOCpJjFBJ4O+MtQINR/Z2uk3WHYQ+RyFMS4RhNIOMg+3JL6cfubVXjVlo6rB/isEbSWAmAQjCyoI +fojESMrZhi5ZLqaGAr2yY6FXATxKGgIAjgZwwUcuJVKz7ihRiNB/NsQlmGw2pJTBb0QdfXmTZH6w +LQZ+w+YnsMiDbvkZDYXi1PiAMAzsXVw/U/ftJFZN9FhLfVUtfq9H3k3DSXFiDWsMJFiMNraHcFnu +LE1Byrpij2RMTAmqru12gldAFLXQxK4RekyJ8US2Kb+dpOoU0Zfx7p5FOovpyztjGr/VMS89IId3 +7SoLqGl3vSvZSx1NYZ2OlIVdUyvX7qAt12+uO1GXh5BRm7mie99WwNiiqUO4yOBFZt6mcH7aeOVt +fT4zDB7IenteNZSO43uzqgfEKP1KJiahGfj6j46+aEMfz7NWws2eIXGVWZL22uFULegIr5XvPu6G +dymrOfONG7g9d4++JP44kbsXb/XadLG80T2SIy2k2tpzlPy3ob6yXwUDJ6kEcoIg3Jhe14phyMu6 +6kh9K5+uIJ+KzXYYFBQP37CxX86gcAaZwymykV0pQKnkErKixmO6IexUwcJnz35hYJQM1pbrWqEh +Q3yxENfgbA1hywtVnt9lJojLtlQGetbb3BCnR4p/aKmWvJg9b2UIi8eAyIPD8fhGRpvr/nlNmFKK +869v9R916w8DXtmNWJwhDi0JknnhjEcrF82i4toV5S/gKM6QlU+I+SoL+AVOWnYlt/3Hv0QVSzYa +muhE1TatNpiLTEbW/ynhZ4cjYN46s8uceGnDx6ENZo/jqbVnZOQsxSHHbp14HjC75PjN2LiSEJaf +kLwb0Pcq/8ocqIl1XScA/77KLII024LKvw7VT7fev6dZ9lma3rxh7dzK8K61eK/6NA9N3sMQCgAu +lswAFjlBEJROGgsyG4Ia2QIY7DXn8xXbTtH4fa7sssi/sYqjxqqOKllnbmBV8SpNvS8x0KxgJd92 +yn8wOp/64u2lsvY+AnGdJBIYGMHpYJ40bI1auTvbUOSvIvepE2rN+1Py6jvP6HfsB951B5pYRkuB +NF47vAp4A/j+R0/D1BRL0mZtDiYHhDkyWR7PSwztsEpjo4INZg11vmmPqmbiM5WHZTD3TiKOFdM/ +zz5ruziSZ125vEgeZ44zhJHGiKFQrOwFTq9xMBVCFFtJF4KGeNGLPYqs9K6IB2KK/qbqDKtAXSnX +abAX1WrwpR7Ceuc3zkNMrMWWsb9s14bGhN1GbO9tCreB5ddFj4De+OISX0/Bvu5dbTh8uMj5N0ol +3zQzP5TDCzeHhzYOXMCJu6WXd1l3EdjYW+z5k3VpmZUCyPGdlgjFhBzVDRKdObiykQVO1pepiUdB +1i1DB7+Jw3DxuNYxoVlbMCS76aVV7LrMV2iVi0Uy3FfeRcV2VLx6+TIyBBsxHlwlVeWGOs0JejuA +E6TrxY8rnRQ5MMnG6++hz9QSeHt5yKssCq1cWOQYFX3QAmK/8Rt82y5q8PktuvRmje99zfvYpcQ7 +vnh2/gbLqdKfBXJqwPwkJIHBUkrrUpCnSzh1vbLfd+3yPd84zRpimcRbUzRNHTFH4CXKB24M2L8p +uz+ghvzECkUwsQ/KVYC79XLpgNAClF++jZxPItTYcj5uw7TukGKjAojMw8JghXPN5Fl2aPQ8d+35 +nG0zWQwPIDz+6k6rrXXbgCSt5CB6WAQrprso3osRBupKBzfFF+2gZa16/39jcQZ+urWPtw/kBmhH +zw07Ksp7LL2urZUYicugJY+0+uYymMQ4qNySdumqzmKDfUT3/O8UPye09b0+LLuMPu5KcesGviHb +/gCQsNQOnbuVqb7DgVoFQo8/1RKhO/DNJRFLezzpEdKuSdfqWMZlvS71ha1G8sdIWrOn3U4ZjvnQ +By/K+AV/aogrHARoVdnft4nTyV3qTnwmB+BGJLfpgCtCQgkCye404aEf1oHTb7/LuzWQGjwKTfRT +W/UzDxBVtUM+0oc8zNzBT3mwYWh6wQccMRv7okTIZJOGmSy5aflh9FjVmaxacbPOLkNpFz3vCdp3 +TSkzyByT/lKVVKyqM0o8ON0iUEu2iyXzUWnTP0IA0xo+HM/XR7NtWT9znJ/XZNCv9/I8LUX0HjIb +Jv9H3rVfpXFOtjT12YrowcUcbbJGcQ+Sj2ZeVwk/a9Q9kNlfHWTMXO0NyACzQrJGYQASSUW3aIYD +3q1XBm1vFRv+pRleFLZfOtHPIXdbMZm2eisLY0AtiLYmDdYEhB3b4SRHK6bBNBVLaBD3+bYxye+D +nbmxDfHY5F+z3HBw7wpkIPxY5fI1fgCnwhLZFyunvSN/xIjsLSVPVVVtRfH1dnND1QMt24dhHcNN +xCoYV2sY2nrGuu87pX8D+gDdm5R5D1j4TvwTdQFKOveQDRqQQI/BZ/0n5O4+xxJq6BugA4ObDnGp +Xkx6xbCqKlG8u/PcFGNr7dVdjKsFQb442VlSwPbkTYmVuec186WdPCTJCbT0oSzKA3WmsLSPptmy +YekcRvIpc5rBAIkT/r1aywLdnoJS4wAgubxt1WrbGsi7Wnt+OMfFxMsdaBKX7jNGkDouRAqCJFni +qpJrzVk6BI2PKb8DIbHWT8ba96OCnWiEqRuPHn+szitAEha+MxPTvzaHURqVSGq9sfvy31v0WdnI +rBa+TCsBwfETvZIRM+h4rlgQ3ZKSzN7fgQCzL3ZfZN3C7el5HbXWZlDjTNAi6VZGCITf6WZrzuOa +Z4l8B3j231XarBw0YS7ZioCl61L+x/8sa9LxYz4oQn5F9MKdP/hlcHpBNs5kq6vABUSyMPyEdkXW +cKmxnJY6a7giuLCjpV0Cw5ofC6HTtNXWoIoMPY3oWmFzpBm3376eEGtATsrkHYUE/E24J3CbtyGD +ZEmbz0hOvJLd8I0IWu0JtSjiKn+hqIov7msVqSsrw24mbnEY+FkC1OODw6KG8aDQ/RgARjkGrOKI +mqYQ5bqL5L09Chxf9WhMjpbLCx8O+CC8ynWaZLH5jICP2nS4urhmCEHKAzeQsjlfzGN2VwQ8QvxH +ajdtdQo4F9JyId79f1JDHkvo/S1ukn+z+l+rxZEMPuwCHlkflvxiGoPZo67XPhGaaNzi6Fq3ih6c +P99FzbV0OHZvq5vjQMc52AM+dkpPa7Cut1urPaoxxPl9tz9Ix1SSH4QVMOu9DK7ZhYL+fdKjIQkZ +oh8hHQ09qdDYF8bcWVKbW52gzxY96K5T80vqiz1kEzFBVW31BlAwHC75/UP+Ex6K1uInRLG3H25s +NnFKqF+H0K2RWOXv+hiwZEfW9btBsQMfNQ35FuqtXQK5v6OKH1r6o6lomwUyrekYCDwuPZ+K6Ndp +eRzqdEfhjVRxCt14g589KApXzcGsHsH3iOf4COqLcZptZGWGeBmLuOEeRXgthyn3xqSMPp/DieNk +aBb2Rad+NujxFQyPzNpUkpAux8NMy2YyTjDTyzhYxaaucxnF8ZePn773XJZENJtnzm/jH+iksaDF +wsGc8VDACFIP8zbdKqP4CzQ3k3rzjqhj7rvT6xNkU90yqVunLx7A09tALHiZlGHIOiT7zAyG3UWQ ++ZboereuLBia8MRLjcKi9pMvafYjI+knn313UFZ78IPfsEIZeUzTN0qtCbAOeRKayPs9AzTvtUP/ +LNJ3KUDYXCwTnhj69twkSEaW5BUR/NkupkE6bs7/jIdQqR45Wg/+oQBuZC9EEsHR6mREKcxWZnFx +tmralqn+RGji1glzwGAyF6Ma7xlaKwQNTJuhG+Byl5YX30+JMRvTqkk5wT+l1uGlteUCvnmwbeZz +28yVLAyAYUxJ3IQMl1sApV+EAiknnyM9otw1qL/1/Adv9JiNWuTccNswgBYI36TOBYhPWPaSHrZa +Y9C1AOAUqMpB9csw9c2zgItuZc6MdK7AXKyGzTfgDrOzSGC/UbCWYOUio6oUV3/QLbSNvFRH2eHg +C/xHTropRnQJb7I1m3UqouMDEWr76XthMezKpgCjb9bJKPZOLERJfiDm+Udzc31p4X8wfYKUd4I3 +BvCiAf1Bu+t18jTF4nmH61uEN7qFEV1aIQ77IGpdVtGvEN64uW3TESFmoM97f3vg5LlFJJZ7KnPg +Fqm6CZ6tqMwHesDpFNsomvdjf8lC31e5RS8aA0MC6APB5ZE7N3ucORkeKzZVCf2QEISfyLbvDgqy +6ffe8SM4a+fkI73s9MLqH2bkyYTrML3GmHWelbuDoiqa44MrmsuAyHxJ20RLamivkGUlNRjAxVJc +L/emF6xjbtSpyrmT7DEkjTzj+gk4SR1BrlFw/Af/HWrSaGYYBLD2TdlCirQsFO4yCqkqfI7/d2cU +tgjBzVoZa6kX3YW6kyNrEypR24/ehunI0wI9gYJNTMDM16kVNR8MGsrDMXlUD/Jb/F/0F1DlO4AP +4+RQBfEc5SqdvIgzPqhvEu4KpVsCMeMf9K4v4immVl5zVa7H4QZGJkHBbz8kTh3xMFnuK5w+yvYi +1MvErlMKQqrgzR4ts7egusvgQENYnp9sGbk7z8mrpWdXcIeM9rOwyHz876kxtvASkWuRgCQ/AzyC +tfyQF7u7BcH4ZmL0uhEkud6WrMoJgXuYPI7+5LRLE01WFBpnMg/+irUl7YB33EjYKMGH/8KvCZrp +dzCO12tKIE9FUyE+fazd1/QKtuzKzI54doN2M9lS9QcPLP5yJhHJw4fkncpwQ1p4jzzk3vuFK8d8 +mQJ2CcFDs5/FmD1tRoRWbt+3SuzcRB6oACA+FZ1masb0ecmSSgWKJAXcTMuZhEduYQAt/wFhL19G ++YI4sWt/rnWB5sUWjRJkOyBT0cnH4AIra3lgw6q3uyGmDdjkQDwWvXzcFXnu4AYiHz81wglVjuy+ +fNZrl3sbAXXLP1Pwzuavn4fRXZCapxSm+we+7wmouDp0mVvAAS8sRuboFonflOcDbVNvOJAd1umM +Tk98/MSTci3l7mUmfATWx7crfR3SGbez5cUM6wfl/9qWdtRDkqrR3hJAvwCu7BRIQu8qfvc8EvLJ +x5/wVfvrPZmUCsUSRIRt8cSxvRc5sNs07N4a54J3IUyRMxM1d7XLu3cPjMbeEMUmsFO85Ughxzpr +1f82BXQLZKG2a/wzQCdvU9kOO3u/ytwQfxBYPFVXtmL2rd4WLyPG4WKcFzZBWObMEb4GUHl8MkdC +MeFJAkcqPAIiCRVZBUV1/51s52sAdO1OAvUvx9zZINKt+smJh4YPcNtryyzM1hyMvkRmeXMCGd/D +AtDmrlRq5dTqyf7QZ/lbXef6n2g80Y2JEKnMxW8LNx+vkMndua3IDNdlDJ0QDYoI3hCHLkZM4e8w +iAjGy3YzaWFHMDd07I1XOskR+xpUdhIE5V47sXQTOtJ4PECT63+poD2UhfVorhVuBJCFvRD5QZ4u +mNLDeJ+46/pE1F5bnnYONr+uJ+37tt/s556i/9Xtip7Hl1FkcDWE0ypnkmyxPwHOOlIhLUnUIYvN ++Cv3CmlyW0kIK7wOhHkHhy3d1fbvb0prjCqq/LO3+YQWr4kBOXCvEbZievk0R2sTOjWQPnGPFQ0s +Ph4/qGeeYL6sdEh7XdCWPPqUqP3EIR/10GpD33RqUOzh9YQb1wabG98IAuz5XFKS3KkoQUvVVZ1c +woz45Ejw1KWnEnoWNAoJkg+Dlbd/H2ob0h0FRi2V7aQdJC9iEz+MBV+fhPkg07QEVDUoQxNU5SsL +5V3UpXSN5ZJD/DHgxkvGgmFrEAySf9NotfuPmkXDEMD40t8XNiendteTuZTd6vSUfYjnmYOHf32H +uzw7fsLjj4lmz7lZjrLPX0LjFjuWG1bwdnDhmbFNl/EZENL2O/YZXx7/z7TELJiA3YaUPm3lBIVT +KJE88yGYjAQnUmcbI0BUsYp6P7azIV7T9xkzHXeA19g8FMklgOY8LyyqaoDHXzFf8yeR+MM3aNiR +5kQxuyZ7mIIOLaXxqkbUzcGwHcb0d9toByJZAHp25MurlAl0bdsHLcahufgp31DXAZBTeWo+Nn2F +XOb0qXdvVV3e8p+WhFkNxibRyO/zs0+bGiD6qIpHOj1eTI5jLIPRaq5KcbdKQK+HgQD04wTEr9qc +4x2lIGaDEntdvqcs6K28+9dsOYq6fmKfBPi5MZ/8wq7lFN/DTz3hzNXIPsNvJEBhr/mudv0IhNbn +/824EjKws5w2D4SNh27tsaThLKfH/HnjztEN2a/OiX4DA4B1QcBfhZLEt3b71offYqo/K7BjRZyZ +NAWSF8ByH+7ccLtj4bnpmd+Lwumj2WON8SobpXaGBzel1bGCqc9HUiGMhVDNTiKpbd5MxFdbe4MA +jRDt+Qx5Qp1sQ0QlXCHvlQOOg60h10ZUm0B0rAMmJf8l1c/NUVj+Pg2hvkKRUn07grfnTF+hh+p7 +VIrlz8K0xhBoBL0JmSXwZ7ogelpOgs0zv7Cmf9c4WO011sTXqr4+nziMDUBokjtSBXTiLOXVpigI +Cd8+HBVOh/qzG13eb0A4iZKogP3JuhXcZrEOKFXSenc6400zWwgC8/bTi6HK7Jfq5aNG4T9hiWXd +x7Nz7v3Hkb6ZF1htNI+B3PNGH68F8B8rKNH65cIomcRkSjZxZ5wb+M5as9yWsn1c2iaKpOeZVjLR +m6X03Q/SDtMokGkpvIamnNj47DZIm+i4LXz7V8447OGSsmf1DxItf7PIyGbVeTkBn8+wgzXHSbOX +4XheNbhfv8LNh7bMm2HKtpr9IBP0czV+p2FqEKy1xW9iUA2fOR3UP0MjZ8O2aP59nALE3ihI8Zxz +nh6Y97S4RiFQp4MU94kp1WDdEPXRNYFuiX3mw4EkVPlL2zj8HhTlOWJ/687mTWfVGWRDGYtw8AIS +VvNLGBdovq6lsGMDy22kscLGaEso7u03w/Jd9VVa10j/GenG4Bad6kmfZIDWEiNuztaOTfDJPGM0 +O2eFJkvwgYBNgj0FrtWoA/U1cauEh2nWLXAjLUbF3gd2f6sogJnVcwlMsdBlcuKLuvvEXWhPpV/5 +PVVKt+7X1U/cuxcBgtskIfboOMvSq9erOMgMJr/XCXPjq49ZK/pJ0iURChY+JI9q7XA4rPGGTpfY +ZDlRK2j8iiOP+2BNDIcHWSpZc1FEO5qEVG/k+9V3x4kYGK2p5mc0MR668RXEbBtyL8Yk8hpcIVH6 +SyvZVjfGbUiJNZwKKf6CqKdgeiuA3WcTOjvco7RzcCIQciUvI0np2Yjzb+bdBt+AMS555O/CY+AY +1rC6WXPdrtKFa/NpAgKSKLUzBTHh0bmrmR4zkdUNdr8wkU88lfjhYYQzFIT9bxNafM04YeeEhGho +uI4ZoPv9OimWte5SA77FDs2pry2zdmDiCT9/WYez8DzkcEwknCF8JlHI+YfQhE+ZMrFrmshxYA3G +UFMCDuagQzEdGyL4h0Nezdg7y2JUhvISz47QzZzqQgUrp3eSjBnWYx/ga5kqQ5qj5WXs/EIxQgka +ANcX1z57/qA6JTE1J9M6ac9Umhr7Ea3Cguy9hGSY92pIKvAJOx6gYIHgYPcusRNv0uQNtC/OWF+g +TgKncdkNouajafaQKiNAdZMToRTdF2W09nPPazLi9Lx1feh5aLyB1hxzdzaaJyY/GsIfjLdJJvKV +zu7DDr8Yfxbb5/6nG/JqD2Oo1AT7Ds5yFt0UQGysGuX521vBeFgve6GtVwcFCCiOXR0qkNh/Gm0y +flZmSuqH89i8PC0AETdvJceTENbIffpBmfx10RiQvyFuVWJOpMPwJ/gA7bqYDicTZTloQaV58VPG +d+wVNVC3UaEj6TNVtQUbQS5zJfZvfWtCjS5ZNemT7S6mQhipNj1WsdH841BXKVa6k9HkukoWtDGs +U5fjV2CEnP5Xal/eM0lJYt56ZFTI05JdRngBJQagVaqpqYT1UsV3UCwKsO86rJbqO84X+MOttKcD +dmCtH+LIXv3hMLtwL1KIALzNhKtEfwLl9WoFskSb2aR0iJrpOqz2gb1Gd81PZclMQ31QJNqKEC7q +dLc0qfw5X46p59VkUua7p+IEnXNR93URIZJoy9NGnjEqoQbstWFf5r767Xz8oYIojJW3o7/HKtj1 +W6KboKchI2zJsifKEXJoVKdN+MfiQze5wGxS7gl5Jfqus6ZAJGwxitfbTK6aBxNQJ1fGtHtqJ3BY +cB9N7sFkDM6Sc2IdRQt3Fdn/sdYUt+b/HZH33lohCBsoeUPTtBhmcNKfflIs3+fFyrBuwNnH15Wu +DGfxMjRfi8p64jjSvw8Xc/GbrEKitJ0m/E/EbtzE8+wfJZHnRchVChxlbnlIhdtieR8VDBuX+tDJ +bteZmXfthNyXOiG8vYgL4FdurPcyO0r/Xu/xjgCzFbvytZ+qX9Wx7F5RK1Hg0MDzvlKYX5ZGtRZw +KiP9GfKDDs0xg8WumJCAK4aRHJQWDEE51TM+T8QPdVEdMu5IOsH+C4Tz2ftCs5EmNRundYucF8BM +zUc2MRKt7A7UPjCZb0G4StXZ0NDCTI/epgKIa/J6MzVbUe8R9uzvmKtAfQP87+dZITf28TYEncHN +Un4aOqhcCrXc0m9OkKPJ0lI/K43d+NOjptxWYSSAfPZnhSTx6uicQDrfpirIP32LeD3N+T18f1c/ +mel/1PM3s5Tov6Qj7wREQvCTEm9/Gmy1MEq0pEn7qnM9WMcYIJt2NJipZsDq5VB/SkA2xUphw34o +M5i6aUkKDHhER1P/9rn9/dLHFgIT4XlkINyzMkv1KEiCqMhPAElPHJ2N21LWjrYeUFr4yhITI6IL ++MCjmowNvQ0XLC0vclTQNupaYTwuqUIR0xAHyYyfJj6LAj0mwoVyU2S56Ha9qDvrRtUddMXCbAYb +euPotqIAil3Bw1ouQYOaXqqxJ8lNFdK78fiu2IhU2Z4zWDPCK0N3zei5NuLWl8UsulJOzBE36h/A +WLoPCDTf3xCTaCCqzY9RMDDrroNOqR22dOV1Y7c5v1PY0E3KRKNdX8eIcWiXnIX6SbK35oBHkRnG +mlByI7xiLKlRma5Ff6ga+VczibE6ue2drGfRHZFEyCr7S2HREPyiru+ocTGcTss/ve3Iozkd6uzm +WZh0ARTP+RVeTdLFOhB+VDTEXjNDC83lIRaBBPlnCvUA5fm5eSxa7UalKaSCe3yUMs2Ob70AtMxK +WvwdpgSqZGa/kdOaYJOczNLSsM0EKpnWMXYrOdhDnrchsy+TXrP1k5yofUYmXnxWH9iqa8+HwyuX +HJrYN/K7og8uyzsHcjZaNBRJ8BLCsyQyhMWsFuTcvoRdARAfmMubVplOxeYsO6qthUI+szgD3WUz +lBC74OP1xs6C0X9U1E0Zg0/wBQcIzoc6YgkBdAQwgW/CcNMMK4v9AndFomrF7GpHn/2QhrUWk360 +IyAQs+zdGrYTVAbiPw7ZtAQ9M4GI82x3aOIjJ5Sbuxn/MVVZnqiJ2fhcQ9Ctl+iGAN6o/+GfBcLs +vR0U18W2WDc5Rr/PYyM7bVrZRaLIX/RxL4CdZL97PN2BySefyutS0qsqN1XfbFj9ogbFmBjN0rTh +jKG9Ky3H2VrpsyaIOoMDt3Z9B65p+6fXYWPrJp3wLKRJJAizYUwuRlJVBVscfp70R5vEZBb1ysGn +KuQl5qKQiUHSyQ38quczrekI4E+zUFy+jhe2Tr3siRFn+PsjhjQB0uQjDp2Gl4zTAru1/LTW2c1o +ZbtcmQhmEhXhI6DdWsmzGnUPeafFhkLO2khBHh8Neu6klE+5xbTKI4ndFQA03WsJeh85siw1UWk5 +imnrvCx8umuAe1UD+kOdveuH0aLpuAIgWbA5/WtsIgqzQpSYSVlPAW5NQANEuUtbHnkNMsMfPdCt +D3miS2O8WwBitpuqNJM/LTWNm6Dem6w7l6omhSm3iyyJZMzEdZfiSVdTtjnv7QfMGeNRYhCWWPJr +A6R37XttpM6nFyJsotYWwsnnux2WtTfvMF++T+Z5E5afHExksCK7C7PdA/aVnClU3c5Hs7hXDjFB +j/c8CIWHXyT9bq0Lbvfsah1JQ/JVPQ0aO6EdRCeE4yLTnvprGkxYm5nF1kIS+Z/ISct09dsE+8XL +vica6AT4WsnlKwMSGjYOW0SqyRKgw+rsBAk+AI7jm1LBokKtlESqPL7eFfhtWwRqannbwm6gMpmO +6ybHXi4Fohx45HH1bxqiAVyzdL6kPz1KrQGqFRfUxnncYkfnytdKHX8ot7Uu1VOX1W3N8SC0eOy0 +pYdIbH3zXbmCxSWGtga+97Z5KUXcebrut9YgDrnXBBHNtGpdTCXRCAJwsArNLPqU10Uy+D+WKKgZ +YzBolbUHTvZ7cKyjpDFmIvBXUejmelGeu1FFaUHcCiMyGcC+ompf4Cywwtq/y5f1mIFDLawT7B8H +dW/0G02DUJjBYjYCdXjzkM2t62OgojrY4+QIEdUVsprvi3Gar+yOVbw3JAInyvhO/U8xpO12hC/p +m69Gnk7tiMxUuJvtmvOdgf4h47kqTTgzB8UuhrtoiBrwXThNgOz0q1P7ADMdCcEdym7A4so5XZ+8 +qFuUpPeDqr1DqsZEj2ugZDbIaSNHHCFT7HMNJk+kZzEKCBh1D7i046AEVryy6gRyfFowo1P9zlwJ +Dc5263Lz91+Iw0OZYZFMd3HWU/w0BOwP7ZP4CCDWIOScjXcEK4pmpWS0ts8iEqx8MsKvVfwXCN1q +i1t/s1ZxlYVcPPVANdp/Q1BlYT0CjK2vsvhfPx+QowYA7hiXG1j5/qOZsMhVrGOHxesI5gTw2rdF +iVrqTidW4S7+MOQ8gKgTRP4Rv48+JcKjuP5EgWtyhPRYClLAmQP4w+t+8wYL9SSEseaLgAeTeiN1 +Cr9KnZBPsLUyUm4NCbKHKqUpGJOjIOUBWn6B8ix9elC92ThN/VzIgZ77JY8qe3vrRE/wpsTD3nJU +zXjxUKq8SV3mNkXkYM8n1WW9uXvqiMDI6qyVyMl88X8YFxG9tu3IhA2siQg1ZxDMjL8Jxt3N4lYZ +0P8chK2gFCFGCyHH0FoKvvfewPXpHNaL9G3JmyM/FhGwzzcz09VUhTg9cBG0paqv6Z+DyW+f+p8c +RK8A9Wl5jTOfiPYWxeg0KBxsf2Dy/jtt9DPSRU1+7LQTY5VX/3v/n1sihCSsox2kfGUIyFt/PAXb +eNbL27vw6FHdBGCcSPl2AXT0haooc4eTKbWCa5e/6LuMVFa9sWChOMkiJkGl1N+5r9j8loe9E3DQ +NJxpFFXpaggLOp4otpN9ycGrtEQDq3c9sq/lGhPYaNuyDEtzxVkA6y5SgbqHz7oEc2FNQeh+GZep +sjtL97Rdvp08ejqGR33t4Vswk6AY60hXEgM1nw6H7xbZjht4IfnpnIWSYuCcLvzr6YWP22CIyevY +7M7aGt3lEhRArGGFhTqusGS1eCI3QHqwyVXnZLv3QwUxYdZYXcoQlXRceQ0tBoFopYPeoqEzJzNf +2YjjBntNqVrGFa0ezn68z8l4+oHr59BFTk5ZQtzVG8zwq2efTpAZW5OKwxZfZOH1qKcMdzoJgP0x +hnI2bMwKw/Mv3M3AxB7s2ZWbly58B2EkCuEPgtUaouKfiupmL5LK+5fgNWKmhM3mVa78e04vDtVh +4ztBlsROI6E/qaI/chjtAh4/CZpP3rYO27nwzjobCs4jjaYnbpdIpSj3aHpRM1NyD1mtvxP5JYjc +dmtUXSSHFUKGH7SX145a40Wt5LT9CgM/0h+YMiQTkL0KHCf8wOHqMfyBxrg/4XSBTNrchvCH/gPw +YUzyGmSZ9ZbpVuU8YFVhdP6CHgkFN65Ozhf5pyNSA6T54ibzZWeSEq8m+YEPDkkYhQX74MON5TMz +70GkgvKRvhdkj4WplvNi2n9j0WdjcF7KnFs7rLYOxiaOgZEDvK9H8NsAm/ZdCzWs77UrMiGjA/27 +cePNvYKJkeWqalcZvJ1TxyNXOL9dak2EI0qGmUfWae+Hp02GgjC4u0IxerK8VlK7hhxIcL5Mz6jn +iz+y8WqJQIyMOMG7uuYRDOI6Q6Fl5JHZUfpL79B9fPn+98xu379/NDI+H4TcXOR6imgsU69Aeqho +2NlFXPSugnU+hTFjcO6M3KkYDi13cjHNwC+WEDbBIr+LeQurQCCrZvEi6QARvuC7Um2wT06v2ih9 +4uzUvTk5GTE4EpUDgcX0rPsIi2P4Q8rR2a/8cqCVlqu8oS/shy56cNLVwxZcN3OLZ3k6kvNymRTC +pKGHCHxVhFC3c/4PByi2kJt/ZTFf+56EpQ85/h0um2ucQcOJSVM6vjMuGaJXaD6lg9Wl9mVoef6J +WvQQSwefWR50lDBVwEZyi5iY8G3tfEGI5wfEMG7bYtiGfAnbbrPc/TzjM9115axkYQ4aeN0OPoV2 ++fWAw1Wb/2YJGupouOJW+9qDgSlFzpFUlARbugH7HZgCZiDCpGiGL9i9gZXpE89X1+6JNMFHil/f +nVWHVv2iP1+XBuTfulPTlo/SLiZmbOAKyKiyc2qk9Of3btB5mJcfv/vNsX5G6mKT1pnxrXjB/58r +qH7xlMoDmGNfeOCdy2UvboFLm35IcdqNbO4ccM1gRsy5vNe1E6/EO6IXe0YwdaCYPhes8jyrB4f5 +BNmZW+/+VZ4cu5yaN6IfMT6tdfLO2y+64uCz7uw3KdCzf3MiBauvuGY5FuKVPplW2gBRXGW5GuE8 +ByY2opVQoNrP4lUkrPVC1z4L07dED+2XxzRtyQxWsg+En6GAv0Ia+G9kc9DJJJp4G4unaJ8745Ap +ZI2OrK4sp+k8VXfpQIqUM/gquJhYHR8ZbWMd4R6SFNRSgscHi41lVsLElQyFzJAPCLM4euyw+p8l +esumDv5qXllXPQ8BV0rquRrp4iMitSJBomXRdhXx0+yw3XYyaRWGk5tx9EFuOIYlrdufNU9FNwmq +zk2ZtjKO+1CgVEDj8zcK+z08WT1/BIJacEJr6RwMtA390XY7rLuY8KUgTzrVd3rGXElm69+IuFZk +bU3uuFrQRf06AoABBiXoPZllP7PnfUrYvW8yMm3zE2mi0Wh9gj680Boxh4IZo/dziLxHpsmfTzPz +j529N59Qa6m56t+N0mMDCAIS2qO0Z42BOhUthof5gwxATnR29v9FV01q8s8+Iyo/Wlh+l/iPVMFt +m3ki2zYBrPlnnmmeeJHENCLq5bRHu8iwAGBtJbyn+jnKcZdUlpLhwdFE2WU7037apJPHnouQDQ0Z +KpjgyZRBGr+Dp7g6PVEc1NSykzB5HO0br0KqUsEbQNaCjXHLCdOsxHB8z8X17rPwnKXhTXQ6pOj3 +o95sRhdpm4Epd830yl+VmaUnm/eNcHIqf/1CZMv0bUBCs0wGMsMqjUSAZcZ3dHOBvZxltFSgaHEN +eVPvNwHl/wOizfQoEEeWvnnRJmZ/4bUqMG+LRW31g3OeWlOrqXn/9cufel6VFTBa0xibmHWszfkY +YcJCdP9ARmYxY6EXVQvv1xUyGsF6OC4Dm67NUOm2/khhzN7UPeVpD4oiXg7x3R5nQQXa8ro/WfIC +nUPNJlkSf+WnTCM/CcZ9IQ251xHIQTD2rQQLG5r2AMzab1itNO4lT1tzCoBnYUaNjwyBZyfD0Vzn +KiF0NOtfFEkLqEYJjKdMMyJTo3AnbqHAJY+Li6XWfL7wEsHvAPFl//PoAdrP1Z+/1C14U+4GeeL9 +532TTyYnvEdXW3DcUljDHXl+i2s4sM/8nwMSgkKw7Jd5mzDhcZ4Lnx/WiNDa83ZCwfACqhkpBD/K +vIorSb3NBWc/gdG+LcEWCbIhsvqlTXDUIbxgDfDBArHUvhVn7KjQKpRsbFvEyukdPZ7Ipy43x1Zl +lyMch2EN4iPVtwyDEmOLtgblA5J+jzSb3x95phhEluANM/JnDd3T1s+goA3MArbrQxt+NkmAdChY +Wb5ylby8VYV5mg08/1NpkkSVu4OpKtonqR2ZaHGvf004nCA93PLRwMDW6SHaWxmKVWKeCodJQmVn +RwUsA44KAW0fgAH1OXiPAK6jw9Kd1Tfa1Rrw8AqcIFNHm/QYACvJ/m6ynl1FgfuQYZlDD75RDJXb +31E6U+MYMHn6AnLD+gO+SJUXVgJxH2zY7THqTEGNvvN5/7manI41ka7+W8uarjSQY5QcLUcjQaz6 +QCnkgyRzHdMioqErun7r5QIzXdIA7oz4OIXKOiRLj6z06+CLhre4ieoyXGzZMlK6JH6szD9/A7t/ +QPL+Zq4VwRSJOe79zqNqWZNGks1fIZOYUOesZmB1CvfB8q9LVDH807s95wBLJ/NmXa0xazh7gvxg +/0e/8to1shvLqrKtT4zXBSDncURkwRUPbJuFyPYqbCav2spDO25zUH9N6wlOd+k5t95BiWrUGhcr +TRrtrHORlOXo4NoBPE8sva7d4QfS0OcDs19M9+J2vgTfqjh5ww/uXR3M33paDGq23UYAFXv0hEnK +Aj3TrODeZYouvDszsif7xGSVCXOAorbRwQj4UVibmcu/Df0uUG9k1FoU3ubJ2+TySCc9TTXPzoRI +mWjrN5yBTR063dZzyb8l/JDabiTzpBVBn4/YzOPDqu4ZBzogHZ7155L5TmLhW7S0fXwjByTu89u3 +/k9lBbtmKh6rq6Ty44OgLKndgMt2ZO94ktnJdBWqRO8Ztb5OFrM95yo3jBMivX16Lt4U+4clPj82 +BRcXKH3CH9RKF/Lho9E4tHzytMdkm1FaP8t99Cn6Eugz5Xwjv7jwX8ldvYG610IStZBLjL8BBb2d +cjOe8Qe5VhsyXMabqNULzTYP6ukbUAozqOsSDgf1KnkFHelQKVBpoceuBJ7hzTzGw1lwukB+L1rE +9nPDJVYYYNOs1dd4xN790BLBOHRkOn+dQxnVU0I4y/x5tT66vNh7zTXKzl6Z02K9UEc7HO8sy2HN +vpddvowSiDzaSmcFnHlCRpsKfX+sMdJfIR5u9PMXwTZ7718MjA5g60YLD/rubEn1G1Br9pxty+X0 +JMDbTgAI2Q9LFurngvFZrECAhdWAQvZpNNu45kP9Gz5ocVi+SRCAnxvDv4zZm4d8oDvAgZrGrHZV +xkZ5Be9E25IkwlJSbmUtd5PtLp7Cs/fn3rfjEkFwElkiE8J/wS33vo1u/eq6cJDeKHjk9XV+MrET +/IFWo+/YWWGmxzOBzq4YwZj9Le76qj9/9I/RNQUkqjPummrqb03hT6AlLlZmnsYkSfNzHL5kXN0d +j1K7dj1OP1pvKhBBsF0wgKKReIs6sunwB+Byyx90U+YDSWeYC4aIr87l0kOP7QdW0jT9TEc2eDA8 ++51q8MPPmPFGUSjVFbaGtmQHCZlpsOhBWQsyzv34UpvZgVg5HSOd4utM8ec4nGiTcyhR9eatRY4B +GXjORJEVNcClP+xHvM4MjYgKZel9xu4glEAmwru6I71MHHvj8juOKxngTH+WHPY4cnT+2w1N2w6y +RTEiPOhxHsPl1DpjC6wgL2qTQcy5NdD3P/lBTdIzeGUhVGHEzTcD1U4n8VoCAzetwIpWJMtfm+0Z +DDFP1WHgf0/q9yFo74WSnnkaPkG1/M0jCSJ+QrP+Jebx/K2dTTTVIPuamm1heZ1k+RkBShdFigvV +2bjzI5iBEFv59VkiDu9SQCYn7mB7dXRWhc0LRBL5Ou5LI71ZMjI9WnRJw1VnajmJeuRK9tK6PEIn +iBH9LE5JtArOnbYjfdVleYvpNOWjeIn3EfMSqs7vHRt6I04+Uyi9zqO8a0HHFO/lxZQHgUbgY01i +rmHfU3CAcxywM+BQ4m4qHCw3Toe+OegftLdl5LZYEaCm7G9M9Sd9ew/iQP8WfcDik3h+bmbZheNR +OICxHGRrDHgVdtaHmosIaibC63grEDjvheBnLom7Gpa62IZtvzS9np4YydDK4T+YEg8c/qszt54g +6Id7PgGPzblsAArhhU/UtDuQ9RZfegiza9Ua05oA3lQ9RGXm/C8s1iqQSQXTapZsa1Fgjbuef898 +YIwUVy1HlaSfrt1xNxJ6umvNOrAQcNlvsc6C+4TykBkAyZZT/Y9P1Bg4u2t82PD6N0/I060sR/Ob +6rX6mCOqTT2BD+Loi1mEqB9DVkhTizaFLLkX5eoeI624W7tRePDMRDDEmhYR/YM31pil6G5VTNiP +1MDdaJJEG46eqZo4cbMj8giPWP1oKTWZhcFyZOVHTAV3EA+DwKNUTCkBQ6rKJbpPV9ALY8QXjWie +cjLH1WXeUqKE44yQX9LxZLKqwUy5/iu1p51OR76n1vOwoMviEtHjc/EtkpyO7k9KGHGEcp5UWuPV +TuvG/pwhnbpdpPwuQN+WzRjfj8ztbjJ7j+wIMMzMf+RgtNsg2wIYqqjZipDaz21i75+QdKidSJnH +oSGNDNvI8Eyudl3nZuciCGa6ksfo8PHxdxM7oo/sPgp0mYi2AIVcQZCW0IsXyIa3fipTRZUzkAvI +UaO0z6apwVBj8H6450UeIdKxCEM5BETXb3uY8r/DpTSALLHvX3oePN8Nrib1gXZx0Nr9R4rMSfQp +jdXq31OmwC1vNzOv3wTQOvQYS8bfSFWqO/e9KBFPxZsQkHuIF09PUgrXr9ITD/dzY7Z+zq5HjIae +EDizjOJQTbD36JRkAsdiLSOjRMApJnt5p+VMge2OkDbuseVQ9lEDomHmnuwA+UTGGSl1h2hc9pWl +19rLiLK6pINMgxezeyZcidD4d/LcPz3COzvRZ8OUmr6fG85YtqUYVCDGXaUl/bIoYlCerAm/Mnq4 +GmfGqBWEI2vQzFufwczm226gx476RlSumQdkXAj0vXGLvq/oRaLV1WtT1j2u8X2fVugkJHWwaqL6 +rL0Uacn7QVVDiLjwfxN7/7FCIHODNtHmt34rRhR5rEKneWfYT2ehVHjjAWf3zLt97wIJ4Ot3W4Q6 +KhW3iFtVNxzuz7KAT8W3jiibR6J8Vedu2eBj91+y6w0RV2AW+wraqPscOv1N6Xrs1qtAKvx2+nCl +vAULOY9b60bnKhYW2/DViUoFAkfeQh4r5nKsj6VGFzD49OZYmr0hMkTmPTfYxlxhTRzQs+Ot90g+ +o+R79swsJXO5R2XUj6tj7ZKDrRoDmj/E6lrkI53OsBYfOW7nJWzRqaGnrtMeZtsUcs/paAL0t0qv +G4VfzSGObdPpe7o2xzxJT+dVedX7NoDWYRYOHdxkzX0WhhUIFkiiy+rhgg65opbC5Bn1kfoR4IZl +htdOpVKs17YiItJyQE9DirpdZYkvf1tyHgmhRG/cZbD31OI22zCENR5KT4C9OJdjJQIgWWoxtqSr +T7ogeeWMirBAAbr8ESy4+YmGNw2OmwHZUA6e4BEVtRMMKcsMeVoCSOFlz5g9kxupbHAYcbdAulby +PS/WEE7oJgZpPtW9nWJELdix0sMuue84LWDNhOQfcympTN3wfDXdyk2cpsNi8p2Rvc5oMBJSgqOE +mY9S8fbr4Ydzurm3NNf5OV3ia74Le4j2ahfgqiHGkB+Mttcunxo0TNlUebK+dKIPStYsG5TzVhMN +s0MFEBoQt3w5mRENFGlhMsuPA+1EyJCBo23Bdb57ibZCwTs0J7dLkiKAid+vpgHf0hU9aarznmGm +qp4apDqpbjrTgkPSMi2KF7qdmaLI9a9xR0P9HXTVLqIUgnmjdzHHl6VO6UK8zvabHvhPbpU85/Y8 +3DXBsp/xzwtCUlsBZMhJZw/1W8y4MwUQqdTSnPpxRODBhMJepka5OQKLaN6pgZ+Zyg3mkD7v+RPJ +tv3PENOyCog2LSGCeYBlGacY2Eljdxw+aOAi1EApSciCr6MNbN02Pn1SZrDGiAV+IYOd5L8Ggxe9 +BxelS1wf+udmLPcKR+OwRYbCXZ8AR6EoU7F/Smi3Wm1CHoXixNOxNCW7n+EEP6cvLDxhmSgSktCh +8OlE2/vMaGz/lc8CRAWm/2gK/NLBs5IF+GWcOfWWecGbz5ZULzfm24c+X0OycMwaCHNSwMSIvupj +v4z51JKoNgreo54Gchwz2TPQayWoG6lylPwYyB4pUtbZip8rcfc9Ns8NydQUx15jdvfRF4a8ST9l +XyIGvpN9VA47oLeZVMXtvyZQM+VMCDQSfj73/ty5LEA9rdyKm0yKjhG/WA/0+NQ5IqYvRgZbHuhJ +q0b07r2liCCzDB/XXVyhyUYp6/KjVx91YnyFS8zVWNClKbrOb4cDQ0AG8yAv0BWdOTMP2XTZMtIe +HpVv2AV5umefDVgZ7IA7mNjFpD2WaBL4S01iZcD/RrZdc+zI+YsvBl71Um9C9UoRoXLFqZQqdJI/ +xhsOUJDTL/WBqggvoVFQudxFTNepzaWHHeUqOTr4tT/d7pZdsQDHaOQ+LGv0GctItbSfZbGvvCo7 +S+PvN5CSMJaiY1arlKSpfqypSWAKVropxvozAisOBKUlvyJ/4qmORov+jPJ+LGZyxe0TdnlCSyy3 +8bVpzo7BEpIedInQDD7sDIiepAvnenaKp9pG/JvyabfplMpk2bbYiy+BzacBdgEct0R7t/U+6I3C +SXNVnzU0D2q9cybSaEJaOrm6/X0WhrOLmBu4jErk35jk5CC7n8Xvjgm0YdZK9wvNN73MrISurQZ8 +JPMrZP36010j8F72Wf7lS0U3cn78d4d4C4OnzcPhhmNgfDP3lGEWyeiurIZoQvjpAMiW8pjfhXAC +ERQCGJGwvB7DyqVmkbQpOLu8xd7fB5gZDcbteyMDmcqUpwfpjrQH+2ZB88vhxCBDwfa08lcJCZfK +tc6YhnlX5Pirg9t1dcyhhBbldhKBOMYFwich7ugfAd6TgTSVqeyon9xShrbCCeNZ+/oY06xy98hz +2re6AxC/6royeZpXP3PKyGPmrnWtbkSEef45AD/rnr8cbYC06RVqjo5/vEJ8fwjaxBZgISzjKP+J +WoAE6fOUWeCU+1tUh2CBS9G1aypgnwOF4LzMOobyH4+muqCj/xo8SOmMWpZisadom2mPSx79qOjn +GZgFi8nv7pSWOXo0Rt834ZqDqfhrfGv8EJKpqSwVBT/m/aIc4nv6K2YpJvsrwz+APvg265lVtX4n +DcrcN3a0oM2Mrko6Qv90TDG7n/JpAlYcHGjlX6nNgNs8hfvLvW8fDhmYBH5Oc1hVw+FAOhXnys+g +2iGVAibw/P4ZOaYnaxXQZYwpPwGG4chtq6j+M6nClK818lSVacVJO78m4ykKKVhFO5kmj9GHPkmC +JDo93chuXQxQRq5JtG3Au9Wb3YvAnRmJx9d543SApukD/I7PPoM7Z9+9rbhSNtF1pMYk1cPsfrcX +cViA/HKyZLsK1Yai6TW/Ak8HrPNnX1Eyw/taHiZd/lsZgVsoYJ+uDzFVOMqdp7RWUFXVCGv2ezWu +FKAFVlKaNYfnoPwkQdxCRbR/qklDCcdouHQLgHG2IeXs8RbybDpbqeFGoMWsfhDNvce6FX9XkwtB +fnRBIVantf57bhoCYQzmnaBPVsYyybCaNrqDasCQfjKuh2ra7GPXR9WHexeh0enVc/kjaJuHcI+e +yvZSVczQCwiz/V4/rgWhzCg9jIOalvnRwTzzNqpJhpNd+C+SLoiYBQ2DAtJ2KJysep49LkFAM9Jo +glTrSDtABdzwEmBRZIhEemlBg6tm+3zkuiBWzlaj394P4effqKgrbH7Na3JxKj5eJ0TJ8ty+pMZx +igA6ccqqqyCo3IGuFuPPF73cUaUtOoqtOEEE7WittknPtb/qH7Bo4TQUatKVUUA1ljF/T6T7w7RE +NlgirweWWZk6oVZDBKXLfQUTOfTdWCtVVKYI5Yt2wsZL2/lGBOOPjLPJqUKDpQCU4RrAmwM2xxdd +573adHv6TgaOVmBPLLdPijALKS9TZdyueYf5G7829Y/x+gcUL0bMyyCxn7mc2IoLtxnAFtJ74d/N +8efiY0Qyv5bBeUPa3VrGXah3TITq/4jFsDk9Q1/O86SfaFToDmet4iIIPN+F6PzYuJJXRxcMTzF4 +HbkSJs5nZTnavrJVvHkGOxSbrxpQcNoM9jDSTE1Hi5tpF/I7L2Y/b/JZWiPXEkqrlc4Ifp2uRgv8 +qkNHxZUbNA/pcgfhSUtga70p/I7tQem3cI1Uy5m8GqNdTbkj+2mdzNgXyUkmic5EzG5MqI3qHDk1 +ETsEQ8JklqnLxVMvQijVJ71YLi/ZWV6pkbH3+o7a3ZCukgkg8ByA9s6+vDGkNASw2fcoRLG0XG58 +6DhuaeX9wUueltMo9CNLwtGmAtoDQakbkHPzQVWBLkYMGbv0apn0LQjETBCTnBZivb9+KWHz7CeI +PifojFv0QBDhsm3w3zaWrQHb7UFIyBfCbFSbqXcm1H02Rt5fTNwTHXx+NEqDg/uTsBqRrVn4sj7j +sAxv/HpbWm7pKSXZS9GPIkq3m8x9nz27lesgix8vMNTMzhOwCfkgMx/xLUif5JUWvqGFDxY1QxL9 +pIgiuyh9ph808LJ58I4bLjfsvwYWGPtjq2UclAmRms+aHYkhoo1/kaOXwZV7Pm8Xwr0Lb+3WVqOw +6BqVJ4xDwJU9B5c+Fn+bszEt7IXbxyNz4gJC4SW9vxzwBqmCPTCTA1iQ4Mz2NJKeVtXjgY3alcGX +W64WkPiTthnBX32CarYANgW3XCBQgI1TNgAngRIAO5Ze4KuiArxxJ/LrMsKeMGxau9t+viFjpSPF +ojohWnnH1o4aXRyMo0kVsAs1fuG+ub7atP3VzVEBWCCntghOIFg3ZPaksi4GVPjs5ul6k5ec5CYM +QCvszCmAvHiP/Pfk4y++pK5zhqZv3Rh3gGvI3p7UJQOZsChJD5DtrApw0ViDv5H6Kv3WCiqxe7kI +/XBkA+a8teXy2blUdzqccRd/lVlJxWDPe1S/YVCConOzWbPJQPDaJz1VRWwsg7yVhN0O6zcTY/1j +877Zzc943SolPg/yd53UQN8nP3JY6QTfd4ZvKmdn/4NpaEibYAD2oD3bkus/wq4acMNUR7zbXl2C +sthqxr9OGc4iRZ1+eqMCmOHzhqtpXMiF7U9ZHiSfHezjhSz5sey9skYSBVgYSdpztgR7KOqmYfmt +JltkQe0tIY2gc5jR+nUBdUll1/CUnl+OTYlhRV6HeGwxrGMvNFTjjHA4IzdYNU8JnO8j+6Q5hd8I +P7BSHUMXfNXdBGRq0sKBMhTs8R4OGd1utq3HgrXiBxQbape5omyvjKctuniRWCh3f7JsTaAONU5k +BEGD1sDBBAL/S2HozsNvg4Bz29GMFBjk0SRDUzJiEZCt7ZfbRVS8oyt0ldrSz7R43p0nBXjzhx8i +QlXb16IU/GoqqiEfO55/bTuSTDNIM/ecDKYVijRjkrpaAzCnoSZ79nRtPklIiMEkjRnOYrR7Mmpn +zWnEXiGuTIWLjD1iL7LzBxD1wpMIPI3JV8mmk1PR4BoK1zV6xhmYp0oWHYtN6/3YZ1GKV9vUbXrx +AQ7aAtwcBqQgvqGzY9ztCIO6ShMkKDKQg/LN/cH7awZChIFU35wDsF2r+rDdLCuTYyR/lJJlh02w +eKytZenpZToGMpaSTJdLzhXNVI1Q2H0RYIiB6OalZhQBcpJeSS8LBeqi3JnhUXXkONRcDroojayB +Y+6Uu5wJ7qxZKJzREDa2huvzdCGwleDikeURl0691+KHhb3Kfvrxwg1Be6PVbo3sT9xiSNmeoPn4 +ftzBm5zsjdT0lcE95mL8M4TdXxmO/1CFXPo7itjGD9jofXmboH5LgplHk/2N2AsIK3drjVPaCbCE +ecyXkejGZXdxaOZfr9cG8rMZWVmWk9wtNuX7FgOXr/qyzkVSLez3cCfFLLdYSumpOeuq7a/YlQQ1 +J6L24uto1NQ6PTCLkUY4/5bk3H0LAsxWhToojjvjbcBhGmwR6PBE3W4sPjeI5lSmcgIC7rBIcmIQ +w8LjpooPg75j8LbrqtIFKmqeJvhLU32amMCND5YIHnxtx6LAZ6+bYTtdTwyo1c4d/hF+gO7PcOfS +Pg+ogxIxYHoR6TRmZ7zp2ODcxPoMDccphLeeMMBj4NblPOTAamHPAW3tKUCWt0GVFtn2p2X1JbRf +zHLXQhr1CjQ4vEztj7i3Xcoz4UYkGr27TL+mYhvnVWZIJPy3dgmffyTwlafbl+3osKUA4ktLD2+q +ZRG+bTIrlPkkkDWiJUeRdnPjKMM3TxxEeoQ603ahvPB9UAU2i2q1zqIcLOcODisBmQWPC+sSE1SP +EuzHOAh33CJounykDp9H0q8h5I31Vq2phVZ59ohTJPQ/p71AgONpBpd2ZCBfExbDVP25Keke7U3s +wYvyXXZ926/MwwvssdX8TARm3wwLPX/necq0tLPxGE1RJaiOzfwebnvIlDFwUoLZgnRwGsh0DDKc +K7U3MAR7qhFnahnh6Jv1pboXRnv7udF7v1+P9IwJ9eHdFTDi7SwpZS9g/RBJYPYb8IJSIS7nzzDr +qiZ5tkZVGLN9zO7sUBSuSvzIH7VNvTiAT66l4RWLAQQlN60QiYCyUegQFPH6ktraK7ZY7gWvY8CT +tsjiT+TJj4Ic46iQ0woEVhA5J6+9sNI0aozx3paQQ2Nv8kzdTBWEvS8blo8T60Jg90yUoBXrPt2/ +ikt46dPfrN6rUwGTsmbF2vVxKQIdAyVk5xEA0vgklJ+2wGDWTWq9aRAfuPjNF/HBgLtIBleDVOOR +ZPr6u3YwuhynOEr3X2LBDNAqLsocGFRHrm/r4sPXdaM8JS7VV63DhA93zNVqN8jDOqJ5yzySGgmg +fkkRzXGh5isywbKfX7Z6fQCc+lUGXTwbtCzFbqqCM52PpY6P7DmRB1R3+K4BcA0Mg3t6E3GOdQZC +Zn+50fAIoif0FyHjOgHh54JJ8Xzo5z2QlctYJvTduXcFr3/0CUbECF1fCMRRBMllUdoC+mXxub5N +KAe6NFNZ4TgTi2uH99ZlT4sZxsPaBxFdWQJb1wFF/tqMCtNOFMooTADqvsRu7+Q6pS1dfuIf+O2i +U2CBsydTDZ43JhrMZLVI8OckQZ4mjDRFYjFUvGob47RV82oR05OqMFT16q8vsKgNBk4nZ/Ip3Wzj +qgwrmZyrZfW2rnKiLP4RmBTA0I3VFRWZfSLTMxYrnGRH5pTTllMFUkPcAtGCncLMlY5GLW3Ed3ZQ +R6HUAVurZzo5qDBxsBnsN7H4y6S6P4EMDtL33e3h/ZTd88uvBXOcwNbqI7ahpYL/fIQcYb0n2v2x +25X9N4tks6H+hTCid/qedLjZOYqSe33wI+eK8xxAD86UuuNsVipu/RtWnIY+Oz9oAK/OMn4rlObZ +3OTXZjkzsMmjdBwTMbhaOCXh8AuHREA+6fEX2rs/GzGcZOicSMpQyy67aqhEdyuyA1QbsUJbVUHs +GPABe0gNLuUXvggygy0fydeiBcx0TywQhllEY9S9e5fUrPZG7vsTmo9u0IjAddTuf6Wn5dQThZKS +6EOQ3PVsqtAN+1Ha0h95MLVjhe/WcOVInML3xkA2q0PRrzA39NwuwH8IJx777chCHMtuaVpMoZ/5 +hr6ZF3ReV+y6axrWTtOT2pICkuuDJk4PH0nTnhIifZq/uQ9vZ9SyDs0C8T7m1YVop1EBj2fSxJUl +gZI2PJ7elVJvuP2P+ePWMQAR0B5BtO/lML4jqk0uJ6d19eEwZX0CrV+pLkPcvgom6Jsy3VCLELG0 +0wP7q5FD4sn2wF2CFBxXWqQeX4UPu48Ek09zJSp8U9uLyGF4VoFCEcJ0L0+Qgr4FP8G88ulBX4nj +5mODPgYeP3QSwuAy1XxYuur2SFvLAQyA30b0irsisdbRlH6OlZ1LoJOuzQTaITxKhN4zF/N3itFr +s7RNJB/kXUHfM2LQB0jvfz3SzYQZhzf7YCVWFOS/SwFsktXkBSjUEtiHdkc7xfQGq/eqfv8UQ7FD +kbzVfZYcvTgXqLAc4BrLBhGKIXwOovUqWixEs54yrqa0pnqVT9/XqT5aJJjZn0ck245Ia3Rh+Luc +vGOxAiPuF9nBqMnbpYT6Ft7C56Eh9zENIkLM8UEzs7LsiNVwsdGxfRoPWECiCBEfLIauzRhMklNP +j3oSMNpcXTmfgZzzYCpPI2hd4U2CPaekDdqZCH6eWbbjoc0iZ4QS+JisGdFs2QERnDI2tESqSKuD +4NLuvQGeTlh5aTORwpA9w7jjFOQT7YTiBDEv/9aVLb/TZp2i67RYtmQSSNe5ta7IJPa+NXHhFJYu +mwb4D7yeSO780vxccd2a0QNTzoz2KWZTV7pm/LqjubhXHBPRRyJUdmUUhetWjNf37Tko8TYAQF0O +mANeWiYwmz1qv/WC+Sj+IZ5U+eyIs8iY3z2j29KIIIg+geDU8vGN0mU1+3zI8ZJSx7CCDql7eN30 +ir1lQzZYtUBZHwL3qwMJjmxrBmx1axMw24b9PoQa5ixigjy4g1sxhdaVHfGgeCi72wDquMyH53qb +Z2fuhxSG3745Hf6OztCVYHdu8HpR4uwtNVdq00BH6cySp/njUhIHIzeMoBXg3+xshMyu8Aes3tJA +48rs/B0NAS0FUciaxNrV7wkVyNJ03xJrQn7esvNh6dBxR6ZlXqDVOirZaJltzX/q1BD+uzZO5pYb +/zu7v31KbHAPM6S/dBNlLrrTo2V9AgN+BmzX1p6yw+pAsQUxsWObEhNPj0m1by2v5dnVToEwo3k3 +jSr2hELD/JQRmBCN+oUBFU0JqgrNWWwg6lruMuLvWTYZz8lKoCITxDlYSxLwsKGbeoFkJ/rEabS4 +AjyhCtW8HxTx6oRV6Wb2RE0rI21uMYNXcLEF/6xI8ZAIJe2yNQ0VADZ09Lo0e2PWcT2sb1Uhdxe4 +q5lxTEhr+gMVnFxhYTpb15sfk3CV0wya4u4RmcOJKe3Y9bA+ZgN4gNn9taL12slM2VINMKB99TWk +MXNL77VOYU2SYT3va9lxJDqCJrbG+GNDRLO4e7o1rWpOtsyQHB7Wa+cR+MuJsM0F1yypeIlm9pvw +Rf9KGzUiMyOctnCjUwySZscekawCTKI4h7lzj6PC/z/8msq/6lMK9AKYXmlELNWn7KH8qjwm7lsj +iVxjDCch9Jky++eGn8rK+lOTYG29jBobNRoMTbS7ONO5WDtTdY3DfzUAUNMiUXCaaAq8alNWqVJC +98n8GYyx/TVcofMZ1yZKPUQI91O/iYNKSmi/RIm80Oz3Zc8A0pfB7KYTOWqdaaLysf93sNKN2nlq +ixhzz5HenQ2qQ5zsTxyk3JBvraJhqIS3mh4yNQIwoQ88wm7gw7QEzOwRugUQjGowibGnEq6I2RWy +ActgrfPW1/JMwuMPipg7ePpwmsvgmf0+Q2Rg96tV7QGYvVrCVYVuop1gEC/ntSXRKChf6pnptR18 +3wCgE7n6bJNyimuQVBiYSmvETHYTQmAaHMVLw/9vlUFveAZgE55S/Q9ob5M9SRi4SSn223NMHMxa +9HyYt98wIYS4yoY8GDXAHC+qvkRHx2gLSSdJwwJFGYqE54F8N2DWmKrOyBZ2kzLhKO8alaqAoVBC +SgJb6ty1JfqJicXk+P0frw+UuamvvxP3gECGg8V+MhAwWkVO8YEV1UKntcPZqH4ZuSH1C5pgmKGQ +nivOuhCBzcUynJ3ZBQ/Mmjl6VYL7WiVnpyiFZT7mU1TefxqzQYXx0VAbYCLnVaTXNopA0cmZp0YB +tjNIUqkLfaGwwzzdNu3atUoV8jnmwojfnd9FGIjp+RCmKQmSXBHcIlRgSngrLz5RlcF5WFipSzNO +Y/zaCJpZuBLwiCAIpVGOL5No/z8ruAShhqZHQo+eZDqMkeJrLJl0Zdf+ZYjaoHCNE78QPd6J4/r5 +5wooU/MSjDWCIjo9SGW5l2REImec6rhkGpXrKr3JJpPQEIrzHFJO5m+QEYzXyOSQlgBXro60NRQz ++Lc0SYkMzWz51XZgeT08/gFsZmzDQIuBATSCrAySAFbkEbSMaksgm6VFxfx2ebeRwenBLZU/Vgwd +PpzC8rI+M3HK7qKQOS+6W7moD8LbrTSdpHXIs9v511ROLzF84igbgo0WgGDIJNN+8HPX4fS2q8Da +lVfZintnXCY+8x58tNvd+0LaPsj7Q20kZhvK71lxWICp5sOwA3jACjdNCAlKEG4+bkoTg8c9/dJM +Bl9WNLg6mW3gK/3ylP3iUIHK7VdRG6NY4rF5qmlYmfMxxUgnd6+LNmr5X0HUytD+ONjCi1pQOM/R +x7oL3MYa7PmwGi/LQ7mcu3cRCU3+h6oVG2T8VcbclKitXRpPW4nyldhxe3ocY3TGzdp+zQQYGpYS +ejGPTTHItX+ZKRsKXhJ28IGRVuZji9DgswIcgwGiuffAJGOypoJaFkEThqc0Y3QD2PR2zRPSJq/e +s76VPmbnWa/cmKx1WPjWnAUXk0rdgWBUrMZn+N5UvR09Du6mv7yNge1F9rpL7ToPP5sIk3C3KM52 +z8WiFEv3eBHuw7vZwo3yDL8WzHHGQCXIc5y+7VLI1aofkey9z/u95V3tzELEJZhKVY9sa4sZtcUA +xzBn5uFjtO41Pnvk6lVJkwZXY3+YjXwkNpp2TzWk+UuukzsG5ThAuw9xWKVXgLuy4NmJ3lk/oZ0C +qbqD0ZFXYInzdxKuGrwsvl863wPgZsLTjZNWeS5yTzQ4qDn8Pz3B0Mx/a3SkRIuHGhvC4od/EHtl +zX9qEMyrhusBrJn5mLABFw8MuYV66H/i7pFaCvL8AMaptpahkPeqxhkUxeJGqbRtjicUykss9dVo +ipBFa/WPmK7tKhzQmgqxZGQyctPN7qPYwYS2hcICUujnS9MOGy17zt9XxkzfUnL88Zwyg3EqTD9F +rKakIG2FpewJzsspQj7ABs5pMmBwHlcOp0i0NuoVwdxL5az1l1gtVehpX2gBmy2kfLDjS2Rz3pNM +34YyTmKsSdAiRL4aPOlefALyqSZAlOajXiak3iWdxj3BQ0X/sqZ4CKMqX93BJQ8cDJZy6XDZyYuT +D+rsEYMQMfpQddWHwH3CiVtvF7JtWAnHjdpI5n5x79kknDlgBZUc/zp58+7xDn4Y038MbvXGGsJX +fW9gPRaIdroZRKE91VD+/Ew8E7gjptqAEu81l2M6yz1UBG18lZYZAkZ0LaEOpL5ZumDm7svLhLIM +Ux1UYO+xdv5A4wg6HZx+NyBoARb7TY7iNwFH+9c112KM1nDr2hSK4nfnnoYP+WZWmOt/NK7LPtpD +3w72thBdfXKgRIt2eLTqFpGRZ0ArCMYUMNAOQ4KhIbwnR+5s2yYHrF4P+dCY1rI/bqet3tVOlRxo +8rptI37JGE+3s8LUUejmh6wCbvg0sFQ7ILW8M6L5hup3sHGMcdI1RbTjZyJYCkvGPn1g0jj7h2zf +54ekSfNRImoxaAT/IhQVbzvql09as5dFt0hlhpBLKAthR+oVLvasOWk0jMbgdkyHBw+SNVBC2cKQ +Q4mcWme3rf79bZg/aVWoIr5t++nKEVAWP7NfJejoVnjLw3TPRPsX+Il7ZHYYhKE+WvPdMdtKDEzK +hsQQdv8R3l0IZ5AQ46Zod9RYmqbqdWOUfBg8kHZgwNCxA7Gm4vwkoMk7OrlNjpoYe122L2wDk2X8 +/GDMv/fPH1jJ5dYX++TtlMEtYq4JsXuVQ9KjyONO7tOBZVe5Q0lecF3nbIlGHGW3iEaVxPZ2lPM1 +FU+c6FPylpChZlgsz4DpO/YLW2LmOx6I3Ty6mWo+DGv9IeP/i7DLslNJXCyGymDisfmP4DHfP9Y/ +ItNvrpm1En+qY2L5rKcsQCWNeQ7ckLs3RbgbbwmVoap8yDmffotPsuBhMgSWSRP1rEN5fj4YLbpC +eNfLgcG8bMIS9sPefBVik+7djBjsyVM0N9BIgen3IyUNWVtF908fCgAbOKTwgGjchKm37E+mjwsI +p2qZMfrY74XhGuA7uxOpSi5rPb9u6Fp8dFuhLXw0Q/lglmHZuG1A7M5VasrgsuCBBTnBUiKNPeiS +YXa/lbxp64/InESfJ33GgobgxwnMXgyLSzybL1b9/XUtOrxK3QLLCUekEs4lj1qbwoQY054faMlw +qCXuZy4hbXSedJ+Xe7m0pAQ/osNXm+V8bYAU5RKwN3PBLFjPwXt/OhAlig0qXI8jGq0FQ5jwXEtK +I3gmIIRhLiDF4ukap78i/+mNUKz3Ugm5z3V90hsgOKPLceghAkYojA2yKm88kyFfStPMkfvdVsXL +WYrVWdntx1O9EwVeFTKJRIl8oqmNeGJfYUQ8PuYHboDDLOChS0Tk7plZcFrLVYEIQSiWA0Tfgqeo +QvB0DcbqkEw83vVeF8X1x+TUX66cqQ7WR/rRUfpB8K+JkPuMo1DDs2mVcWas90p1/bkugZfz6C3g +QyYeJKL6IwgUgQaP6+tFJxQ77CUwiQ9tAjAxrOCTb+1pMDwETIve2+O95jKLrL6laA1+f56ak66S +nn/ErGx0iVJrNqOFH/isuOjYfo3TO5lhGh22YTN79TiNiktBFlMl8B7yWo+qPPFcBHYJDo9VpyD6 +YmI01jN/y2mdaIsWMH1If2pdPpuNxpMjxDIu+gf0bbZHu+nO4hwmx/DUsGTeGYAsuIJT0ZYM4pLQ +0C+JJAlEoy0HkddsLbuDsvkILkYoD79XPUd4Q/RXne+at2a1R/3VDe9+dhANHxW0Yb/uFxlgTYj3 +JQcG8P+NGzmg+W2gQU74dZQ05e7G02xYBVR/SBfE5KIhSOZVWgv1uPBq7Ku5XyxhEYkYflqd1XQ0 +smVNQ+WgYlq0L630pFyg0t4BkBo5Ry5j8lwiYUpC7WVNDvBFVBqfq4it0UtVoJHPAsRnQgtjZunK +KHuoZemamKxWQeJrLE87CVWX7NgreNsn4sMR3rISVwNN58J7BCKUZwZ4I5Y005M5oVc5zc5FR4Ih +Pv3NkRUtqDKnI8ubjIc/Fncytfbhd/YBiHZmqEZvThMYlicAv8j5TvGmsYmKO06RWzPvYUgjenln +gIRMNVzs4KYKizX8RjM8VcRryiyEmdb6fL3HWPWw9vzE/CzW9rB0I/gXsJkwJU+Gcr7k9o1Scx1z +3Q222W5PvAxWxesINiC+PFzC+VINLl/JS5u5ZCLaWKZXvioQBue5kqrtyd4swv5EpMV8wAj7Cym+ +WRIGYlOT7v+DQtjQWPqwMbn9gMXiIthBmVhTdc+yTG7wMwyGwXOW0/oQnH1294GBEKuMnu/cjK9f +g5MXt31992RCzF7qztEWiSnSMeOS7QlpBRugeH3DI2B/VCzlpHKZSgRlP1GGOOu2HhDGEzY4dz5b +ibRdKxXDYHX8z3/zmtqSwnef4QubCzZydgOvCpUlGy+CNeoVDfqjkanjmh2CKW+jovnxpNcgKp71 +7oD/eMdp9BrpSZHhbm5IP3xHLeFRpHOZroJoK1yAFXpL0a+AHtj0RDeVYdbUkNPfMVp50U5EJtHo +OKXuIx1Syebk5pGprOPpwUu/D/rYeIBcRAztpiR2OzFJrPvY9qNp7TNB0fBlTGjLept9WUtD+JvB ++78m/w1/8bBtcSLnaMfP2+Umm9TIrdrIl53Rb4svvhLVs3J8obIY89yDQXR9WlPKWBcMbZKE34bw +TMXkD0bSvAfEBXa+l3+cS9Tjy65k3Q3AuSycsad/67d9f1LD6e8/zwveb5qN+BaNv6JxJxC/QPVC +0jkY1YLtJKwSn3IE2Sf+Wy0bh62LEC7WGViyTT90Kwe5EEb9M8NEkiDkcKX/DT4ZSmcnxr8xNVfY +rIqd7hJTRNYH7rAQs3W05eSjFL4vunmoLyRjB/rtTAwAPWYx5l+VABhqlyl1s2a9Xn0Dwmu8sDan +NVtiHBz7IggyKa4jTFsmn9JIcDX3bBdB4W3HLDHWODxrxMgvBc12+BcnxxH59jtOIKpjWTaGrmZ9 +uy62H6NPSv9DZA9/oOl1J2HZ+IwcqryZ/OgQYaUYYOGA5JEeOWJ4cICMhnziAaDtZcGG4PDzejRD +1petgGWy+nOg+m4BtFTYEPraUJmo4g53+9wBi8gajKOSX7lGBejUD+bg3YFfrNj6kT7j+8/v4Zcy +ZJA2tNPJ1wtgYLOgqGoSstMLTyyDLGcuOurluuwbtHtjBTPs+1VXaMMGmI+41E9HZpq2uB90x9Jv +XNQKY7rq+PFQoUoJm9opqebPkiBs5tbwew02q7oZEG6X2cDOqFRh2oafEHCrTC11g3ZvUPd6+imY +cPUL9/RhaDv9Xe9nbItZfl9KBTXdtaZWbrlYZwpcY1FXHNqh+mkHBBQJmiZ2FNbg6f6+fWT3hCkF +84CoI/aVHh1J615oazMIuUIPgbTXvClj/nceM4vvNLveKvxduggpkzU+nN3VUmbQWc2vxSusqQ6n +U9nevPrFaiptKk5UnTo+xmMA+p3ZLcmPoH7rkvJll+XCnDBANiyHe9C5pSPulrOfoDdCNHZILXVG +syq2g+VBLSQYGss1K/W8M7mreLgHoeeZl8OD7q4+MZhNbKwrx3MJ57Onr1CPWDqtZju2kWGXxA37 +v+7sGCkPyhKqDI8gpzTAfNPk4iDTwo9SEL3OcPZPzjaCdtqGGrP4SGS9Tt4yKoF/I1a4sybrSykS +HD35aTQjOqWEJ/C/PFMXCNqMbUeVVFYbytYpepRJHeM+/bqESzlUP1y6v0cY+a3CUUPPU5d7Pm/V +249U5H6WbhGSFg6b51S4GxFLu3Tyc7N+A28en3pkTCgB5R9jcHW+yir0Alodd917zU5nrKJNuLQA +2DmPnZbpFvjyZUyGmO+wVP6sY/taZZLkrtDS+F0gdP5rct0FXE9IUbFlzdFWcslOFyTBfiWGbY9j +dHzaaLDWawPEUXOTt8PLzxrcQlgBxBoCGwCtl101ZCqBfqi7AC0utXn3hnMnW7mFlNcTM/DYeKDB +yHj0WAFsSWfCD9h2NXhUeDbg5hC8iWsShawqFwLs9PDMwge08ajsDAI+nPAN/UeRTumpcsJw/Enc +8DcLFWt+yc/VNt5ysmu6onfmf5BjjZlU6FjyX9J43I+8tFKjg5FTVLbAHuDvQmmE61HaXQc96TzU +ArNvhetqccWpbsMzAfphVIMeLT92nHNIekhAazyymCg/3PFxTr0y7GlI8QrAip8WRIV8eXnzAhes +/OqdcHcbzHo/rB5LAqCoL87uh45rB0bgokf6gGbZ6gEUfTSsAngueiVQAmwpN+FpPw6+P19ofA2x +AZjLz6mv+JcUCyV+8cyoS8sJ0aWx7AAZot3HLxHSI3biXim7HfqmPvrYsP/oM8OXsIs0vrsTiSbl +Wbapok/C62my+4OkT6Yd35rKqqrxT9YUDi2TCmMIXJ0kyg3kLvVszpQAmuPtFx5+vLteoGkSTEKf +3OesUKiTdtWiNkVOf3oLMN/5L6D8OLeu/QcZcmQaWES5uOCFIcSoCWPDYUBxtR3qnLvEW1SImtES +pT2lPPtxeVN8ixz0iGCkJzUtqT7SPCneekCE6sG3pjFevPoC3TOXl2/Trz/IAlJm/zrmUZdOLHns +Ol761TFStPEubmVnym4jbixXIp7sYSHevCU4If1STLC++GXLB8NtES1RkqnVblFo7g1VhIYtf+7V ++VAgKrXZXA8ucsI+5LufGEjPxrPCRbk/FMHgIQk1RVgztBTAppQCitxEAW3P9Yboea2QSZ0Fh98U +ZphZLTbfCUQSHkQjhKao3RmSbDSyedwunTJXoNKGOlTGNceVq1Ig3SOnTux3Pc20liWptbus44Wm +w2yW6N8nNFqMDGcCtF0He9ljoISM1PGKtFdAyaOKfbu0Q5NoAXz7pAio5DGH5KGnqsKHEg9SA2MT +Vpf1NQAXI9P2/6DOLcoFSZIBc58YA9EF4Dgt0bue521z3YaPlXNVHwVeb9vpz/e1BCGx1I+QubTe +sYocSBawMxVKmaz61/sLeFVZ4GotncPCjM2IK6kFqHEDEexcyqbZFrmCjdwHUyF8ZEb+ohG7X62R +O49gP9ubVari++zrDOV0XBLnB+nbUyVoWNX1Sy/gGm48nWIGwwF5g/pyt6uF088FSwxyoAZZqVrQ +/71UuEgp7H0o7NKeecdcygkaWvLZWm6H+LJvwoP4v9iIZUUWj0K4W76wopJ7fM1RF4OkcaaOngkv +wLakhW53GXB0ytw7nA3s4IkfnlL3qzalSY//MobhfFw3ieKJxN9RXCw8LhX3exdA+LiGNYt9MBMA +vCmfPQ9sRlK4ml1OE+/dO0k7GjA1y9s0SMnyNV9G0Pv59ILqdutSveB6/Oo6fziHsTr/PieEPa7l +7R1h+JDUR7PUJ92rQwTG17s9IeH21PtcEx5o9hRXcDnmZQ09GrYEk8nNrkDDjkaBPF3pWdYez0hT +V47ki0KiJiFmkktiaqnBQBa8xpnPbpC6D+mTpjow4dSuWztSeX9k4JLFmMnyaiA91C6wzuyY02WR +81SRZetR6jf2n8FyCB3hZUhOumIxLj4wySfg6T4VhTuDCoktpWp9B7DbievicHuit04E7Pb1RMML +y5Df9FVm2NrYizoW9TTldhNYrI1LcK+az0oeVBgxg07U2ClqW8nCdvAtz3CbVeTaAmLUeZ033E3B +qs+6cVtTVg92fnZpBL25tAf/T4Ebx5J5FXyfYxwiy9AIG8wogrXSRrQQ0vXwzWs2YGTdEBimMudy +IoKJM79zqAuG+x+JRIL2YecksUa6xfQgs2KyjjLqKAkcFQe86mn+I55/51Ii3YUXSkODSjZipbzG +zlxa8jCdwalIi1+lUoLQZlq16GyWFJwtbIPHn+t4vO5POcyFCS6sCspdiTFkvi5doTlHCi66DIeF +h2odvxGmdi1p/BwBfnXfXct53tc+Y/w3BLkdt7xCv44I0Vv+Ol1EaARoiC6gXeZFp1Ijw++kKR6A +EAoCmTCp47YwD2YbtcstuD9W2RCzPO8MXud82D46pSzuxGOl41nHh9yWDqgvJrsE9jV+RqCqa+fs +AvOmHLdIXRM6ER184lq8qI3CnQ3Uha/2x6TYBZAIZ36FryIOFalg1C5uYpXk8+oI3uT7vTkvm0Go +33V/JEhDWfCkLvtfJzy3mlddF78o3Zmh66h6FXGveYGlpElWNyqqm+StRAPsXN2M9qCr030mSQLS +IwD6MIVgtYB1CSaW/vkjjvOoOE/Bd0jKZidjX51pBNcgh5PS2k9LWjxd1EYMMWZu/ZVPtW+okVIv +72S9J4pwrqL0O5THLLInBeeh0Sr2qHhMTh472KXJOg46gH06Mu4PuNdoJNQ5rZ4dXgDKxHZ9znbK +AbPhbgo0JawgvqlKhh/sF5m/ecLfh6cXuI5uKQnEzqr6DCurCOxV4SNKZ6+9tX34DyrJWalyUCQ0 +YY6loamD6gueVOoZEsVie7ip9ueI933UgeUYmbFGC3nnZcpbJ4SJuuPYBTphJD/GFdqRjxqEN4c0 +Z1qO/y+hwHhh9S+2aPi6TI1YtQNQbghOXMusaLyoftadHbpVFmWjhjNDp/xOyJl/SQ9nQn+AoSnU +0dulEGO9tg1NOXCsUXTdch8vmcF8M5QJtxfWtp1S9SAvWP/tTSFBBuveC1/+HnBJAaAgvMmWKzl5 +YTlcoFnUf7wA9mEh8Y97/1ExYYulynjYu9oDWJdivGQjpfIl6TQesj2jFe26LBlvKtiWkFQaZc4E +1CnmbcahKu3LQ7Rz4FLQM3acFq3g0NrXTvMVth/cpuSMNPDWTeaL+fdsdpbI6Ax6rcBk4C3WJ6zr +imb7BzpxBMc4E1rSiqh1Tpsu63bvQsz+JiWI2bXMfL9CFUNUFFvv4T+pUOgj3UZPvkyl0zir2FEf +OWC3YtRBLUJuuDFX8YJGy6lV9semHgNuLLi1KjsGFuufVCLyeaP0P2d1qb7A115vncwsjB46Kioc +xZ0tbVOoiWh7165/SuYZV7th+faF2qBeLBww8LevkflccsII9OAga74CiZa9kZxwSG3aSXDepdQy +yR/WeNsB4yh8UUm2jGQPBBe6eb7teDOCfkM2J+1JKMjKRPhRQ6xSAo/4lXUd/tBW6PYpGUyNA7wT +OHm/OdXgQaVIwMo1mEEvD39kTTaK8Ue0yrIndt2Qu9l0K9wYmZ97q5oKsrOsp1HlJRJhJggp0tV5 +p/qgMiHfRp++qfkCAUCZaR5E1yi0dc2m4xah248MR6IzJXiqq3iS9kuCa1oGp9wuNrO9I5VZ1+Vl +vThmBFiSkdVG3LTYE/W3LgqLgGk0QjkJOkxX1qrRBeAaVhWooHyua2Mo4DViVeq1ng5jmkkORjJz +4D9gLBumdXoSoGCfGm+RAhXJ8tB7omXiwxIDNg6e9QIZ1jOkLEr8znfJIjqoxI+bhE/2HDlvyTHu +M0dizvnrMPIHxb+Bu1IlMjauhVLxaKkpXVxfzMmszDv13ThsmoXSoozyLpxvlQUAfJT5cl2Suf/5 +MvofEp/7I3B0QsqHo/eXvUJPdDvdZgV++BtKt4pn9IzD6NDKTvln/Aq/4V6mnKV2NoTFhEphxVk1 +9v6uhGNVfrULosEXmOajkH6BVMZkOD9Db3Ut7rvaDb6oaKXprPdFH8ijVrIbPb+Ad/qXFJsz1HVm +hiuAXfjgqZohTXINuBNcDfDK3oMRgMzhI4qjwuVe7Jo1q963mXnQrL7ISGx5s995jOIyhQY2EYtw +JkDxqE5VhUy79zuIofAdCmKjdKSQmrYBmBXid0PGfQ3jSEotZFBlRmK0lhvgEVNicoMpffHzzt5L +3puOnHFrNZWFj/fsOlSuAiYX/9zKlhVfC7Ph8x21KyBXCt9ZFILCSJle9VFAvQwLrafIMXGrPUXj +9NtS+4qOapofyL0jwlaQHxtYhHOlgo1Llg2M3bCkhZL5WMZknYiMZyiCqgnILtbeaF/TbnRf0ku/ +ZK+b6u/dzeNmjjaUyAxkiPZ3Th0vS8MIynQ1eWosG3FUatqfxSP5Z7wEFmlWV8xJc7jDfvIBpSDl +kav2LWNyNdUZ32jTEy5Lf59uKwhpHAknZZlv56pxw14lmp6a70m3pnZHGe1BHC4sdfvlupjhhcPe +/Ee5X+W7vSWWgDRFAp46cJnUshwC0VLvWY5LfDFkj+kEn3Fexv4/JBdelcLuh4pBPXHEZDvVVEuS +90msc+BslyBMcZRyC1b1ti+SiP2mT1XVlmIXQqL/QC+GRnz+RU9k2yOMNEB5IMsYV/YRjtDsCjMw +/hGxPzfeYHw5hCqJRk8bKGbPmXg4UQZ+u0PRDiOV6YqcTBWYpoRahWgf+GKaPf4bu1hQZKCH4AP9 +HDDoFvPFjRDobhukGnqgskIPus/ZrcvD0SQDCxP6ahFyatRdFod+6O6gCmWQiMy5VmDBkCUf7mab +2x4E498TWx6+1PHcP5bJqoexlbw5+ghtC8P1M/xJUQrnMGqbCUS8uIeblV4wluGCC23ap+5MRF+5 +3Wo9iWc9NZ9qylRBhkz88Pw8EE3L+mlfJiXJ4Cp+/hTz/YPqAsuQ5w72LzTUnkPedQZwdacn1SN8 +n97ZoNXYrfVcrjufw2sxG6FvIffQQRW70QHWgTTQ00GOW6SycPn1ceSgA4AvoFjgEWBDhVQwPmRu +Y5zb+BenLBBPqC8LcEJdcmt3MQUhXkqbbtcSrbickBlNKQoJwJL2VpHryeTNkr/Q0SsdSU8aSvqi +VCwt32ccK+FlLZG1HIfKdMDoTa4pU2fj3JPCOMgDVCjTcpzDtyCbHk7ENM5S3GtJc4O5ha6f4EFP +Dstl+B8w/8znSmUWD2J6nz938z9ZrV4H1eyr4bH9EQ1qo+If+TPy13Y1Hba4idn5Br++Jbk5kG2V +dhOEZGxhEsShFH1b+MrS65Pl1msQz87RYZy7wdwqE1RrJu3tPUj9rWTvbyyx7u394KyqcDe3l0WG +++rau9N77l7bD+Ho080oyCmZYC6GWl/XxekoPiu9H5PY8RODjqO+16SfBsQnzW79H3CmHiKQckeq +/W3cSHM0ME77HSqQcVmluuJC9p8uS8zJRUqu6RV+VAcGBGJgrn0pIzXOEvGnIFF4OKt44ot5dNuC +gc6UY9dwxMnHH08+9B5dRi2KhsWU6tfeXQ0DrlaF2LKXmFDQTgVNzM5RPbjCQ9VFs5UlnIdWW3tW +9TZeXqaijNTaferrWJXMFhSFRWEY1fxMbAkGCf+83wjkZHvUa2rBjAV0fSewr3fWnrqvg6GZefZh +kah/RT5jUIsqm6oCOHJ30h2my+zgbp/7WVTGLhvOSpCyOQKjtwlLOoYS8WqD7IfvhCO12q4dNz3W +S/MEE6RkbAlR/kyD/bdlDgSsWVe55K29IFz8iOixrXPZ2/E77mNmafL3jM7CODY9fgIhBU9BpX2D +w1Z4I92J4anMKVEOgJ/dhTgIEGqupXYUuTnCVbGcECKjdvq4WN3Q/H62mtU5NlZ+RhbdOj59ix7k +CK84hdSAAwL5TvjnlUenH0kSUsLBlCBnKFxRz7AlAmdPvI4rFsDwI32vTi2HixT31zNNrBST4o9I +ctcZPYV4oFdNs+bfSD+K4UjuJvbsLCvXQftEKMrSdgNnrT6VSRK4Z8XcObsm+Be+N48XqafAXh9x +Ehf8/37ZPJpz2P1eHVufe3EQUwzmEGERD/zTndghpvoG3oRmKE+dqFfyENTvGvFJSloX4/3C8/I/ +XUFDze9GkXI1IvKq1QiHiwrBnZyYqAVrwk5uAe1FAmy8j1RkbL0CckEQJFglIFDev03sslRTsmyc +GHCACQlg/nfy0jfzBo9QGM0UaUkXeuPSTBSZIEMdnn9qb4+mh4CiK0ZBpJHELXGxStNa35aO1UT1 +ZTahxKWXWrVlkQJTy3uM/svoSSLCFL/gaRFNJ3dmY2d2AVj/RR1vrYUAr4p98NKeWQSF8+JNf3B+ +BNn+ILiX1eZ6dqFo232ch0KQO65xYIVM96IkVJIxtjS573TL2PmT3BFRZrkY3gjVVuJaXpJEcRyg +BiF1YxNY8HJpzda0Hpi8IWCYeA2khVLviXL2Ph5rHyu8+d0GHPMI6QZQwcbn74aurZyOK5H4rebd +prkvMRQtH1u3Y3q9hWISLpGUt1Gd1QUHZp7XZb2x5sgPhkqXPjZ9NkZkyypUWX8EdShnPzhpfrnS +FCDe8cQU3bnNcBrGbMgIeC69JC94IVIT1tXZVgWQOIl+7KewHLyFhmAS9CekbwjvlQSG3D309soS +6vvpUbBCIzNca34etIZKu1un9ZAFkuY9+okhKFQ3CzVki0wX10FPgkuMxgKzvJ5KEtD5NG10/bNg +dUaCeqvUeVJTHT1Oozc2VCCPdRMAQQJz8dICgIMmkd/DWvJMlgTj4fPZL2ZMtoay9U5fy8zPNetD +edy3aKn78x45h6DynPfx2+s6mjHIkDKvFP74edD9Q/RPI4t+UJRuHbODLoHm/Fg5JSmydst48O7M +goyNy83uA5AUijguRezRWA+Ga+ooDxZNxYMWqVbSVfkTlg7ejedWrlc06JHfCy0123l28L/+9EvP +Mrevs+Qp44Q8Te8UW/JNt4COEaBI8/wf13flC4ZyOSkxH0yPv2g27Za6hUiBwU1pgB2mpvh88xtd +c77R8IYyJ9Ww7d4V+zNrBRnz+WB36abIoHfeEaTsSzmyScjerAXMs83O0Uv+gPUZxd6uNf5hhMGu +NY20cCOgrmWYmNbsuljbjlcwO4JnZEHAGWVjVzM+vRatrErnBmnUP+xfzp5Rm2ZkXPdrAEOfdQuF +2Qswwo9lhTM16cvzXdYwiRgOCui4PFrxLiad8EBRiP8WJexyPe9TtTya5rfHBQH668vrpN39ix8A +sQIEGvB1dswPu+UPm9/kd45nbuHwmIMXEC0oU7RrRUXK5nxYRxf1AFWeP1nzGVkFSZOtfPQGrWZB +fNSZNA8OiMdFuR5+Z+djqONU4LCuIXTlRE5s+4M1zjcIH2MQogOV7IiJDPt8SoOosOMLI+E15blm +q+DujDxY3D8B4MpehKgdD/yp4CRajw4uH8TcHsVPEszEKE+b0axxstSx9FBi5Hw8SmGnSxjeUzSB +/LGO5kNt8pfZeqIMl9c1B74oMA+z92+MwnCK6b+A5q3VBNBzV9Wmn8e947E0cy84opl5isLgIpME +0kqXzY+xec5WgmTy50W2LykYfxWqDVAt+ZlOavV47mB5v5HJvYp7uhvTsyPfydLkUkki7gfjRk/i +OuWrYEq1SWsyaaFJhFVmSLjYyBxQA7++30QXb6P7d74VyGGM5GpQtD35rzAIdbxnQii+OzyOcecg +BEJivPOhPu85j0Jfp0mEhLixIoNoihz+3oC9X3RO/SJtuIoBiyBttlILrhZMhWofsAIvb0ARvxr3 +kF65THdVbSFfu9TYM2uDCg5oNTzID/AJgWK0dFALYV49Eq/88v/DiTiNh/vWeJtjMpLR25wujndd +PTTEmQbYkW+NAda0AsnYXhANmAA5d7Y4O32Tbpqbg9cfsTC23e5zeNn6b4ftNYfMvvnFnwHfHlQO +PEzwzIYf8ZAvtwuOZf2tcy31Z7gwbm7ECO+0Ez9VkdZxjxdyF73a/FakdTvcY25eii97blPEnZB/ +nwjs+NQAzq0Zq1jR1K92fqDj9VA3cxm1oFWB41av+JdompkOU00+QR/lgUWYrgWDHlmrS8m+7aN3 +Yvfu2srPvfwx7MIpT2+I2RyAXTHK8BcqE+RbtzqovqRCZvZdDrVGQWUcQCbqiMQwLjeE2Z9Ow4OF +bCRLlQ1KHUW96uc9giUNkvKWtavPPLf76oAEGI3iwoTapukg9qobQS7Kl58EYVfw6xA9Ld+B+wE/ +YnEJj2CVDspksO7c0w65z3YiBxF5KbQMfCj3Ic0/mqOSEZW725q2Me85Rb4ZjfiUr3mS9VxQ0Y+B +IlGJE1glpey1hNZS108BfdVE2uDAD4Nfn+XsIQtWDLFfCXXbLgW5BEzLtxatzjynOfhI4jOGvGwA +JneRtVNt6dPkY1BTCz/yo6vfWXrR4jEkQ62D885dGW4qzszcJNqGaY8CEpgLda0w/KrzIT8xIr7H +IY4KTv/2ORPvx7BYvSWi+x3eF+neCvpg1xch5REiE+Nz+yATlOf/XtGyaGmWKJIiDXK5XnzELvNK +G/19ldNq7PtfgIRJbiR03Kpwf1muo98t3dCpc3vQfBg73UyfdighwE0hU/9QRtDGZCM5XFNw2NhA +9qaAceinN8DpITha3nmW4z8F4F3tP8Q1OnaOJUhqQVyazU+MehRumF+MrVLcY873r200gmGJPse1 +3u+1KaWFdj9y3n3YYDdN+YCU29B9ZaV4Xr1WUCUZ4kg4qdR1IaGPBjeEUAwQnzWhHtZQJWSnlLdA +EbBhAigCuBjBeNAiR2GeggYApjN6E0u57L60Mvfhh8wSAfVSHphyp3YdiaRswsOe0AmfBdzYk3iH +b6RgRceDcdxXSEwaMWIyh8SLryzif3Q1GiFCFDaLPSbSZyh90ZP4CEvhupG1/I3OY6oWL0cJwLM1 +Lg7pJ7m443Iex1Tes/2zEM9tL1d3CxDqN5fg24LFx681y0ueehFbOSzXwOXCmxdWCN9kDFUaRfvq +X4XvODYdRhHBir99vbh6AYvUZTO40ZP8CFP8wqETlXiEnKcgE+a2srMeRU0YiVNuEPw+GTv5WPYg +EZB7eXvj2prqa2i24T1c4Ev0THulZWQU2XyAhXTydaPavAnv2zf2acnYUGqTdg5qBdxO9ygYjaqZ +1n0Lg5uTb6meYJNFdvKEocxPUrG5maUWJSqrRxgH/hHvrAufVWi6g8ZsyOQTH3CgSllUVf3ZC2/n +IDvNbPusIJ1QPbv6BBH4jxCoYNZLJukyMBYBEfF6FKEJSX/9eq5CsyjnXqC8rMePE/OY02IT5oC9 +p8V9rIHQ+y07wC+PohBYd3KAoXX/vqXrHX43aDCclGkpzTTpIcFXIe02x6ab2e0tDUhL9LKoYM/P +RqtWvsiGbFsFGn/pQsoMBQOJpyWumvj1UeponU5TFtGvqtd6Gk3HZ2ow1gR7sn2vlkmQs4/8IKaX +lDmMYSJdoS3dVN+OY7kFOMSg96UMmTE9XgvfiPJyZ8TO3vjGsS9/2BN0q5Vu5M97K1EsTsGNVZdg +5bp4jHvHCrWgBesKK+mrpseULLfz1Bd3+o/OLLgEbljE+JQR0DV5MRvFoMrrgvLSnWKQsGMEwMMt +jUFL6zFyFjIDf7WJaBYoBNnEfiHRFAJRFJZXhlc/HuBvYIrdI9uTRNxs41cyDoyKR/PfG9trpCi1 +CuDu2wMUr24EBbjf+fh1X7I055gVnknczjfZ67lHAYH9AQd3mfv1B72C7henmpkHNmQjEpeYhDrA +tqQi29LN0GuZyeuHjelGv2/PFNPx9H1QlRrScwNKMbLwDpqojGZf0OwWOd4TKEkjo/mnqs7Frzbb +S6+7nNscd+8cj4Xo/SxFjWRLkvMSuWWatPjhK6eshkgzGJwIRXbY7kZ6uk+HFuC4MW2fGzd0UMcr +7WmKCdQtcq+eNVKVrnb3N/2RjeQkfGn3jR0EHSOxZyMMti+dq+Ai6Rv+Ci9EbpMCgamx/+3XRx5n +CN7nPkkNbNLFN+IntThZjlR26I2Z0ezJjfNv1AuTvFCrOynKP76ouKhdlhMfzwIQHDrI3hwDc13i +L3WNB0IKbVvfZpAtGKgHB4xkZ4kjnoWYMczvmCWpzzgvLtCa+t5+8DC+JIvqVgajgUvM0jySQwSZ +dNWRRyY+8Vt9X9N4eSXypBlnXT+x5AopwhQ5exyBBDlmiW+UvOkF8X+mDca27W+HAVnF/VB3nzaN +61XDamhlv5gucIvlTP05lh2NzX/eHt9mP0c/9mRXDorSMtF2kaZB9rJLKbGOxLJY6iewq25UDABg +tIiz9m38PSig4F7L51KnqxWlwpI5nuFcKLwiqtod3UnlCDAKLzmQnMBIJRQTmRGDROlyw1800R22 +WC9OEOcPIVI1slf6HOUIYSGJv/gx8Q0601D3op0i9+t8MYqrcAxe7Eg1Gh4gjaYdlRm0gDEN3w1P +FkyOrGv6g4QRKu9F/w7qxhfK8E2VbGJlYldw+KA5wgkaj0yj9fXNFGv3VhvR6PctP7RMphdADpgm +GfCh1VsRKasV8bc8+jJVe0Q08B87Ous67ECcgk2cOorx/HzBErZbNS9eSeb5l15UPxUsKiOj9mMU +Ikak09ayVNmM9vY28jQRZPXZ1yzcl7fp5Av2GnI9JDXrgExq1trxq9jM0o00rhXvJFCdkb0FE94N +2nrhQbgZuDRkeJZK6tUltONhreZ040t+gSHT1evNoRU2WYkoKs4av8sIlVeMMZa3lcAVHOQIY11Y +r0jCs9mQD0KmSOXKtbiq9SL5N5IreAdxpi+bXB/lGc3pBqfzptnZpxFWfvxfzeW1sOjC8PTbWEz+ +eGUmFapTy9JARebxwgboRWptpDtcC4/WqKO2civYxzEYImXo8Jr8EIXI+g8SUReJ3FnpyWfTk1QH +4b3kqIOlL1jcjYCZcuwuLXmXwRi7De3y5jFfNUW31iyiuTo/j34+tas4J8n+raVPwFttz71agEh1 +kF0z916idkulBVOgVvSM6M+0y5b8ldQgzP7WurIHsx9Vc/DzOwoBf7h/H8toil2Uf7Xg1EyJNAdW +dI9dCBEJeayjQjM7a3gdalZKNrYeS0FRgoJP4EjBQM0PfHX8jBsdCTejejWtDFDx9donuN8jCc8F +uOdMlplHca+D1MbI92MJA94YrubAWsr5sesjlUEk8hs/4ujdpffboOlkS8bNDwV26w87ITa7zTnQ +V+yMGEw3Mtf7z4J6indW/jRjk8spY8oroMsW5BT+dfhFCh8F6mc6e4GlcbZ0StOGrKUTsf2GaqH8 +fEXhO7Wq5y2yjL6k8eaTgN/cRXzTSEFRjEOV8HzlY/MJkvFdPx7qA0/kX5jWKp+ZGXNrd/k4T8Vy +6Yi/nbQ+z1EURGsh21ZcvFOeCVFR1LCQjvp9f4o6UGZ6SJeBfGaOTmYDEK7EnnW5nE7X2sGtau/d +AHPFEPO/uMS/WficETziow25HsK/Q72GDbMI12E0UvHZ1o9L7tjcuAbG1xMFlbLCRVMN8OkMB1pb +DHa5lCHGdaE4JKWXko7ECSwlkUtiAcVe2Dm1vy1rvmHQKjb0C6BJCHqYA1mFgkDidHFEji9uBkd+ +dEqSpEd/W7SBHLQyhhUcDDYnqknZPS+OsRHOSemnjK0fzdmljx84KmjRkg3Ihg3xSWv+rU/r3g8h +y8JJNcXi5PLqGswZ35JnyxG+TSQzDpDL+Ui6kf6AQl71f/4pnwckML3RToZEuqiBnf4pd1cnFbmE +tgUOzKc+ED9QU8E7dt/arrNYUb+SMhwPn3JkwmSJA/4GfNhRiMqP24Z5aOF58LPbzi/2lja3CFJy +H/Z2K0pAwy1pEDPcucIXOLfJxcrYw5xj/VSZt6PzonEiN7jGXVROuU4nnciG4257fsghTdR3xAxn ++5aqqjz6ewMRRFz01U7/3opmNRCZQnd7htSoebCSlVxvaZoiLN3wO/7MniQ0V1Xq8N1gG2lzBbEI +boT5uatzkcKk1QKd3itZ7Ylb8s2BbFqCSfEBzGVsVKZP1htrWC5vt9C6OaMjZR9rwX4IgOxMoQzr +ygN7oTbM6DxVyKE4cLG5m+3Cfx6R+PFD7c4zJZ3eXVUwsLVw9/JQIAVrJl8mjV+On4Batq4fXWr1 +Ml7RvZpjWXBEYXF0yoXyWS9ApxQO+4Im2G6Ur2sKRrbCzeobsbN+rv/A4dAAZ/PktrxtBu9DANxG +nnmyme67G5m/km+W/UPPK+wfVatT2qtV46uw//gTpwxtOCy7gm2sjrVY9QPtWScOzg5jLoBGgui/ +hPyT1wUXB5azsRo4eXMbmTfI3giAy/qYHhtPn0eXA5313toDXfpi88ZX8OjtiJ5iZez85IIHVprX +CmQf3YoVLR3umMJU4bWIVkr3vmqri6v0aObdc0Za9GVRKnK6aEjfgx4SmLQgKHwNBcNI8fxsK7Hc +75UNavisAEvK/nHQ6AVGh8WkbWHcDyQhLY7GSKt7JB4b+Av0tv9ET5KoBkb3/vE5JzHU5QdJwssG +lf3c2b85mabuFkKNmAcKObmbqWTWI4cE9ve4aikJESyVTuW3c2Hf9bexexUijaPXez7fFqx0XYr2 +vHZEkGR6wTWH0wgDfocnvKRblCTo7l5G7Ztmo89wUekEF0wLbi5dtLgc342VEYL8EyEyg/6OZ8lx +9jjxaWdLb/Qom7a8TO3Hm671PE6VqarznySweHGY9Y3qK/Z4QrbDkcZ35Hkoz/SroSjVydgaL/5i +pniiR4zeQZKG8j98ciH59BaNR8uinU6ObRMMp7yIwSptiHCtHaBGVlcivfBBKBiUFF3dldua7xpJ +9E3MDi4B3FvelteKJDJX2M/NKpU+ZZCYryIdNo5ZpSnKe3swInmXhFJfEy5mCyOrhSJcmiSbaJfm +DdbGWTkQODPU1VfwA4OU6dDn34ropbROy0U1YX6JJy0HKagsuRgqjNjaM0XVD5PgNc16UNZGPsKA +y/H7AIqSshCGNQxaAEHtnL0ny8oMv6nDqhydnqxHQiMwy0ZNg21zZNJ0TCyFxKTvjPlVi6XKqY5D +PTwqMRUuL5J6Z1XGERG6Bce6s/hpZAIeg8UrFV138apKbsG1SCmaCmfg+7Q4uwwu3bbRY+CiuIqO +qlaqFOP7xSWDe8NULSqyWHE/pfxv7ZFVt/KSzV+/UmwH41lEw3Ffto4oWluNnajM4iHx6TnfoC0F +uX9In9nX7s7kJTPH6G9YK7Sd9QJzd9R3RKcFqeyjD+9BRSPig8Txgzh9vA+mfsOQ/k0HPZ16Mbcq +pEbrhXjSMzy7yIU7cZiVO0gsWQ1f/YtdvQv0t3VZM22tTGtJ/hOUQ2Or9EawhScerxIkXL8KhrNr +BpGTFpXRm7doXXEnrswqAYi5gr15AM0CkxBQkjfBltNwRSO64GZLdejtiRfFISN93LW36/m9WhYy +hjeL7i7F83bCCyO6CDKzo89FjtRBgDKEl9Os9q9e0s3QEiaT8ZC/g6KvXvQF0V7PUlHN20Hnu/bR +xJ0K/9uqjHIBydN3RAb1AifNqIjIwU7Gtzg88MFjJ3ALQS4rHdEf1Nu7QPJo4VzKGpAzGH38DM/W +B8h+gpDdo0ofBXA8mrWV/wpnrZZ9PRA7npmEnbq+1qmd3Qk5HXUYjsO9VWErF9NSQeOqJ9Hk4hDo +H8SnZnOzP185UMRy1W5ZqF9P6I+1f4FznFrPdDD6RFK8T5FXTPm6hb50072WoQ5KIMLgSP7k2G/n +j6MOi+Hj1StMvCtJuLHJtTF+ZyHRkXlxrCjetLuIUQ8czUdPs98EKVi2ZTiEbMAf4gM7X32otjIo +1CZAUYf7O6OzG4Oigzow+mt+wnJNO+jPGt7899VgyWbZzWlCt2sU5NvYZBHDpsBphBPnlVDBkyEs +L9NuWmP6ttTfDMxElM660RlTtcGDX2DDagt2nyF5CV+drJFILqs0X5uReliU9yWeoVHWKrY9yZ4D +Zy2dM8sXe1J7VO1Y4psL0Bc3OGPVTmoAh3/BHBcXWv9aqxDXSFp/mA8R5wcPkiQS6qBa7LilYApQ +53nXi47NZDyYQ4KAR6DU2GXxKfONvDC7annpH2Wd14z0QrlyCsX1Zl9G+Co1mFQDbYG+B0MYi5Gi +Kpx3OvAvjbmSvtdcV0u504j+0Ko0YDdcz2vDSQsSzp9YCmgvL+1ONiWNtZzwHnUi4GN2PPppTqxo +H0mYxgnLsWchLGzNomaTvYyS/rsQvGykm11ll3el0y+nBehFkngS55G7VcnvvYNUlfScEp2GEFOp +QcPAH88BMEwY5qDvLZNABixgTcll8g6A3Zk63jXyBaFqG27na3WJVwJtOuJSPoALwBxR7qObEboy +rUp/uXPZM9hOg+1Ta/NfZa05xnZ5rlLiDqamKYqHF01NExFENzqduwrvpvRTY6CFedDoc+KC2Rsl +Ob/jUs2uTr44JoNKIkqLBO0AbkeHbGxYiyNUw7+GrX6jvg3pK8H7zXCXbAVMjKTsWLtgTAPK8iQF +USXCCgoKEdZYm3w9165bMt0+9FcAPwX5ikQcWDQxWz/65ooEFcjAx/IW3RF7SN42geX0WJt5whVL +EE3uvRISTa8yVHVHSsSBJ5o38iBBsWqTi0Mhd6JihoTuYUu0tx/4PrY3PbsmPcVGfdpCKKCVjsZQ +Ensd3VKbIdE429sbkPi5yJlv6zCYmIl6In/uyUOCfil4uzXUD+Db+wMssZxVhsJBK898K/5KraeB +VH0ue1VHzwmE3nYmZh8M6c+PqkW8bQrU3KjDV82Z821h/TLYbAngh6vGaHf8OhLksbsvyWoUBrYh +xJ1hYrUyCJ7ELUpty5AMgm6vNQRXWqrgfilMZcaMvfH19mCXQZ1Wen+jKOcoT/PJ7sYfwhsjCsHf +oF0PF3Eoe/of3FXwWTVRb2WndgFtxkL7p1+k+cFuqTyLVox0dYXtNS96yjgh1Nd5EvXKrgyVmDRd +Tez7LH0nL+oLm5wONmbdw86WR2hon4+MR2qbP9FGjSDIo6mRWTY/XDdaq+3g4Q0HepLe9V3FuG9W +KWb+uFQOl95Dvewpn4E8IbMyaGAeonaDMXd9QX0pVWCOsEB9Yj13O2y2FWxTO3rvR2S4rAg4mlCJ +lm69ujMGb1DWHpjEeXXBkFFzxZga00+Zez1RkVaxyMhJjqtYVaj7a9fvCf7KYw7Sphuk912Cydpn +jSw6Rx9ganEqskvVsq0b2pI9r4AmXEjaowfZYBOvM/pw6ar3PKQIsApw86o9bMJS3ugDGXdoMSMQ +gtUlN4lQbQ/99irBofKM6ZIu5EOBrRk/GPbcAVH9AzXJTf8IFpCOupRqGugpYguUuo1+lDYSpxoj ++o6FmnyomH5X58r31dxuWnyI6mkU02ufkAOmNLw+pCjVMhIeg7r5JAJbYhE6NmA0umc4sIdDg/eu +AF6pVvxYwkB9djyyzP67BgZzlSEHV0D1HA3CyGGFWT0U83SFa1sfGm3fgfOTE3EgjcFeH1xjzZ3e +p/oh1HOggX8cH9kE5JImCT+vtrXUCIXPBXB7ynwBuP++GXTDsxmLDHabu5ee18aIQE1xVTjvoGdt +IL95ftwhhS6EKShc3o7aJlTkUF2RhSaKHdpFieG3ga1RkrfJLR39O7s4+zOcoQMEw7aAxOkn4Sq0 +j9AZz6q4jB8zyesmVWd6VFdrmTSRn1ak8xdUGZD/Ajsi74Oz/5JiQsZeNcnOnbs4XHR2udxVQhO1 +Uz/QiZIF3yixioXCPJ/+nbQrNkgmrBVIMLX2mMUVyT6uxCXfV3qJzlxKGJjovOiZJXiXD+ALcu9y +SF/FD/oKnw/zM4pztRGZ6cj+zrr6HFm00VjA3QQvbmjA2e9sV22ugFwbYHAU3Mt54q8jEDOGx+aq +zfjKKvwR1R9vDuLUZqckEH/tzr1acsTJfNN6SIPnHPOPQBL0VOKGA5wY4Ib6KnTw9Z3aCKv6O89H +HNrgigKnyzBNOBfZ9XfQn6/iGSS/PxCC0MXW9ZPd02opKUqSK9hMO5dbaGEjKSMUTnzQR5BO4lva +/NU9/xrbQPt0q23+LljJYSQXaVhfcKXmbFzMKdtofGhs+lsMjC8yZipUJ41eHdguLAylmdVkIa70 +/CbilCfb/Pbm0rQ05jRN72ELjzFgRph/PpvWLjlcifstfqE32bs1PmvcTdCKeummdo0yn55bbS6c +CGhtppO/UsxvmzHOwbODELcRAjH86E9t9OzXYHlVrTw5twjJguNPRsQfApy+tS6ogVITLoe97xjg ++fYZKcV2ja0VXuoBOumMLHpeM2dAwCNTXuGn7ts/jv95fqQnHUlxkRbBCrgXLoprdIB4CPU9wp54 +PNknPqBM0rHe7BI/RHOBS8mgSWQ8xyE8GsBeAInCnvJTwen/1TNMLxWgjT6kzAxdJOT00QXJgk3J +17Xkl2s6/6q/KFpMjzIzL+3ga6AeHq+D0CEkkbHhcDz5c2WnDOECVk99D52kTRHtreBRm7RFOVam +ZFXRgHE+YHPqZFRvq9BGeqInAMoT4fPPDwGSzBh/RaNprcc+m4FisWOeOi3FMyqUVeog8GVvdZaJ +zIjqdnAw/sV/sVFkYlygmLeLxVbEjCo1KHoluhvb/Mg7CmeaArpwvWzAMY8H6hykuiO1rYWkFSQF +2v7FdE7R9jaUc+9vQTgPS/ZKrzW9J0K/IkLeM6Xk0qzjWc+9ay/qCxQKKohz/t9K2S/guoJfYhLO +TRpgc/MnTXJe7MlUDrEL2+uxnBH7ZunIDzDuMthbObhWMgpVbcnjiood7+GlKlyAheyGR5So3BpF +pa0Cog2xWxfYpu6ZoAieLTfO5hrXqG/b0UlUfF2wRFEchpzStT4A3HFj77zsBXKI3tTTOs/yZaAi +TxKg0KM8jBO+7tX7ujZBhSmQHdSF0Mcn5Nmsw+5upcCRkWQFaOxsAeiXtvKKBQ5GBW97SmQNY4op +WVv1xeTUOQXadzknt8qy5YRVAz6GQqUAmR/tTjbx4hpsEtfDt52cArmJEEOpfNEsWMIhX5fTrYpD +sm3PxkDJqkMBKNMzUknFDfj8G86qCZ+Kvt0JN2ayy3mLNiYZBh/PKU1e0cybsMFdqfkMsqKzeGMF +4VnWb1Mv8R+r11M+XtTNG9QFYDwvN5Hwdj4Llg9+G0p/bqAN1xnksxbcCaakeJlB2XurtM1H0+1Y +GrGmik9KPXqN8hPVMCPkmLEKfNnhSai2bN1QcOx+PL+LDW1YcWzPu37omM92OUGBmsGyfSW5+iJj +OJPwHvJzymwkloKeCK8i4GC/gqD3pJ0xH/HM1hxS4hR1pk48tb9dKI9dl4XfvScRn9ZKq5GO6P0w +3vT06BADgGtpe+nOgyb2DucJ7mP26I9YAtVzEK7qfyKC24VyeapMVT+/wSykzaCiadyJMmGWfXme +lZa4fvbxEBgnrWrelk4K+nSXi7aDm3kcCSqz1zhLpQ9N73gN9vBoivI0kwX8UrmO+SSw9tdgJJpu +Yk2ViQu+3eMpNTWP1iz3JavtpUhrPTXlI5cjOzgOXJm3pW4C0XBwenrGAon5yRuG1UKSinxHoYFB +DzK2KZd2JdYjxt0mFAuRIIYcnZRv7VnXfuJdBA70E/bmYuAQCKCo4GIN+Qa//f15FAnRqNQcruj0 +N94aBvM8rpstjQa7koAUSBEuRCzAo0t3SR2M20k33vHXHFdLNAnPB88tXj8+5ps4MHonK50fmah1 +sexNhSsMHn9W8ek7J7zr4EuvVO9kMiY5qgM1NF0BMGJtptUiQiw2HHgQ6OZgWkuCXgmR+C9O4SZR +skddg2ktkHhiEMeZ1z0ynVQmuHMO4M2zpUIHT+swJqYa3ErE1UUvIE/UgFMYBEIqa7Y/n4riexdq +EONwDlsYc7WdRwpdsEu/SxiVAi4N1CL/GNR1idE1fxhtyxMSbqb9lY3LhyPe9PD+lNNQNTRZoSZF +ONS35C78Xi6a7SxJceBR6F/Gq7oCbgQHABg15SpbaZbUSeiTh9epB2E1o3ACV7TsAbdMq5hPH//D ++XgUZH2j0lpycKFg5v5jElPHporiwVEOrsGrNLlzIIRU1v+4Ye5LGmZbOeGP635kRTdYk1Yd7Qq3 +AmbjFVqT+MfVvgzhmcGavp4lGbT2wJKpyg3cbBVowj7LqT+QXjw5UHrLWahEgHUHwonEHqJR+/B9 +a9Lb68gP8HtOgywbIliLDOMNe0WJ9JwFRTCv7EFOBpU4T/5K09COFPVzY+27KnIS/kbnDOw4i+vW +ILYAHIB2ZGFenJ1zzR9PLFC0SG05u08UPMfyk5K13teQAFHIJ8SDa+1LBRTQ9yY+Bl3wI4208Fsy +Pa5QeQPsNEs1LNSumsmSlNe43r7//gQmjrsI5/EdUEMIGXqm9ZHLAFiiXtF+n2uy+fZ+zo4t1mxr +Nh1i4YwlIpYBHwbbAf/lZl5KWWHexPU7wYIrMr6uYfAK3NFo9b8/4y2+Ac2Yu+JgdHZiJwYMIiFO +J3z2hMRTHpOOH9zUm7ciO/NL6/I+r9+0r6NzOhmG/q2hkkAn1UxE89itbDSFuT5PzKHODNbU1C0/ +lFp296+v47vYENDZCcaIK9h6OcldhWNu7Mfk2jNYxhsRwMsfj2hmLW59e221jf8T7rnPIqn6AA4M +updFaJgz7HuDSuXYaXl2PfxDLVvf8h+M8LgYwCKkvj0ZjEQv/xIKAU+PUs4KQ6+diYLWqeqRg5Iy +6hQODBhxD1prWHyuu1TUq+VtPDqrZ0+xJY0oBtuv/PV3CDqGCnY/y7LY6VtnLCzTLW6Ds8WLa9i/ +3brZ+WYZPfhEySraQqIDm8BNtJvIJ+TYzT/1FY37WAajbb4MXgW3KFhB5pMdiO9HTaEStu4NlQyg +BJ2QUYg0QWc0om1x0TZy1s8ODD1y9tfU0PqwgXvqbHPW+PAu5udLUb8UtTRRp7umAtfu2QwUQKP1 +Q2SHRcBY2MPmdfq1jCiC9/GHEK2IOCMGuLQnkWbvlRwctACSP9tT69qnVYBifKs3BRQsSp+5za2a +IHWvIO75vaJO9V30PV2JSYPxAwEdAYuvm/zB0JhSFa/g5BhSRh1dJAoFnd5GW8fOKzxTCXhc/aTc +hpyn+QclsQ50mA4pYBvPt3UuoDaAqztNOZgTeHIUvGGtKyG5+SlgcJ5Wl4i9ueMZklhDosxLMUg/ +6BDEraEt4ZjCfsoMQ8kN/6UCPfXwoOR+5tq+gSsyG15YFdrITjgathqkYJV0UTj3rZJlAE2n+xq7 +VWE1oE5NvnadwRBBzEPvu6j9Ok6jnj9ppAyhPoBwLSDKaZ9RxOcQT4lVkUkUjwjROchoSH8vO9Eq +jwKvrmzo1t4sL4cH67p1XjxiGALqH+mYXaIlTM2MuT6rQ9O+tU7qnekr/bghtxE/KYO0AuQHenww +kd+jQt+7Ml7WlkxSdGQGCdUGS/J+O1iSKAUAIt+cKiJh7WTdfA== +`protect end_protected diff --git a/srcs/sources/bd/keyboard/ipshared/0bf5/simulation/dist_mem_gen_v8_0.v b/srcs/sources/bd/keyboard/ipshared/0bf5/simulation/dist_mem_gen_v8_0.v new file mode 100644 index 00000000..a12b33c8 --- /dev/null +++ b/srcs/sources/bd/keyboard/ipshared/0bf5/simulation/dist_mem_gen_v8_0.v @@ -0,0 +1,579 @@ +/* + ******************************************************************************* + * + * Distributed Memory Generator - Verilog Behavioral Model + * + ******************************************************************************* + * + * (c) Copyright 1995 - 2009 Xilinx, Inc. All rights reserved. + * + * This file contains confidential and proprietary information + * of Xilinx, Inc. and is protected under U.S. and + * international copyright and other intellectual property + * laws. + * + * DISCLAIMER + * This disclaimer is not a license and does not grant any + * rights to the materials distributed herewith. Except as + * otherwise provided in a valid license issued to you by + * Xilinx, and to the maximum extent permitted by applicable + * law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + * WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + * AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + * BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + * INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + * (2) Xilinx shall not be liable (whether in contract or tort, + * including negligence, or under any other theory of + * liability) for any loss or damage of any kind or nature + * related to, arising under or in connection with these + * materials, including for any direct, or any indirect, + * special, incidental, or consequential loss or damage + * (including loss of data, profits, goodwill, or any type of + * loss or damage suffered as a result of any action brought + * by a third party) even if such damage or loss was + * reasonably foreseeable or Xilinx had been advised of the + * possibility of the same. + * + * CRITICAL APPLICATIONS + * Xilinx products are not designed or intended to be fail- + * safe, or for use in any application requiring fail-safe + * performance, such as life-support or safety devices or + * systems, Class III medical devices, nuclear facilities, + * applications related to the deployment of airbags, or any + * other applications that could lead to death, personal + * injury, or severe property or environmental damage + * (individually and collectively, "Critical + * Applications"). Customer assumes the sole risk and + * liability of any use of Xilinx products in Critical + * Applications, subject only to applicable laws and + * regulations governing limitations on product liability. + * + * THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + * PART OF THIS FILE AT ALL TIMES. + * + ******************************************************************************* + ******************************************************************************* + * + * Filename : dist_mem_gen_v8_0_13.v + * + * Author : Xilinx + * + * Description : Distributed Memory Simulation Model + * + ******************************************************************************* + */ + +`timescale 1ps/1ps +`ifndef TCQ + `define TCQ 100 +`endif + +`define all0s {C_WIDTH{1'b0}} +`define allXs {C_WIDTH{1'bx}} +`define c_rom 0 +`define c_sp_ram 1 +`define c_dp_ram 2 +`define c_sdp_ram 4 + +module dist_mem_gen_v8_0_13 (a, d, dpra, clk, we, i_ce, qspo_ce, qdpo_ce, qdpo_clk, qspo_rst, qdpo_rst, qspo_srst, qdpo_srst, spo, dpo, qspo, qdpo); + + parameter C_FAMILY = "virtex5"; + parameter C_ADDR_WIDTH = 6; + parameter C_DEFAULT_DATA = "0"; + parameter C_ELABORATION_DIR = "./"; + parameter C_DEPTH = 64; + parameter C_HAS_CLK = 1; + parameter C_HAS_D = 1; + parameter C_HAS_DPO = 0; + parameter C_HAS_DPRA = 0; + parameter C_HAS_I_CE = 0; + parameter C_HAS_QDPO = 0; + parameter C_HAS_QDPO_CE = 0; + parameter C_HAS_QDPO_CLK = 0; + parameter C_HAS_QDPO_RST = 0; + parameter C_HAS_QDPO_SRST = 0; + parameter C_HAS_QSPO = 0; + parameter C_HAS_QSPO_CE = 0; + parameter C_HAS_QSPO_RST = 0; + parameter C_HAS_QSPO_SRST = 0; + parameter C_HAS_SPO = 1; + parameter C_HAS_WE = 1; + parameter C_MEM_INIT_FILE = "null.mif"; + parameter C_MEM_TYPE = 1; + parameter C_PIPELINE_STAGES = 0; + parameter C_QCE_JOINED = 0; + parameter C_QUALIFY_WE = 0; + parameter C_READ_MIF = 0; + parameter C_REG_A_D_INPUTS = 0; + parameter C_REG_DPRA_INPUT = 0; + parameter C_SYNC_ENABLE = 0; + parameter C_WIDTH = 16; + parameter C_PARSER_TYPE = 1; + + input [C_ADDR_WIDTH-1:0] a; + input [C_WIDTH-1 : 0] d; + input [C_ADDR_WIDTH-1 : 0] dpra; + input clk; + input we; + input i_ce; + input qspo_ce; + input qdpo_ce; + input qdpo_clk; + input qspo_rst; + input qdpo_rst; + input qspo_srst; + input qdpo_srst; + output [C_WIDTH-1 : 0] spo; + output [C_WIDTH-1 : 0] qspo; + output [C_WIDTH-1 : 0] dpo; + output [C_WIDTH-1 : 0] qdpo; + + // Address signal connected to memory + wire [C_ADDR_WIDTH - 1 : 0] a_int; + + // Input data signal connected to memory + wire [C_WIDTH - 1 : 0] d_int; + + // Internal Write Enable + wire we_int; + + // Internal QSPO Clock Enable + wire qspo_ce_int; + + // Internal QDPO Clock + wire qdpo_clk_int; + + // Internal Dual Port Read Address connected to memory + wire [C_ADDR_WIDTH - 1 : 0] dpra_int; + + // Internal QDPO Clock Enable + wire qdpo_ce_int; + + // Registered Write Enable + reg we_reg; + + // Registered Address connected to memory + reg [C_ADDR_WIDTH - 1 : 0] a_reg; + + // Registered data signal connected to memory + reg [C_WIDTH-1 : 0] d_reg; + + // Registered QSPO Clock Enable + reg qspo_ce_reg; + + // Registered Dual Port Read Address connected to memory + reg [C_ADDR_WIDTH - 1 : 0] dpra_reg; + + // Registered QDPO Clock Enable + reg qdpo_ce_reg; + + // Internal Single Port RAM output signal + wire [C_WIDTH - 1 : 0] spo_int; + + // Internal Dual Port RAM output signal + wire [C_WIDTH - 1 : 0] dpo_int; + + // Internal ROM/Single Port RAM + // registered output + reg [C_WIDTH - 1 : 0] qspo_int; + + // Pipeline registers + reg [C_WIDTH - 1 : 0] qspo_pipe; + + // Internal Dual Port RAM registered output + reg [C_WIDTH - 1 : 0] qdpo_int; + + // Pipeline registers + reg [C_WIDTH - 1 : 0] qdpo_pipe; + + reg [C_WIDTH-1 : 0] ram_data [(2**C_ADDR_WIDTH)-1 : 0]; + reg [C_WIDTH-1 : 0] ram_data_tmp[C_DEPTH-1 : 0]; + + + reg [C_WIDTH-1 : 0] default_data; + + wire [C_WIDTH-1 : 0] data_sp; + wire [C_WIDTH-1 : 0] data_dp; + + wire [C_WIDTH-1 : 0] data_sp_over; + wire [C_WIDTH-1 : 0] data_dp_over; + + wire [C_ADDR_WIDTH - 1 : 0] a_over; + wire [C_ADDR_WIDTH - 1 : 0] dpra_over; + + wire a_is_over; + wire dpra_is_over; + + reg [C_ADDR_WIDTH-1 : 0] max_address; + + integer i; + integer j; + + + // Initial block - initialise the memory, + // and when appropriate write content into the given address. + initial + begin + $display("WARNING: This core is supplied with a behavioral model. To model cycle-accurate behavior you must run timing simulation."); + + + default_data = 'b0; + default_data = binstr_conv(C_DEFAULT_DATA); + + // Assign that C_DEFAULT_DATA to each address in the memory. + for (i = 0; i < C_DEPTH; i = i + 1) + begin + ram_data[i] = default_data; + ram_data_tmp[i] = default_data; + end + + //Read the MIF file, and use it to initialise the content of ram_data + //if that is required. + if (C_READ_MIF) + begin + $readmemb(C_MEM_INIT_FILE, ram_data_tmp, 0, C_DEPTH-1); + + for (i = 0; i < C_DEPTH; i = i + 1) + ram_data[i] = ram_data_tmp[i]; + + end + + if (C_DEPTH != (2**C_ADDR_WIDTH)) + begin + for (i = C_DEPTH; i < (2**C_ADDR_WIDTH); i = i + 1) + ram_data[i] = 'b0; + end + + a_reg = 'b0; + we_reg = 1'b0; + d_reg = 'b0; + qspo_ce_reg = 1'b0; + dpra_reg = 'b0; + qdpo_ce_reg = 1'b0; + + qspo_int = default_data; + qspo_pipe = 'b0; + qdpo_int = default_data; + qdpo_pipe = 'b0; + + max_address = C_DEPTH-1; + + + end // initial begin + + // Now look for writes to the memory (note that this means the + // memory is not a ROM and that the Write Enable WE is active. + always@(posedge clk) + begin + if (C_MEM_TYPE != `c_rom && we_int) + begin + if (a_is_over) + begin + $display("WARNING in %m at time %d ns", $time); + $write("Writing to out of range address. "); + $display("Max address in %m is %d", C_DEPTH-1); + $display("Write will be ignored."); + end + else + ram_data[a_int] <= #`TCQ d_int; + end // if (C_MEM_TYPE != `c_rom && we_int) + end // always@ (posedge CLK) + + // Model optional input registers, which operate in the CLK clock domain. + always @(posedge clk) + begin + if (C_MEM_TYPE == 0) begin // ROM + if (C_HAS_QSPO_CE == 1) begin + if (qspo_ce == 1) + a_reg <= #`TCQ a; + end else + a_reg <= #`TCQ a; + end else if (!C_HAS_I_CE) + begin + we_reg <= #`TCQ we; + a_reg <= #`TCQ a; + d_reg <= #`TCQ d; + end + else if (!C_QUALIFY_WE) + begin + we_reg <= #`TCQ we; + if (i_ce) + begin + a_reg <= #`TCQ a; + d_reg <= #`TCQ d; + end + end + else if (C_QUALIFY_WE) + if (i_ce) + begin + we_reg <= #`TCQ we; + a_reg <= #`TCQ a; + d_reg <= #`TCQ d; + end + + qspo_ce_reg <= #`TCQ qspo_ce; + end // always @ (posedge CLK) + + + assign we_int = (C_HAS_WE ? (C_REG_A_D_INPUTS ? we_reg : we) : 1'b0); + assign d_int = (C_MEM_TYPE > 0 ? (C_REG_A_D_INPUTS ? d_reg : d) : 'b0); + assign a_int = (C_REG_A_D_INPUTS ? a_reg : a); + + assign qspo_ce_int = (C_HAS_QSPO_CE ? (C_REG_A_D_INPUTS ? qspo_ce_reg : qspo_ce) : 1'b0); + + assign qdpo_clk_int = (((C_MEM_TYPE == `c_dp_ram) || (C_MEM_TYPE == `c_sdp_ram)) ? + (C_HAS_QDPO_CLK == 1 ? qdpo_clk : clk) : 1'b0); + + always@(posedge qdpo_clk_int) + begin + if (C_QCE_JOINED) + begin + if (!C_HAS_QSPO_CE) + dpra_reg <= #`TCQ dpra; + else if (qspo_ce) + dpra_reg <= #`TCQ dpra; + end + else + begin + if (!C_HAS_QDPO_CE) + dpra_reg <= #`TCQ dpra; + else if (qdpo_ce) + dpra_reg <= #`TCQ dpra; + end // else: !if(C_QCE_JOINED) + + qdpo_ce_reg <= #`TCQ qdpo_ce; + + end // always@ (posedge qdpo_clk_int) + + assign dpra_int = (((C_MEM_TYPE == `c_dp_ram) || (C_MEM_TYPE == `c_sdp_ram)) ? + (C_REG_DPRA_INPUT == 1 ? dpra_reg : dpra) : 1'b0); + + assign qdpo_ce_int = (((C_MEM_TYPE == `c_dp_ram) || (C_MEM_TYPE == `c_sdp_ram)) ? + (C_HAS_QDPO_CE ? (C_REG_DPRA_INPUT ? qdpo_ce_reg : qdpo_ce) : 1'b0) : 1'b0); + + always@(posedge a_is_over) + begin + $display("WARNING in %m at time %d ns: ", $time); + $write("Reading from out-of-range address. "); + $display("Max address in %m is %d", C_DEPTH-1); + end // always@ (a_int or posedge CLK) + + assign spo = (C_HAS_SPO ? spo_int : `allXs); + + always@(posedge dpra_is_over) + begin + if ((C_MEM_TYPE == `c_dp_ram) || (C_MEM_TYPE == `c_sdp_ram)) + begin + $display("WARNING in %m at time %d ns: ", $time); + $write("Reading from out-of-range address. "); + $display("Max address in %m is %d", C_DEPTH-1); + end // if (C_MEM_TYPE == `c_dp_ram) + end // always@ (dpra_int) + + assign spo_int = (a_is_over ? data_sp_over : data_sp); + + assign dpo_int = (((C_MEM_TYPE == `c_dp_ram) || (C_MEM_TYPE == `c_sdp_ram)) ? (dpra_is_over ? data_dp_over : data_dp) : `allXs); + + assign data_sp = ram_data[a_int]; + assign data_dp = ram_data[dpra_int]; + + assign a_is_over = (a_int > max_address ? 1'b1 : 1'b0); + assign dpra_is_over = (dpra_int > max_address ? 1'b1 : 1'b0); + + assign a_over = a_int & max_address; + assign dpra_over = dpra_int & max_address; + + assign data_sp_over = 'bx; + assign data_dp_over = 'bx; + + assign dpo = (C_HAS_DPO ? dpo_int : `allXs); + + always@(posedge clk or posedge qspo_rst) + begin + if (C_HAS_QSPO_RST && qspo_rst) + begin + qspo_pipe <= 'b0; + qspo_int <= 'b0; + end + else if (C_HAS_QSPO_SRST && qspo_srst) + begin + if (!C_HAS_QSPO_CE) + begin + qspo_pipe <= #`TCQ 'b0; + qspo_int <= #`TCQ 'b0; + end + else if (!C_SYNC_ENABLE) + begin + qspo_pipe <= #`TCQ 'b0; + qspo_int <= #`TCQ 'b0; + end + else if (C_HAS_QSPO_CE && qspo_ce_int) + begin + qspo_pipe <= #`TCQ 'b0; + qspo_int <= #`TCQ 'b0; + end + end // if (C_HAS_QSPO_SRST && QSPO_SRST) + + else if (C_HAS_QSPO_CE && qspo_ce_int) + begin + if (C_PIPELINE_STAGES == 1) + begin + qspo_int <= #`TCQ qspo_pipe; + end + else + begin + qspo_int <= #`TCQ spo_int; + end + qspo_pipe <= #`TCQ spo_int; + end + else if (!C_HAS_QSPO_CE) + begin + if (C_PIPELINE_STAGES == 1) + begin + qspo_int <= #`TCQ qspo_pipe; + end + else + begin + qspo_int <= #`TCQ spo_int; + end + qspo_pipe <= #`TCQ spo_int; + end // if (!C_HAS_QSPO_CE) + end // always@ (posedge CLK or QSPO_RST) + + assign qspo = (C_HAS_QSPO == 1 ? qspo_int : `allXs); + + always@(posedge qdpo_clk_int or posedge qdpo_rst) + begin + if (C_HAS_QDPO_RST && qdpo_rst) + begin + qdpo_pipe <= 'b0; + qdpo_int <= 'b0; + end + else if (C_HAS_QDPO_SRST && qdpo_srst) + begin + if (!C_SYNC_ENABLE) + begin + qdpo_pipe <= #`TCQ 'b0; + qdpo_int <= #`TCQ 'b0; + end + else if (!C_QCE_JOINED) + begin + if (!C_HAS_QDPO_CE) + begin + qdpo_pipe <= #`TCQ 'b0; + qdpo_int <= #`TCQ 'b0; + end + else if (C_HAS_QDPO_CE && qdpo_ce_int) + begin + qdpo_pipe <= #`TCQ 'b0; + qdpo_int <= #`TCQ 'b0; + end + end + else + begin + if (!C_HAS_QSPO_CE) + begin + qdpo_pipe <= #`TCQ 'b0; + qdpo_int <= #`TCQ 'b0; + end + else if (C_HAS_QSPO_CE && qspo_ce_int) + begin + qdpo_pipe <= #`TCQ 'b0; + qdpo_int <= #`TCQ 'b0; + end + end + end // if (C_HAS_QDPO_SRST && QDPO_SRST) + + else if (!C_QCE_JOINED) + begin + if (!C_HAS_QDPO_CE) + begin + qdpo_pipe <= #`TCQ dpo_int; + if (C_PIPELINE_STAGES == 1) + begin + qdpo_int <= #`TCQ qdpo_pipe; + end + else + begin + qdpo_int <= #`TCQ dpo_int; + end + end // if (!C_HAS_QDPO_CE) + else if (C_HAS_QDPO_CE && qdpo_ce_int) + begin + qdpo_pipe <= #`TCQ dpo_int; + if (C_PIPELINE_STAGES == 1) + begin + qdpo_int <= #`TCQ qdpo_pipe; + end + else + begin + qdpo_int <= #`TCQ dpo_int; + end + end // if (C_HAS_QDPO_CE && qdpo_ce_int) + end // if (!C_QCE_JOINED) + else if (C_QCE_JOINED) + begin + if (C_HAS_QSPO_CE && qspo_ce_int) + begin + qdpo_pipe <= #`TCQ dpo_int; + if (C_PIPELINE_STAGES == 1) + begin + qdpo_int <= #`TCQ qdpo_pipe; + end + else + begin + qdpo_int <= #`TCQ dpo_int; + end + end // if (C_HAS_QSPO_CE && qspo_ce_int) + else if (!C_HAS_QSPO_CE) + begin + qdpo_pipe <= #`TCQ dpo_int; + if (C_PIPELINE_STAGES == 1) + begin + qdpo_int <= #`TCQ qdpo_pipe; + end + else + begin + qdpo_int <= #`TCQ dpo_int; + end + end // if (!C_HAS_QSPO_CE) + end // if (C_QCE_JOINED) + end // always@ (posedge qdpo_clk_int or posedge QDPO_RST) + + assign qdpo = (C_HAS_QDPO == 1 ? qdpo_int : `allXs); + + function [C_WIDTH - 1 : 0] binstr_conv; + input [(C_WIDTH * 8) - 1 : 0] def_data; + integer index,i; + begin + index = 0; + binstr_conv = 'b0; + + for (i=C_WIDTH-1; i>=0; i=i-1) + begin + case (def_data[7:0]) + 8'b00000000 : i = -1; + 8'b00110000 : binstr_conv[index] = 1'b0; + 8'b00110001 : binstr_conv[index] = 1'b1; + default : + begin + $display("ERROR in %m at time %d ns: NOT A BINARY CHARACTER", $time); + binstr_conv[index] = 1'bx; + end + endcase // case(def_data[7:0]) + + index = index + 1; + def_data = def_data >> 8; + end // for (i=C_WIDTH-1; i>=0; i=i-1) + + end + endfunction // binstr_conv + +endmodule // dist_mem_gen_v8_0_13 + +`undef all0s +`undef allXs +`undef c_rom +`undef c_sp_ram +`undef c_dp_ram +`undef c_sdp_ram diff --git a/srcs/sources/bd/keyboard/ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v b/srcs/sources/bd/keyboard/ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v new file mode 100644 index 00000000..0a10ec34 --- /dev/null +++ b/srcs/sources/bd/keyboard/ipshared/11d0/hdl/xlslice_v1_0_vl_rfs.v @@ -0,0 +1,25 @@ +//------------------------------------------------------------------------ +//-- +//-- Filename : xlslice.v +//-- +//-- Date : 06/05/12 +//- +//- Description : Verilog description of a slice block. This +//- block does not use a core. +//- +//----------------------------------------------------------------------- + +`timescale 1ps/1ps +module xlslice_v1_0_2_xlslice (Din,Dout); + + parameter DIN_WIDTH = 32; + parameter DIN_FROM = 8; + parameter DIN_TO = 8; + + input [DIN_WIDTH -1:0] Din; + output [DIN_FROM - DIN_TO:0] Dout; + + assign Dout = Din [DIN_FROM: DIN_TO]; +endmodule + + diff --git a/srcs/sources/bd/keyboard/ipshared/3f90/hdl/util_vector_logic_v2_0_vl_rfs.v b/srcs/sources/bd/keyboard/ipshared/3f90/hdl/util_vector_logic_v2_0_vl_rfs.v new file mode 100644 index 00000000..9c42c12b --- /dev/null +++ b/srcs/sources/bd/keyboard/ipshared/3f90/hdl/util_vector_logic_v2_0_vl_rfs.v @@ -0,0 +1,80 @@ +`timescale 1ns / 1ps +/* +------------------------------------------------------------------------------- +-- $Id: util_vector_logic.v 2.0 2017/01/01 +------------------------------------------------------------------------------- +-- +-- *************************************************************************** +-- ** Copyright(C) 2017 by Xilinx, Inc. All rights reserved. ** +-- ** ** +-- ** This text contains proprietary, confidential ** +-- ** information of Xilinx, Inc. , is distributed by ** +-- ** under license from Xilinx, Inc., and may be used, ** +-- ** copied and/or disclosed only pursuant to the terms ** +-- ** of a valid license agreement with Xilinx, Inc. ** +-- ** ** +-- ** Unmodified source code is guaranteed to place and route, ** +-- ** function and run at speed according to the datasheet ** +-- ** specification. Source code is provided "as-is", with no ** +-- ** obligation on the part of Xilinx to provide support. ** +-- ** ** +-- ** Xilinx Hotline support of source code IP shall only include ** +-- ** standard level Xilinx Hotline support, and will only address ** +-- ** issues and questions related to the standard released Netlist ** +-- ** version of the core (and thus indirectly, the original core source). ** +-- ** ** +-- ** The Xilinx Support Hotline does not have access to source ** +-- ** code and therefore cannot answer specific questions related ** +-- ** to source HDL. The Xilinx Support Hotline will only be able ** +-- ** to confirm the problem in the Netlist version of the core. ** +-- ** ** +-- ** This copyright and support notice must be retained as part ** +-- ** of this text at all times. ** +-- *************************************************************************** +-- +------------------------------------------------------------------------------- +-- Filename: util_vector_logic.v +-- +-- Description: +-- +-- Verilog-Standard: +------------------------------------------------------------------------------- +*/ + + +module util_vector_logic_v2_0_1_util_vector_logic ( Op1, Op2, Res); + +parameter C_OPERATION = "and"; +parameter integer C_SIZE = 8; + +input [C_SIZE - 1:0] Op1; +input [C_SIZE - 1:0] Op2; +output [C_SIZE - 1:0] Res; + +//wire [C_SIZE - 1:0] Res; +//parameter C_Oper = C_OPERATION; + +generate if (C_OPERATION == "and") begin: GEN_AND_OP + assign Res = Op1 & Op2; +end +endgenerate + +generate if (C_OPERATION == "or") begin: GEN_OR_OP + assign Res = Op1 | Op2; +end +endgenerate + +generate if (C_OPERATION == "xor") begin: GEN_XOR_OP + assign Res = Op1 ^ Op2; +end +endgenerate + +generate if (C_OPERATION == "not") begin: GEN_NOT_OP + assign Res = ~Op1; +end +endgenerate + +endmodule // module util_vector_logic + + + diff --git a/srcs/sources/bd/keyboard/ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v b/srcs/sources/bd/keyboard/ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v new file mode 100644 index 00000000..f92a18ef --- /dev/null +++ b/srcs/sources/bd/keyboard/ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v @@ -0,0 +1,1041 @@ +//------------------------------------------------------------------------ +//-- +//-- Filename : xlconcat.v +//-- +//-- Date : 06/05/12 +//- +//- Description : Verilog description of a concat block. This +//- block does not use a core. +//- +//----------------------------------------------------------------------- + +`timescale 1ps/1ps + +module xlconcat_v2_1_4_xlconcat (In0, In1, In2, In3, In4, In5, In6, In7, In8, In9, In10, In11, In12, In13, In14, In15, In16, In17, In18, In19, In20, In21, In22, In23, In24, In25, In26, In27, In28, In29, In30, In31,In32, In33, In34, In35, In36, In37, In38, In39, In40, In41,In42, In43, In44, In45, In46, In47, In48, In49,In50, In51,In52, In53, In54, In55, In56, In57, In58, In59,In60, In61,In62, In63, In64, In65, In66, In67, In68, In69,In70, In71,In72, In73, In74, In75, In76, In77, In78, In79, In80, In81,In82, In83, In84, In85, In86, In87, In88, In89,In90, In91,In92, In93, In94, In95, In96, In97, In98, In99,In100, In101,In102, In103, In104, In105, In106, In107, In108, In109,In110, In111,In112, In113, In114, In115, In116, In117, In118, In119,In120, In121,In122, In123, In124, In125, In126, In127,dout); +parameter IN0_WIDTH = 1; +input [IN0_WIDTH -1:0] In0; +parameter IN1_WIDTH = 1; +input [IN1_WIDTH -1:0] In1; +parameter IN2_WIDTH = 1; +input [IN2_WIDTH -1:0] In2; +parameter IN3_WIDTH = 1; +input [IN3_WIDTH -1:0] In3; +parameter IN4_WIDTH = 1; +input [IN4_WIDTH -1:0] In4; +parameter IN5_WIDTH = 1; +input [IN5_WIDTH -1:0] In5; +parameter IN6_WIDTH = 1; +input [IN6_WIDTH -1:0] In6; +parameter IN7_WIDTH = 1; +input [IN7_WIDTH -1:0] In7; +parameter IN8_WIDTH = 1; +input [IN8_WIDTH -1:0] In8; +parameter IN9_WIDTH = 1; +input [IN9_WIDTH -1:0] In9; +parameter IN10_WIDTH = 1; +input [IN10_WIDTH -1:0] In10; +parameter IN11_WIDTH = 1; +input [IN11_WIDTH -1:0] In11; +parameter IN12_WIDTH = 1; +input [IN12_WIDTH -1:0] In12; +parameter IN13_WIDTH = 1; +input [IN13_WIDTH -1:0] In13; +parameter IN14_WIDTH = 1; +input [IN14_WIDTH -1:0] In14; +parameter IN15_WIDTH = 1; +input [IN15_WIDTH -1:0] In15; +parameter IN16_WIDTH = 1; +input [IN16_WIDTH -1:0] In16; +parameter IN17_WIDTH = 1; +input [IN17_WIDTH -1:0] In17; +parameter IN18_WIDTH = 1; +input [IN18_WIDTH -1:0] In18; +parameter IN19_WIDTH = 1; +input [IN19_WIDTH -1:0] In19; +parameter IN20_WIDTH = 1; +input [IN20_WIDTH -1:0] In20; +parameter IN21_WIDTH = 1; +input [IN21_WIDTH -1:0] In21; +parameter IN22_WIDTH = 1; +input [IN22_WIDTH -1:0] In22; +parameter IN23_WIDTH = 1; +input [IN23_WIDTH -1:0] In23; +parameter IN24_WIDTH = 1; +input [IN24_WIDTH -1:0] In24; +parameter IN25_WIDTH = 1; +input [IN25_WIDTH -1:0] In25; +parameter IN26_WIDTH = 1; +input [IN26_WIDTH -1:0] In26; +parameter IN27_WIDTH = 1; +input [IN27_WIDTH -1:0] In27; +parameter IN28_WIDTH = 1; +input [IN28_WIDTH -1:0] In28; +parameter IN29_WIDTH = 1; +input [IN29_WIDTH -1:0] In29; +parameter IN30_WIDTH = 1; +input [IN30_WIDTH -1:0] In30; +parameter IN31_WIDTH = 1; +input [IN31_WIDTH -1:0] In31; +parameter IN32_WIDTH = 1; +input [IN32_WIDTH -1:0] In32; +parameter IN33_WIDTH = 1; +input [IN33_WIDTH -1:0] In33; +parameter IN34_WIDTH = 1; +input [IN34_WIDTH -1:0] In34; +parameter IN35_WIDTH = 1; +input [IN35_WIDTH -1:0] In35; +parameter IN36_WIDTH = 1; +input [IN36_WIDTH -1:0] In36; +parameter IN37_WIDTH = 1; +input [IN37_WIDTH -1:0] In37; +parameter IN38_WIDTH = 1; +input [IN38_WIDTH -1:0] In38; +parameter IN39_WIDTH = 1; +input [IN39_WIDTH -1:0] In39; +parameter IN40_WIDTH = 1; +input [IN40_WIDTH -1:0] In40; +parameter IN41_WIDTH = 1; +input [IN41_WIDTH -1:0] In41; +parameter IN42_WIDTH = 1; +input [IN42_WIDTH -1:0] In42; +parameter IN43_WIDTH = 1; +input [IN43_WIDTH -1:0] In43; +parameter IN44_WIDTH = 1; +input [IN44_WIDTH -1:0] In44; +parameter IN45_WIDTH = 1; +input [IN45_WIDTH -1:0] In45; +parameter IN46_WIDTH = 1; +input [IN46_WIDTH -1:0] In46; +parameter IN47_WIDTH = 1; +input [IN47_WIDTH -1:0] In47; +parameter IN48_WIDTH = 1; +input [IN48_WIDTH -1:0] In48; +parameter IN49_WIDTH = 1; +input [IN49_WIDTH -1:0] In49; +parameter IN50_WIDTH = 1; +input [IN50_WIDTH -1:0] In50; +parameter IN51_WIDTH = 1; +input [IN51_WIDTH -1:0] In51; +parameter IN52_WIDTH = 1; +input [IN52_WIDTH -1:0] In52; +parameter IN53_WIDTH = 1; +input [IN53_WIDTH -1:0] In53; +parameter IN54_WIDTH = 1; +input [IN54_WIDTH -1:0] In54; +parameter IN55_WIDTH = 1; +input [IN55_WIDTH -1:0] In55; +parameter IN56_WIDTH = 1; +input [IN56_WIDTH -1:0] In56; +parameter IN57_WIDTH = 1; +input [IN57_WIDTH -1:0] In57; +parameter IN58_WIDTH = 1; +input [IN58_WIDTH -1:0] In58; +parameter IN59_WIDTH = 1; +input [IN59_WIDTH -1:0] In59; +parameter IN60_WIDTH = 1; +input [IN60_WIDTH -1:0] In60; +parameter IN61_WIDTH = 1; +input [IN61_WIDTH -1:0] In61; +parameter IN62_WIDTH = 1; +input [IN62_WIDTH -1:0] In62; +parameter IN63_WIDTH = 1; +input [IN63_WIDTH -1:0] In63; +parameter IN64_WIDTH = 1; +input [IN64_WIDTH -1:0] In64; +parameter IN65_WIDTH = 1; +input [IN65_WIDTH -1:0] In65; +parameter IN66_WIDTH = 1; +input [IN66_WIDTH -1:0] In66; +parameter IN67_WIDTH = 1; +input [IN67_WIDTH -1:0] In67; +parameter IN68_WIDTH = 1; +input [IN68_WIDTH -1:0] In68; +parameter IN69_WIDTH = 1; +input [IN69_WIDTH -1:0] In69; +parameter IN70_WIDTH = 1; +input [IN70_WIDTH -1:0] In70; +parameter IN71_WIDTH = 1; +input [IN71_WIDTH -1:0] In71; +parameter IN72_WIDTH = 1; +input [IN72_WIDTH -1:0] In72; +parameter IN73_WIDTH = 1; +input [IN73_WIDTH -1:0] In73; +parameter IN74_WIDTH = 1; +input [IN74_WIDTH -1:0] In74; +parameter IN75_WIDTH = 1; +input [IN75_WIDTH -1:0] In75; +parameter IN76_WIDTH = 1; +input [IN76_WIDTH -1:0] In76; +parameter IN77_WIDTH = 1; +input [IN77_WIDTH -1:0] In77; +parameter IN78_WIDTH = 1; +input [IN78_WIDTH -1:0] In78; +parameter IN79_WIDTH = 1; +input [IN79_WIDTH -1:0] In79; +parameter IN80_WIDTH = 1; +input [IN80_WIDTH -1:0] In80; +parameter IN81_WIDTH = 1; +input [IN81_WIDTH -1:0] In81; +parameter IN82_WIDTH = 1; +input [IN82_WIDTH -1:0] In82; +parameter IN83_WIDTH = 1; +input [IN83_WIDTH -1:0] In83; +parameter IN84_WIDTH = 1; +input [IN84_WIDTH -1:0] In84; +parameter IN85_WIDTH = 1; +input [IN85_WIDTH -1:0] In85; +parameter IN86_WIDTH = 1; +input [IN86_WIDTH -1:0] In86; +parameter IN87_WIDTH = 1; +input [IN87_WIDTH -1:0] In87; +parameter IN88_WIDTH = 1; +input [IN88_WIDTH -1:0] In88; +parameter IN89_WIDTH = 1; +input [IN89_WIDTH -1:0] In89; +parameter IN90_WIDTH = 1; +input [IN90_WIDTH -1:0] In90; +parameter IN91_WIDTH = 1; +input [IN91_WIDTH -1:0] In91; +parameter IN92_WIDTH = 1; +input [IN92_WIDTH -1:0] In92; +parameter IN93_WIDTH = 1; +input [IN93_WIDTH -1:0] In93; +parameter IN94_WIDTH = 1; +input [IN94_WIDTH -1:0] In94; +parameter IN95_WIDTH = 1; +input [IN95_WIDTH -1:0] In95; +parameter IN96_WIDTH = 1; +input [IN96_WIDTH -1:0] In96; +parameter IN97_WIDTH = 1; +input [IN97_WIDTH -1:0] In97; +parameter IN98_WIDTH = 1; +input [IN98_WIDTH -1:0] In98; +parameter IN99_WIDTH = 1; +input [IN99_WIDTH -1:0] In99; +parameter IN100_WIDTH = 1; +input [IN100_WIDTH -1:0] In100; +parameter IN101_WIDTH = 1; +input [IN101_WIDTH -1:0] In101; +parameter IN102_WIDTH = 1; +input [IN102_WIDTH -1:0] In102; +parameter IN103_WIDTH = 1; +input [IN103_WIDTH -1:0] In103; +parameter IN104_WIDTH = 1; +input [IN104_WIDTH -1:0] In104; +parameter IN105_WIDTH = 1; +input [IN105_WIDTH -1:0] In105; +parameter IN106_WIDTH = 1; +input [IN106_WIDTH -1:0] In106; +parameter IN107_WIDTH = 1; +input [IN107_WIDTH -1:0] In107; +parameter IN108_WIDTH = 1; +input [IN108_WIDTH -1:0] In108; +parameter IN109_WIDTH = 1; +input [IN109_WIDTH -1:0] In109; +parameter IN110_WIDTH = 1; +input [IN110_WIDTH -1:0] In110; +parameter IN111_WIDTH = 1; +input [IN111_WIDTH -1:0] In111; +parameter IN112_WIDTH = 1; +input [IN112_WIDTH -1:0] In112; +parameter IN113_WIDTH = 1; +input [IN113_WIDTH -1:0] In113; +parameter IN114_WIDTH = 1; +input [IN114_WIDTH -1:0] In114; +parameter IN115_WIDTH = 1; +input [IN115_WIDTH -1:0] In115; +parameter IN116_WIDTH = 1; +input [IN116_WIDTH -1:0] In116; +parameter IN117_WIDTH = 1; +input [IN117_WIDTH -1:0] In117; +parameter IN118_WIDTH = 1; +input [IN118_WIDTH -1:0] In118; +parameter IN119_WIDTH = 1; +input [IN119_WIDTH -1:0] In119; +parameter IN120_WIDTH = 1; +input [IN120_WIDTH -1:0] In120; +parameter IN121_WIDTH = 1; +input [IN121_WIDTH -1:0] In121; +parameter IN122_WIDTH = 1; +input [IN122_WIDTH -1:0] In122; +parameter IN123_WIDTH = 1; +input [IN123_WIDTH -1:0] In123; +parameter IN124_WIDTH = 1; +input [IN124_WIDTH -1:0] In124; +parameter IN125_WIDTH = 1; +input [IN125_WIDTH -1:0] In125; +parameter IN126_WIDTH = 1; +input [IN126_WIDTH -1:0] In126; +parameter IN127_WIDTH = 1; +input [IN127_WIDTH -1:0] In127; +parameter dout_width = 2; +output [dout_width-1:0] dout; +parameter NUM_PORTS =2; + + +generate if (NUM_PORTS == 1) +begin : C_NUM_1 + assign dout = In0; +end +endgenerate + +generate if (NUM_PORTS == 2) +begin : C_NUM_2 + assign dout = {In1,In0}; +end +endgenerate + +generate if (NUM_PORTS == 3) +begin:C_NUM_3 + assign dout = {In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 4) +begin:C_NUM_4 + assign dout = {In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 5) +begin:C_NUM_5 + assign dout = {In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 6) +begin:C_NUM_6 + assign dout = {In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 7) +begin:C_NUM_7 + assign dout = {In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 8) +begin:C_NUM_8 + assign dout = {In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 9) +begin:C_NUM_9 + assign dout = {In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 10) +begin:C_NUM_10 + assign dout = {In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 11) +begin:C_NUM_11 + assign dout = {In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 12) +begin:C_NUM_12 + assign dout = {In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 13) +begin:C_NUM_13 + assign dout = {In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 14) +begin:C_NUM_14 + assign dout = {In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 15) +begin:C_NUM_15 + assign dout = {In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 16) +begin:C_NUM_16 + assign dout = {In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 17) +begin:C_NUM_17 + assign dout = {In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 18) +begin:C_NUM_18 + assign dout = {In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 19) +begin:C_NUM_19 + assign dout = {In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 20) +begin:C_NUM_20 + assign dout = {In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 21) +begin:C_NUM_21 + assign dout = {In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 22) +begin:C_NUM_22 + assign dout = {In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 23) +begin:C_NUM_23 + assign dout = {In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 24) +begin:C_NUM_24 + assign dout = {In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 25) +begin:C_NUM_25 + assign dout = {In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 26) +begin:C_NUM_26 + assign dout = {In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 27) +begin:C_NUM_27 + assign dout = {In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 28) +begin:C_NUM_28 + assign dout = {In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 29) +begin:C_NUM_29 + assign dout = {In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 30) +begin:C_NUM_30 + assign dout = {In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 31) +begin:C_NUM_31 + assign dout = {In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 32) +begin:C_NUM_32 + assign dout = {In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 33) +begin:C_NUM_33 + assign dout = {In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 34) +begin:C_NUM_34 + assign dout = {In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 35) +begin:C_NUM_35 + assign dout = {In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 36) +begin:C_NUM_36 + assign dout = {In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 37) +begin:C_NUM_37 + assign dout = {In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 38) +begin:C_NUM_38 + assign dout = {In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 39) +begin:C_NUM_39 + assign dout = {In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 40) +begin:C_NUM_40 + assign dout = {In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 41) +begin:C_NUM_41 + assign dout = {In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 42) +begin:C_NUM_42 + assign dout = {In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 43) +begin:C_NUM_43 + assign dout = {In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 44) +begin:C_NUM_44 + assign dout = {In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 45) +begin:C_NUM_45 + assign dout = {In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 46) +begin:C_NUM_46 + assign dout = {In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 47) +begin:C_NUM_47 + assign dout = {In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 48) +begin:C_NUM_48 + assign dout = {In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 49) +begin:C_NUM_49 + assign dout = {In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 50) +begin:C_NUM_50 + assign dout = {In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 51) +begin:C_NUM_51 + assign dout = {In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 52) +begin:C_NUM_52 + assign dout = {In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 53) +begin:C_NUM_53 + assign dout = {In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 54) +begin:C_NUM_54 + assign dout = {In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 55) +begin:C_NUM_55 + assign dout = {In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 56) +begin:C_NUM_56 + assign dout = {In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 57) +begin:C_NUM_57 + assign dout = {In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 58) +begin:C_NUM_58 + assign dout = {In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 59) +begin:C_NUM_59 + assign dout = {In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 60) +begin:C_NUM_60 + assign dout = {In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 61) +begin:C_NUM_61 + assign dout = {In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 62) +begin:C_NUM_62 + assign dout = {In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 63) +begin:C_NUM_63 + assign dout = {In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 64) +begin:C_NUM_64 + assign dout = {In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 65) +begin:C_NUM_65 + assign dout = {In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 66) +begin:C_NUM_66 + assign dout = {In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 67) +begin:C_NUM_67 + assign dout = {In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 68) +begin:C_NUM_68 + assign dout = {In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 69) +begin:C_NUM_69 + assign dout = {In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 70) +begin:C_NUM_70 + assign dout = {In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 71) +begin:C_NUM_71 + assign dout = {In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 72) +begin:C_NUM_72 + assign dout = {In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 73) +begin:C_NUM_73 + assign dout = {In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 74) +begin:C_NUM_74 + assign dout = {In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 75) +begin:C_NUM_75 + assign dout = {In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 76) +begin:C_NUM_76 + assign dout = {In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 77) +begin:C_NUM_77 + assign dout = {In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 78) +begin:C_NUM_78 + assign dout = {In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 79) +begin:C_NUM_79 + assign dout = {In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 80) +begin:C_NUM_80 + assign dout = {In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 81) +begin:C_NUM_81 + assign dout = {In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 82) +begin:C_NUM_82 + assign dout = {In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 83) +begin:C_NUM_83 + assign dout = {In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 84) +begin:C_NUM_84 + assign dout = {In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 85) +begin:C_NUM_85 + assign dout = {In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 86) +begin:C_NUM_86 + assign dout = {In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 87) +begin:C_NUM_87 + assign dout = {In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 88) +begin:C_NUM_88 + assign dout = {In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 89) +begin:C_NUM_89 + assign dout = {In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 90) +begin:C_NUM_90 + assign dout = {In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 91) +begin:C_NUM_91 + assign dout = {In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 92) +begin:C_NUM_92 + assign dout = {In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 93) +begin:C_NUM_93 + assign dout = {In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 94) +begin:C_NUM_94 + assign dout = {In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 95) +begin:C_NUM_95 + assign dout = {In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 96) +begin:C_NUM_96 + assign dout = {In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 97) +begin:C_NUM_97 + assign dout = {In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 98) +begin:C_NUM_98 + assign dout = {In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 99) +begin:C_NUM_99 + assign dout = {In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 100) +begin:C_NUM_100 + assign dout = {In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 101) +begin:C_NUM_101 + assign dout = {In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 102) +begin:C_NUM_102 + assign dout = {In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 103) +begin:C_NUM_103 + assign dout = {In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 104) +begin:C_NUM_104 + assign dout = {In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 105) +begin:C_NUM_105 + assign dout = {In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 106) +begin:C_NUM_106 + assign dout = {In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 107) +begin:C_NUM_107 + assign dout = {In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 108) +begin:C_NUM_108 + assign dout = {In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 109) +begin:C_NUM_109 + assign dout = {In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 110) +begin:C_NUM_110 + assign dout = {In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 111) +begin:C_NUM_111 + assign dout = {In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 112) +begin:C_NUM_112 + assign dout = {In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 113) +begin:C_NUM_113 + assign dout = {In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 114) +begin:C_NUM_114 + assign dout = {In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 115) +begin:C_NUM_115 + assign dout = {In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 116) +begin:C_NUM_116 + assign dout = {In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 117) +begin:C_NUM_117 + assign dout = {In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 118) +begin:C_NUM_118 + assign dout = {In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 119) +begin:C_NUM_119 + assign dout = {In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 120) +begin:C_NUM_120 + assign dout = {In119,In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 121) +begin:C_NUM_121 + assign dout = {In120,In119,In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 122) +begin:C_NUM_122 + assign dout = {In121,In120,In119,In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 123) +begin:C_NUM_123 + assign dout = {In122,In121,In120,In119,In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 124) +begin:C_NUM_124 + assign dout = {In123,In122,In121,In120,In119,In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 125) +begin:C_NUM_125 + assign dout = {In124,In123,In122,In121,In120,In119,In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 126) +begin:C_NUM_126 + assign dout = {In125,In124,In123,In122,In121,In120,In119,In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +generate if (NUM_PORTS == 127) +begin:C_NUM_127 + assign dout = {In126,In125,In124,In123,In122,In121,In120,In119,In118,In117,In116,In115,In114,In113,In112,In111,In110,In109,In108,In107,In106,In105,In104,In103,In102,In101,In100,In99,In98,In97,In96,In95,In94,In93,In92,In91,In90,In89,In88,In87,In86,In85,In84,In83,In82,In81,In80,In79,In78,In77,In76,In75,In74,In73,In72,In71,In70,In69,In68,In67,In66,In65,In64,In63,In62,In61,In60,In59,In58,In57,In56,In55,In54,In53,In52,In51,In50,In49,In48,In47,In46,In45,In44,In43,In42,In41,In40,In39,In38,In37,In36,In35,In34,In33,In32,In31, In30, In29, In28, In27, In26, In25, In24, In23, In22, In21, In20, In19, In18, In17, In16, In15, In14, In13, In12, In11, In10, In9, In8, In7, In6, In5, In4, In3, In2, In1, In0}; +end +endgenerate + +endmodule + + + diff --git a/srcs/sources/bd/keyboard/keyboard.bd b/srcs/sources/bd/keyboard/keyboard.bd index 18f2eaa4..83dec6cf 100644 --- a/srcs/sources/bd/keyboard/keyboard.bd +++ b/srcs/sources/bd/keyboard/keyboard.bd @@ -1,7 +1,7 @@ { "design": { "design_info": { - "boundary_crc": "0x32C1F24ECFAEB379", + "boundary_crc": "0xC19D169CD58E9434", "device": "xc7a100tcsg324-1", "name": "keyboard", "rev_ctrl_bd_flag": "RevCtrlBdOff", @@ -17,7 +17,14 @@ "ps2_keyb_0": "", "special_keys_0": "", "xlconstant_high": "", - "xlconstant_selftest_ok": "" + "xlconstant_selftest_ok": "", + "xlslice_0": "", + "xlslice_1": "", + "util_vector_logic_0": "", + "udk_map_0": "", + "xlslice_2": "", + "xlconcat_0": "", + "membrane_stick_0": "" }, "ports": { "cancel": { @@ -145,6 +152,32 @@ "direction": "O", "left": "10", "right": "1" + }, + "joymap_we": { + "direction": "I" + }, + "joy_io_mode_en": { + "direction": "I" + }, + "joy_left_type": { + "direction": "I", + "left": "2", + "right": "0" + }, + "joy_right_type": { + "direction": "I", + "left": "2", + "right": "0" + }, + "joy_right": { + "direction": "I", + "left": "10", + "right": "0" + }, + "joy_left": { + "direction": "I", + "left": "10", + "right": "0" } }, "components": { @@ -439,7 +472,17 @@ "i_membrane_cols": { "direction": "I", "left": "6", - "right": "0" + "right": "0", + "parameters": { + "PortType": { + "value": "undef", + "value_src": "ip_prop" + }, + "PortType.PROP_SRC": { + "value": "false", + "value_src": "ip_prop" + } + } }, "i_cancel_extended_entries": { "direction": "I" @@ -556,7 +599,17 @@ "o_membrane_col": { "direction": "O", "left": "6", - "right": "0" + "right": "0", + "parameters": { + "PortType": { + "value": "undef", + "value_src": "ip_prop" + }, + "PortType.PROP_SRC": { + "value": "false", + "value_src": "ip_prop" + } + } }, "o_mf_nmi_n": { "direction": "O" @@ -640,6 +693,269 @@ "value": "8" } } + }, + "xlslice_0": { + "vlnv": "xilinx.com:ip:xlslice:1.0", + "xci_name": "keyboard_xlslice_0_0", + "xci_path": "ip\\keyboard_xlslice_0_0\\keyboard_xlslice_0_0.xci", + "inst_hier_path": "xlslice_0", + "parameters": { + "DIN_FROM": { + "value": "3" + }, + "DIN_WIDTH": { + "value": "9" + }, + "DOUT_WIDTH": { + "value": "4" + } + } + }, + "xlslice_1": { + "vlnv": "xilinx.com:ip:xlslice:1.0", + "xci_name": "keyboard_xlslice_1_0", + "xci_path": "ip\\keyboard_xlslice_1_0\\keyboard_xlslice_1_0.xci", + "inst_hier_path": "xlslice_1", + "parameters": { + "DIN_FROM": { + "value": "4" + }, + "DIN_TO": { + "value": "4" + }, + "DIN_WIDTH": { + "value": "9" + }, + "DOUT_WIDTH": { + "value": "1" + } + } + }, + "util_vector_logic_0": { + "vlnv": "xilinx.com:ip:util_vector_logic:2.0", + "xci_name": "keyboard_util_vector_logic_0_0", + "xci_path": "ip\\keyboard_util_vector_logic_0_0\\keyboard_util_vector_logic_0_0.xci", + "inst_hier_path": "util_vector_logic_0", + "parameters": { + "C_SIZE": { + "value": "7" + } + } + }, + "udk_map_0": { + "vlnv": "xilinx.com:ip:dist_mem_gen:8.0", + "xci_name": "keyboard_dist_mem_gen_0_0", + "xci_path": "ip\\keyboard_dist_mem_gen_0_0\\keyboard_dist_mem_gen_0_0.xci", + "inst_hier_path": "udk_map_0", + "parameters": { + "coefficient_file": { + "value": "../../../../imports/ZXNext/ram/init/keyjoy_64_6.coe" + }, + "data_width": { + "value": "6" + }, + "default_data_radix": { + "value": "2" + }, + "memory_type": { + "value": "simple_dual_port_ram" + } + } + }, + "xlslice_2": { + "vlnv": "xilinx.com:ip:xlslice:1.0", + "xci_name": "keyboard_xlslice_1_1", + "xci_path": "ip\\keyboard_xlslice_1_1\\keyboard_xlslice_1_1.xci", + "inst_hier_path": "xlslice_2", + "parameters": { + "DIN_FROM": { + "value": "5" + }, + "DIN_WIDTH": { + "value": "8" + }, + "DOUT_WIDTH": { + "value": "6" + } + } + }, + "xlconcat_0": { + "vlnv": "xilinx.com:ip:xlconcat:2.1", + "xci_name": "keyboard_xlconcat_0_0", + "xci_path": "ip\\keyboard_xlconcat_0_0\\keyboard_xlconcat_0_0.xci", + "inst_hier_path": "xlconcat_0", + "parameters": { + "NUM_PORTS": { + "value": "3" + } + } + }, + "membrane_stick_0": { + "vlnv": "xilinx.com:module_ref:membrane_stick:1.0", + "xci_name": "keyboard_membrane_stick_0_0", + "xci_path": "ip\\keyboard_membrane_stick_0_0\\keyboard_membrane_stick_0_0.xci", + "inst_hier_path": "membrane_stick_0", + "reference_info": { + "ref_type": "hdl", + "ref_name": "membrane_stick", + "boundary_crc": "0x0" + }, + "interface_ports": { + "i_joy": { + "mode": "Slave", + "vlnv_bus_definition": "specnext.com:specnext:audio:1.0", + "vlnv": "specnext.com:specnext:audio_rtl:1.0", + "port_maps": { + "left": { + "physical_name": "i_joy_left", + "direction": "I", + "left": "10", + "right": "0" + }, + "right": { + "physical_name": "i_joy_right", + "direction": "I", + "left": "10", + "right": "0" + } + } + }, + "i_joy_type": { + "mode": "Slave", + "vlnv_bus_definition": "specnext.com:specnext:audio:1.0", + "vlnv": "specnext.com:specnext:audio_rtl:1.0", + "port_maps": { + "left": { + "physical_name": "i_joy_left_type", + "direction": "I", + "left": "2", + "right": "0" + }, + "right": { + "physical_name": "i_joy_right_type", + "direction": "I", + "left": "2", + "right": "0" + } + } + }, + "i": { + "mode": "Slave", + "vlnv_bus_definition": "specnext.com:specnext:joystick:1.0", + "vlnv": "specnext.com:specnext:joystick_rtl:1.0", + "port_maps": { + "joy_left": { + "physical_name": "i_joy_left", + "direction": "I", + "left": "10", + "right": "0" + }, + "joy_right": { + "physical_name": "i_joy_right", + "direction": "I", + "left": "10", + "right": "0" + }, + "joy_left_type": { + "physical_name": "i_joy_left_type", + "direction": "I", + "left": "2", + "right": "0" + }, + "joy_right_type": { + "physical_name": "i_joy_right_type", + "direction": "I", + "left": "2", + "right": "0" + } + } + }, + "i_type": { + "mode": "Slave", + "vlnv_bus_definition": "specnext.com:specnext:joystick:1.0", + "vlnv": "specnext.com:specnext:joystick_rtl:1.0", + "port_maps": { + "joy_left": { + "physical_name": "i_joy_left_type", + "direction": "I", + "left": "2", + "right": "0" + }, + "joy_right": { + "physical_name": "i_joy_right_type", + "direction": "I", + "left": "2", + "right": "0" + } + } + } + }, + "ports": { + "i_CLK": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_RESET": { + "value": "i_reset", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "28000000", + "value_src": "user_prop" + }, + "CLK_DOMAIN": { + "value": "keyboard_clk_peripheral", + "value_src": "default_prop" + } + } + }, + "i_CLK_EN": { + "direction": "I" + }, + "i_reset": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_HIGH", + "value_src": "user_prop" + } + } + }, + "i_joy_en_n": { + "direction": "I" + }, + "i_membrane_row": { + "direction": "I", + "left": "2", + "right": "0" + }, + "o_membrane_col": { + "direction": "O", + "left": "6", + "right": "0", + "parameters": { + "PortType": { + "value": "undef", + "value_src": "ip_prop" + }, + "PortType.PROP_SRC": { + "value": "false", + "value_src": "ip_prop" + } + } + }, + "joy_keymap_addr": { + "direction": "O", + "left": "5", + "right": "0" + }, + "joy_keymap_do": { + "direction": "I", + "left": "5", + "right": "0" + } + } } }, "nets": { @@ -692,7 +1008,9 @@ "emu_fnkeys_0/i_CLK", "keyb_clocks_0/clk_peripheral", "membrane_0/i_CLK", - "ps2_keyb_0/i_CLK" + "ps2_keyb_0/i_CLK", + "udk_map_0/clk", + "membrane_stick_0/i_CLK" ] }, "clk_peripheral_n_1": { @@ -701,6 +1019,12 @@ "ps2_keyb_0/i_CLK_n" ] }, + "dist_mem_gen_0_dpo": { + "ports": [ + "udk_map_0/dpo", + "membrane_stick_0/joy_keymap_do" + ] + }, "emu_fnkeys_0_o_cols_filtered": { "ports": [ "emu_fnkeys_0/o_cols_filtered", @@ -719,6 +1043,42 @@ "membrane_0/i_rows" ] }, + "joy_io_mode_en_1": { + "ports": [ + "joy_io_mode_en", + "membrane_stick_0/i_joy_en_n" + ] + }, + "joy_left_1": { + "ports": [ + "joy_left", + "membrane_stick_0/i_joy_left" + ] + }, + "joy_left_type_1": { + "ports": [ + "joy_left_type", + "membrane_stick_0/i_joy_left_type" + ] + }, + "joy_right_1": { + "ports": [ + "joy_right", + "membrane_stick_0/i_joy_right" + ] + }, + "joy_right_type_1": { + "ports": [ + "joy_right_type", + "membrane_stick_0/i_joy_right_type" + ] + }, + "joymap_we_1": { + "ports": [ + "joymap_we", + "udk_map_0/we" + ] + }, "keyb_clocks_0_clk_ps2": { "ports": [ "keyb_clocks_0/clk_ps2", @@ -734,19 +1094,23 @@ "keyb_clocks_0_membrane_enable": { "ports": [ "keyb_clocks_0/membrane_enable", - "membrane_0/i_CLK_EN" + "membrane_0/i_CLK_EN", + "membrane_stick_0/i_CLK_EN" ] }, "keymap_addr_1": { "ports": [ "keymap_addr", - "ps2_keyb_0/i_keymap_addr" + "ps2_keyb_0/i_keymap_addr", + "xlslice_0/Din", + "xlslice_1/Din" ] }, "keymap_data_1": { "ports": [ "keymap_data", - "ps2_keyb_0/i_keymap_data" + "ps2_keyb_0/i_keymap_data", + "xlslice_2/Din" ] }, "keymap_we_1": { @@ -770,7 +1134,20 @@ "membrane_0_o_membrane_ridx": { "ports": [ "membrane_0/o_membrane_ridx", - "ps2_keyb_0/i_membrane_row" + "ps2_keyb_0/i_membrane_row", + "membrane_stick_0/i_membrane_row" + ] + }, + "membrane_stick_0_joy_keymap_addr": { + "ports": [ + "membrane_stick_0/joy_keymap_addr", + "udk_map_0/dpra" + ] + }, + "membrane_stick_0_o_membrane_col": { + "ports": [ + "membrane_stick_0/o_membrane_col", + "util_vector_logic_0/Op1" ] }, "ps2_clk_i_1": { @@ -794,7 +1171,7 @@ "ps2_keyb_0_o_membrane_col": { "ports": [ "ps2_keyb_0/o_membrane_col", - "membrane_0/i_membrane_cols" + "util_vector_logic_0/Op2" ] }, "ps2_keyb_0_o_mf_nmi_n": { @@ -822,7 +1199,8 @@ "emu_fnkeys_0/i_reset", "keyb_clocks_0/reset", "membrane_0/i_reset", - "ps2_keyb_0/i_reset" + "ps2_keyb_0/i_reset", + "membrane_stick_0/i_reset" ] }, "row_1": { @@ -843,6 +1221,18 @@ "spkey_function" ] }, + "util_vector_logic_0_Res": { + "ports": [ + "util_vector_logic_0/Res", + "membrane_0/i_membrane_cols" + ] + }, + "xlconcat_0_dout": { + "ports": [ + "xlconcat_0/dout", + "udk_map_0/a" + ] + }, "xlconstant_high1_dout": { "ports": [ "xlconstant_selftest_ok/dout", @@ -853,7 +1243,26 @@ "ports": [ "xlconstant_high/dout", "emu_fnkeys_0/i_button_m1_n", - "emu_fnkeys_0/i_button_reset_n" + "emu_fnkeys_0/i_button_reset_n", + "xlconcat_0/In1" + ] + }, + "xlslice_0_Dout": { + "ports": [ + "xlslice_0/Dout", + "xlconcat_0/In0" + ] + }, + "xlslice_1_Dout": { + "ports": [ + "xlslice_1/Dout", + "xlconcat_0/In2" + ] + }, + "xlslice_2_Dout": { + "ports": [ + "xlslice_2/Dout", + "udk_map_0/d" ] } } diff --git a/srcs/sources/bd/keyboard/keyboard.bxml b/srcs/sources/bd/keyboard/keyboard.bxml index 08338a08..1c3fc543 100644 --- a/srcs/sources/bd/keyboard/keyboard.bxml +++ b/srcs/sources/bd/keyboard/keyboard.bxml @@ -2,10 +2,10 @@ Composite Fileset - - - - + + + + diff --git a/srcs/sources/bd/keyboard/sim/keyboard.v b/srcs/sources/bd/keyboard/sim/keyboard.v index 58479950..eda967fd 100644 --- a/srcs/sources/bd/keyboard/sim/keyboard.v +++ b/srcs/sources/bd/keyboard/sim/keyboard.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 14:11:29 2021 +//Date : Tue Dec 28 18:55:34 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target keyboard.bd //Design : keyboard @@ -9,13 +9,19 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CORE_GENERATION_INFO = "keyboard,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=keyboard,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=8,numReposBlks=8,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=6,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "keyboard.hwdef" *) +(* CORE_GENERATION_INFO = "keyboard,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=keyboard,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=15,numReposBlks=15,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=7,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "keyboard.hwdef" *) module keyboard (cancel, clk_peripheral, clk_peripheral_n, column, extended_keys, + joy_io_mode_en, + joy_left, + joy_left_type, + joy_right, + joy_right_type, + joymap_we, keymap_addr, keymap_data, keymap_we, @@ -34,6 +40,12 @@ module keyboard (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_PERIPHERAL_N CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_PERIPHERAL_N, CLK_DOMAIN keyboard_clk_peripheral_n, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_peripheral_n; output [4:0]column; output [15:0]extended_keys; + input joy_io_mode_en; + input [10:0]joy_left; + input [2:0]joy_left_type; + input [10:0]joy_right; + input [2:0]joy_right_type; + input joymap_we; input [8:0]keymap_addr; input [7:0]keymap_data; input keymap_we; @@ -57,9 +69,16 @@ module keyboard wire cancel_1; wire clk_peripheral_1; wire clk_peripheral_n_1; + wire [5:0]dist_mem_gen_0_dpo; wire [4:0]emu_fnkeys_0_o_cols_filtered; wire [10:1]emu_fnkeys_0_o_fnkeys; wire [7:0]emu_fnkeys_0_o_rows_filtered; + wire joy_io_mode_en_1; + wire [10:0]joy_left_1; + wire [2:0]joy_left_type_1; + wire [10:0]joy_right_1; + wire [2:0]joy_right_type_1; + wire joymap_we_1; wire keyb_clocks_0_clk_ps2; wire keyb_clocks_0_fnkeys_enable; wire keyb_clocks_0_membrane_enable; @@ -69,6 +88,8 @@ module keyboard wire [4:0]membrane_0_o_cols; wire [15:0]membrane_0_o_extended_keys; wire [2:0]membrane_0_o_membrane_ridx; + wire [5:0]membrane_stick_0_joy_keymap_addr; + wire [6:0]membrane_stick_0_o_membrane_col; wire ps2_clk_i_1; wire ps2_data_i_1; wire ps2_keyb_0_o_divmmc_nmi_n; @@ -80,14 +101,25 @@ module keyboard wire [7:0]row_1; wire [1:0]special_keys_0_spkey_buttons; wire [10:1]special_keys_0_spkey_function; + wire [6:0]util_vector_logic_0_Res; + wire [5:0]xlconcat_0_dout; wire [7:0]xlconstant_high1_dout; wire [0:0]xlconstant_high_dout; + wire [3:0]xlslice_0_Dout; + wire [0:0]xlslice_1_Dout; + wire [5:0]xlslice_2_Dout; assign cancel_1 = cancel; assign clk_peripheral_1 = clk_peripheral; assign clk_peripheral_n_1 = clk_peripheral_n; assign column[4:0] = emu_fnkeys_0_o_cols_filtered; assign extended_keys[15:0] = membrane_0_o_extended_keys; + assign joy_io_mode_en_1 = joy_io_mode_en; + assign joy_left_1 = joy_left[10:0]; + assign joy_left_type_1 = joy_left_type[2:0]; + assign joy_right_1 = joy_right[10:0]; + assign joy_right_type_1 = joy_right_type[2:0]; + assign joymap_we_1 = joymap_we; assign keymap_addr_1 = keymap_addr[8:0]; assign keymap_data_1 = keymap_data[7:0]; assign keymap_we_1 = keymap_we; @@ -135,12 +167,25 @@ module keyboard (.i_CLK(clk_peripheral_1), .i_CLK_EN(keyb_clocks_0_membrane_enable), .i_cancel_extended_entries(cancel_1), - .i_membrane_cols(ps2_keyb_0_o_membrane_col), + .i_membrane_cols(util_vector_logic_0_Res), .i_reset(reset_1), .i_rows(emu_fnkeys_0_o_rows_filtered), .o_cols(membrane_0_o_cols), .o_extended_keys(membrane_0_o_extended_keys), .o_membrane_ridx(membrane_0_o_membrane_ridx)); + keyboard_membrane_stick_0_0 membrane_stick_0 + (.i_CLK(clk_peripheral_1), + .i_CLK_EN(keyb_clocks_0_membrane_enable), + .i_joy_en_n(joy_io_mode_en_1), + .i_joy_left(joy_left_1), + .i_joy_left_type(joy_left_type_1), + .i_joy_right(joy_right_1), + .i_joy_right_type(joy_right_type_1), + .i_membrane_row(membrane_0_o_membrane_ridx), + .i_reset(reset_1), + .joy_keymap_addr(membrane_stick_0_joy_keymap_addr), + .joy_keymap_do(dist_mem_gen_0_dpo), + .o_membrane_col(membrane_stick_0_o_membrane_col)); keyboard_ps2_keyb_0_0 ps2_keyb_0 (.i_CLK(clk_peripheral_1), .i_CLK_PS2(keyb_clocks_0_clk_ps2), @@ -164,8 +209,33 @@ module keyboard .ps2_mmc_n(ps2_keyb_0_o_divmmc_nmi_n), .spkey_buttons(special_keys_0_spkey_buttons), .spkey_function(special_keys_0_spkey_function)); + keyboard_dist_mem_gen_0_0 udk_map_0 + (.a(xlconcat_0_dout), + .clk(clk_peripheral_1), + .d(xlslice_2_Dout), + .dpo(dist_mem_gen_0_dpo), + .dpra(membrane_stick_0_joy_keymap_addr), + .we(joymap_we_1)); + keyboard_util_vector_logic_0_0 util_vector_logic_0 + (.Op1(membrane_stick_0_o_membrane_col), + .Op2(ps2_keyb_0_o_membrane_col), + .Res(util_vector_logic_0_Res)); + keyboard_xlconcat_0_0 xlconcat_0 + (.In0(xlslice_0_Dout), + .In1(xlconstant_high_dout), + .In2(xlslice_1_Dout), + .dout(xlconcat_0_dout)); keyboard_xlconstant_high_0 xlconstant_high (.dout(xlconstant_high_dout)); keyboard_xlconstant_selftest_ok_0 xlconstant_selftest_ok (.dout(xlconstant_high1_dout)); + keyboard_xlslice_0_0 xlslice_0 + (.Din(keymap_addr_1), + .Dout(xlslice_0_Dout)); + keyboard_xlslice_1_0 xlslice_1 + (.Din(keymap_addr_1), + .Dout(xlslice_1_Dout)); + keyboard_xlslice_1_1 xlslice_2 + (.Din(keymap_data_1), + .Dout(xlslice_2_Dout)); endmodule diff --git a/srcs/sources/bd/keyboard/synth/keyboard.hwdef b/srcs/sources/bd/keyboard/synth/keyboard.hwdef index 0a19e41f6bf510c1df5a1faecc467e692509b842..0f03402e39eda036d8a5a1ae4da4e16add0d43ce 100644 GIT binary patch delta 6180 zcmaKwWmME%zlMhvDM6(}x`qx30TEHU1!O?Fhb{*g{~#$1LpKZ^!YC~zA)tf|-65UQ zFx26BpZ9z@AI{#tweNMWYpuOM?zOH7)*%TJEma)cM<5XB9_TPR6Gh^PMS7RIerb`h zd{iKiV=E#QixV(`_|P^cf7mzuzHB~k!R7BWGZzWcNHxpFD%Qj(Mn0b^CB&z7UB0S= zrRInN=5+<=q^8}3-poOj!MEG=RE2y_a0uj*W9;_k?AG}9=CG#3pYZ97U2StI{_7J5 zcH=?)BfE?Dx-B`gtxYBRb>BAKWlbuQm(;x)%k)3o?gA;>8kckDo$t|&<(|_(>weUa zIr*GA7d>9Yo{8-<`*{+VGQ@f{n$$Mwha!7JgOp5*lx(@^R&=frYyrLiC9_h%Jea;Y z{Uwm}Q;c(VcY%~=Kzn;v-K%LzQDA-S=eE4ZXlv;pvd4~`-)Gp}TNEN=!q=JNGGj1u zWFX(t3Vbu_oH^nBq5SQrb!KZ;Z>ab<#i4MaIjBuGY(e&OQ3kA57+NK70LhbXtNn4Y5PFBq! z=gZL}S@^743Nu#qhJ*G#4^(oYVl*!f$sYeL!lbDZqnF~og zex~B3kfM*JU+6y;sQuNA2KTXKcad@}Zbt5qWJ2{(A4w2@;ii8pce`cJ=#ikv7dIM4 zM1fv0x1`z#Tp)6n1ubSHjb?jR^%y$lA1P$?*p$j$-2779#zS^aU3G}VaR(+&0}OP0 zCG?KjZl)eN5K|i?>%+z)d8P@{O58z$)}plJ3okA763NGMiCH^eI+{s1$59gJLqZ?_ zUgF?2+b-IGe)VB#Vo2=hV&lM79R>&fWaKrwUN%gl4@gp`(JO$fuZHmJWCnNhT}2pX zkan8^K*(}77g&k%+JXGG$Y*bePpBiTXBHHuKTb#P;Xcdbkg(a8 zUg1l1cV}>_%QW~b3}zqTK7S}RowmZ|G^Fw6lBFXoVI~zuQFYoRO139UFv%HtE7ceGbmPB}q$@`emiJMnV($4VxvjiA%Nu1&elq9d=4JESlx8drM_{X5aybTAt2uJp;dp zAPTaSRTdD4i0XwaNdvJC;1K!O{I&POxY_(VJ~oBKn}KVQGP{(A9k|X>T4alK!TZ$!W3voL)`uf6GR96>yt#Vc0U;}jW1mI)S*;_0ISakr)>hj3 zGScp%!<{AfO%4?^H9%(II{_A8A-5+pI`hf82|gT3z>S-*i+Q4qeUxv@ywYiis+De8 zBfyqSx)k!9+nMVO2X6oDuaE6b_{!wo1_GiU?K#}q!Q2`gV@kO%xu5i9GK>hG+=K^c z<>fUq&MJHi5j<5vY%VOc>}l+L%0uXw5ev#Jx;}XF!TN5$}k+Kpo1JfImj9FBi9_yiu z5{sUU{$l`(q+U#GNFo_A$yoz&7`lwo?P+4%Vf(uIgOwV11XpxiK3n2b33|T|tB4p% z_Uz{GOOqbt8o=amMB&P+Z)Q?&=OrZmx1Wl`to@rWb{X{nnR4EhS`S&H#y_L~CYu+} z5fOP&34SxB6uGzdJY+Bi+S%R7KfBkvC6ZQ^?IVo;CUnlK$ztDXh2c%YXoKm~Vg9HK z^(ozrcLSZlaS}xUG_OCzKaHOyiAA8ZFrQaqhIPNUFbYsthCU5J)k5)xe=BP5iqNAj zW`n;9VknS{gTiOA;!B>{(d7eqP*)|!#>sOFcd0+tnq_OM$cnV@Xr-(3=4*~5u_}sR z_T?E;y@nz?l-{5>T3qiJO zs6fU1(I0@Y-ZyAm`tLO12?F2Az4)pXYOsBBZ6)0P^q0rkBVb`+ir1#}ua5AN^i^El zeM|D-4x_3>+s{-}8XWFU`GP{m8gl%4+ON~*`g3{3YZ~^1II8SvIsMew&ac>&e+g#p zOfvOy;3V5~kTUd4d<|qw3#Lc1rd}5HMW9N`$c_M**rS-aS?h->%Cs^ccb2Wkk%4v0si#DyycpWSX4{6n1N>uQ7r!_^(`q)cvtR(r9 zydK$ZYQA)f$asclB#$zQt&)_;dE&G>W|@zRSN6_?y^nUpP41qL4q0jQ2+#cE)iO2B zSqLoq#GfUe7MMC_B5o1}1`twLG*)xl+fzQkqo5}WQl+j^U4CKM!B zFw_H&Vd|rk>UU-jp$L|Om!lWl=ZkSsJL)RUCvb1B;hD3A>jU2h40 zj}XYx=N{`QK2Qt!&363}RkLnV^Tf1c%DI*~a?DaRD9aW1>~TZCIkr0Ll*?Y7!!B5B zsy_rLZ1zIA4a%%OkZSI5xkV7`CJKDO31LO*ph`UEPtA9)?&T+j9V6y%&Plr~sTS2! zzF)K#eLilxPu+ZI;$kCU&K612b~U#T#qI$E`_rR2p{~XQd+hn zrf`S0WD6V(Nik&$+&Fc$Fti{3l3+>}DB}A~<3=!Adm8q3(};i|6}u5<_~-os?y~77 zUuZ%C@zBg*0qZuJG{rf29IN3i^>|3MwYICgT;~jJ#nc?kQ}FGC zOQXPk|Gh=M;}iVyW9@~n$O*7$c?jqGw0%(qT0V>uPpdL;oBy%H66@c$W$e+6{2ymgBeBb|<(o&(jA(9%A1hH( zG&z!r^_T+2nP81^-MpmTx!?Tm3R}%_!h8uye)4gbpR64Tf3O`rlN^I z$?3)KgU+9(R^SqWq%8^A8mwSh;!$>iY{D%%@0v=a+~WnOTN@JD2O6m5!8~;p=R6EO&NfIEcy%T+H#2cxFM$vE4ojJCZqjtBxguvwG!5kz72D-+#S6mfqjtSA`^SbxYQ0fMLiD-r z10jk*`S;_7sl;c&7K_+|ORG z`KiZjk_6%TKk-QH>Omzi^grN*zA{xX-hB4-17rJk0V%dj4)yISAw(KGUqywLzVM; zG!dfeCP);~8OK``-=?;XX{MvJyISj_4U|;db&!@R%H!2smWTGZNd~cC2<9-v7wOnz6`A#rSxcvjh+a)NuEjm%bdw@{{DsNr3!k(}9^ByxYy9mn^r-8rupefemSQfompvrOCRu zMLC9BASg{IqFRvcluyR=s+@;(v!|=a;fV8jRb?3Fq#=vwP)f1ePi->Gnt$EXH*G?@ zw=`uifyh)jT&3gPg+=x&<{!-$GRpllT?fRzwXr4H`Gockhd&nRz}X2DPElFp)&g%G zAR>Adrcw4PU%bJ1m0-prf*_59ns=~_^~r_^;4P&r_4<8*4FbL~zW!;|!J@%uA2Uv$ z`^CTZgJDR6fIks2FDtCAm%}*)_gM_y(2(uDJ{F11@&0!5rtL?;?D}r203H zndW_UrEik*7D}Nl{J`LGAvKM6ofdCp4@>Xm=6%rqTe$L;g7oMsr-O~ z20nDIsu9DK!u;{?gWKWpP`w)YG>s@Ajc<0?PVJZP`>k46q)^iswKU;xkPKmsdRjcW zsGOnqjh--q)5<4H8oleoxl%WyTiL~-=y{?bQ;sZF(iQo{OXnO1$WV)Io;edXyrS4c z8V$M^D&$?3K;!FM!{*G3Cw6`A`OBWE7jInq^Boe*;@;-q*p*QFWrJ_r7IpwH7r6&c zHb?1EQtAz2)qcEFeL)tZFopC25?A8=TFBbbB}`$uQyWo_Xjv7b12*cHV<~#YVXR%H z?Hty~&PTTY_eix+oK(q3eVg`=BuZGuazPf0 z`KOuR5Hsl;br$FL*aM&ZE&S#VBq$L&?zNqEp- zFFNmExw(geCeYd;Sq|`6n|bDN=hH*6VEb7(iz`MbF{sz&^JGE%H&;kRU<jVa@>MBqMeRjlsGOjmH7UZB(a zGxK+Qse+kLS183JXUQJ^tWG=1%f}YJ-;?moZ(PA9?AM{`~Lo ziGqt?P{7<=E3m})G1{-nWO$g+JaJfYr+D7T3S=-NB4=Xscx2T$%x-AWKHlw2U^O?# zwk`9I%kDu^MpMWL1V=a{%i-a3P8qJWwyPaQ9A$xv z-dc<%MT8Gqo>nFQUtVEY=cq&&#c$(or28{jn7$raCs3*PHDQLlR@$Rcox*Ec2W;`{ zCwC1}$^Sc79)7>Nm~e29pf}O?_h@?gP%njnLus!#!OmGO<)*@1OACcxdw{#2*8tSXPNtd2Ogz}p^iKjqTI9uqk9YsVo^#= zmhrMbSpEwLDK{U2(VT__n$=1PWPF}RV(|AOK>fKtk_h?ngKSl|(gm`9Pv z+?1QTVDx{9dAw{V3p`X2LmVl(Qq&*poBam%pLR?`xhVoh+Zq-O-Cc0^WDg5GMiE0F zc`Qk}=>bNs|1W55XMqPQVz48R@hCTmz-TJN0)c8JQ?vO00*c6EcFIjvFn~_EBPb

    l5=FXYSREuqxqDZoODqrWYWrP1xU0`fkU|3F{0f#aWT{K6 zHs^6PvNoY)=}SnP^J*F?XUbe0TP!Je%3OuJ@TSZSx(i>*+)i68;SaC2{j>^E*O$^S zJd^;-G(y?I{`|GM2o4HxBh4I6v1M$x_UInP7pq2lDQz9SGlMKPgvYybL;z3Kk4^r_ zf;1ZXnYl;zxo-)Xx>l3Gw6Tg5Ke4~HGkFWvK0|HcNT*39Q-Ma_7%6e(`3~!H=Yr43 zGm{!8CJhp(`594&E|pi&YHo3H+~cMv2!6okkqE_)9UqChO=fH2Zp%M}JeW?%^EY2c zf1jl6yw_%CsI)n_`#!px#pL9R3AO37KVF{OKY-#QBWd1W{=f>@xu zZqG>tSx~2i(l7n|6koff`DC%&i;kbsn>jPp1kT@J7fmVGH9gR%kX-5Qv74Q}Ww-}W zO|Om)+DkWyXWX_q8opx-G3}Hh8&rka&Bk);4}Ct4j%n3@U?}wCL%qpMR~;@nLHTVJFRTl71o{HysSrl&zhb7l0}BW;P#iEhija| zGOkPnLz!)NYY5IxL$gd)z08JQy%QM$Vj^bIw#hkkVfe=0>WB(M2gX=7rSiAF?z{Q= zU)z(!T+M~;ImLtbq^S%zw#i-f4^Qs#HF#DU#d@LTv{YlQ+KlyLao@{W7<&07 zw`P@TuT)X z{~v&Jx6AL+j1C0i2MG#$dc1(zXo%Z6Iq9iE72PDv1r?!s`U<{9 delta 3432 zcmV-u4VUuIIM*W$P)h>@6aWAK2mo(!m9Y(L0S<3*l~bD5szkL6004wvlZF8&e_YLS z+c*-w`zcsF>@8zSNhVpZl_^@HZIxw7s~^vLFDO}}6P6`XE6IuTY^HKs0_t zN+dyft6a%kMl`#D58Y^d-2nLCfB)zFkC)}b`5vq{VH`Ex`foMY38Gm%52LS5cQU?w z_t|we+i5hPF5)O?x>4*pe|$MPfA`xrqx;d=zjgffpLhOn)am!Ae8Y9dom+o2_HGAF zcl;bU?O^6K>Q4Q`S-pPtzV0+?jk@dHb?&@&9|h?{ko|IU;yCAAai@3Lcf4Wiy6H~W z+wkR+>s<7`VY}(h!>{2Yh_+!g`)w94&!XVv=jPq?)4O`Dwmnf28f-by|MY z{WPV2ah;xb3%{O%pAYeLJ$Idf*Shhp;L&XJ^?l=m>x>4z-@Y1pZFu&s?w<1g`2QID z!=Be2^oQfo7w94Mk^aBa?hKo5N9dhRqgk*3C7r9zsOdgO^Wc&F;?4Rm+h83{7i18x zw;PhY&DDXzR=?NtTVoi;f20Z4jC|^JdN8Ox3TGKCAJ)?-AYd%okERPP^MK)Z7S z!?O;94TV4zK?A2!mjH1}rBy(!?#<=U|NF%6weFj4<8zJub4{R&C$b9G;p$tko=U*8 z#nT?Rx(xPer&Fx2@~HxQuxWH67{kZuOo2{YMUdJ!Dx$&inLI{dfB20$W`OpvDD@UH zTg0;`MHU)WN8n)lX%@@X`fAf4tOtszHNm5ql|<;t1q=&PWlWm^6SU#b<^swlWZ!kV z{w2%`n9zLc&Em!L67UQ=SJ&_y#xi4$s(wOZ0&*Mj7%sr+f_W)mszNbYO}#b{>mLP1 zocYwtb3m0Y2|#t>e=-)7>Lg5EYYCVTTDc`*5yIHLoUX`pK40g+=<6XDMh1n;U`+E; zRi_O?Slu*)^XYb48X*&|7$MVH4xt9@N02%D(#-_|6+;1yHbAHw&;=mO3q%T(0WwHk z_6DN{X+bACWuQQWZEERm?z#oDwR_CCh?R>;X%!&Q4(qSV&S7@9(U#u~2L(GsO4(4eQSgG~TH z2K}x#?2Li(dys+L`Q#di63(ObhGXR+!wAw`Lv>C^8$(3J=sfSrL19LxO+uejc9-RZ zB&R5y)U0WRf5{u7`6(_EBcu)M_(yTNK!wVfE{)Z~K*T?a=9@ZShq3_ovCRrbiab1T zw{et*%WhV|EQHJ&q##Knx_B9Yx3Y%8@|z77E6!)@-~=kv$LDCag$fLs5QZXS z71O(xU`6?=!J^`PXwOfLS_v)Zh)10slu<6dmOlbzfAkNx{r05mi(&--<+S=ke+YG( zq@d(Fmy>RnkJYKkAFkEP6AY;=k(h6G2IKnyluiczurs*!hh7)n9udFiU3B3w3^z32 z>Utw6+^Fxs(?n2VVNkv5_U@YQOSlN57gmB=#`EVz0I}flOl_K#q0lDners~;_r?@I ztI0qaf5{s{O$x?EE&AZY#7EkG*SjZB!kUbrX|Fqh9{=TE&++zewOXD22mffHhCkE7 zXS%9JiYBU|pqk&`(^AB4k3dnbu3YpdP|qR^UTHPG$?ZjFYz_<^lpKA4;eln3fC6m) zuY1R@6)#s%2aUF*H(f#|lURcWc3M&-s_`Kbf7SLXgBmK~j){sC-;+*qidIA~Vf9D+ z1#>B-9!YsM;|Q=?WCRmqF<(jIDLm9D$0o4#uefAvkD3gpzI=ma4*r8c8dI5Gkn0{t7uMPrr|2L9V+> ze;1{BdLa+x0>^__t$)(q7IIH6a6EW6&Iv18z8w&pkFyfn9@WiAXgy;m!fIBsoUgL9 zusXO##>5mCj;_1e)AofGT#$Ze?-0B!W{{J>hUk`Tg2m%D*lbDsMCS4H7E`G*aMSgQ zwUep03`rPqf2_l8kl@W&Q|MaFlU3Lpf1p1$u&YSEPZy!w1}TG5>J`X#)LWv&6ia5t zxovB3g>@om6R36phip98!7K>BCsweB%=4plFrDwWtBDW=j){@GO;sSLGrr3#x7ZcU z3Jpe*`0%{>iNTt0${&yj)@uT%2#O0udpdW{HrtVVonK5wT0+c28Bc<_HIn>ze{V*t zS7NgBVf{VpY*?y;%wenTCd$m!mFmj?PEYKQ#L1F^fAZl&8+xy38QO}8>FR`8sa8v4?oyMO)y(AylfziG zfMbf=EaIs<8(Kl1FHrJi7A|Gfu`_Em$hAL+iZ`59gJU&0sJ84dA_HxRnw0hRX1Ui<4~6FjQK>E?9`BDT{$#n9)DC4oNwXRZ0331K`(v-4+iMo^n8q|=GSd<5uFG(|sxB~l| z%+`3e@RstJRZ+;j8L|Jc@b~D&e|ecd;Nta-_-q8U*Q+3@QMsUiyf=N zVm44dI_vkhe$`mAx4l#j0NFS_>L<$ z)$am&D2Fm<e5vr@Z`9d zC2Sxkf^o0(gLhfRrLVEMMVW{pQ@wqa(}R{sk!fR+p)hT_-+tJ*8VSQ6);R`h!cwrp zw3&8G=*U1Zf5}i9pn1Y!=$h5B!?rmFDnjInO<4A0k6gI-@{vT#P+4uwtU`w<-nMb+ z^sPqP4(*KXBBV^+dH8*~oE5>RNgN3y?NJ3Fjj+sv4!tSJFJQ`BjM;@1&9wA~Dt;XF zY73T5jFAh!OA=D(_;HcLvsb5kny?LeU9DJ|)UH*9e_UCV6ZP~~e2%!KcTpf`!bd~S zwA&-+feTG-^Cgq&N+QYVtKT%qeNt<0SwLDtJFD!#!DT)Wg!K9?LIht4;mdFAj_ueR zUiok#h#w55As0T-wq@63#g8-SHM=mWW$3KmbZ_|98z`sC)W=S@f7NMuUAE~U=iA`= ze#9T6e|6X=f;5{aG#ZreXyLw_qGi`}_GqCFk)mVQG`kqjPu26_V(9g!$&j3%7Cj_x zu6o(E8uLx-dX1`3ctrZOY4uIbE6&San?6*BQCocdT8uci@=6~Bs4n2}uORGx7$A4l z(b8FD!pHsiL)Gxbw<)v$Q~aLqE|D;~kf0LTe+Tl8Mx~@;K_qsWURC(zQAEr@l5>BD z2SD9cgA6#46EU=mZ6=l3gnH^Godiu&E)KFct|jX7TBI47Q%<=WpL6DE5^rJx9@r#KnSdWZs>Qk8 zIh%h5Dx>*-`34bAL@W~(pNJ|3>-jj)Hoyd@6Q6xJ{tObc33B)o_(+=gc>b4@{{c`- z0|YGq1^@^EZ*i5g<`{+o18;GalW!X|4sUUlQ<~PQM70b60EAzYu^TJ{Z*i5A;2RqP zVGfi18y+<15C8x!05CFIYDQIBM^Q**S2T7pPHIy{D{xhEQ7?9Qbb3@$cSvb8W^q+# KM`uWNLRmfaKAjl= diff --git a/srcs/sources/bd/keyboard/synth/keyboard.v b/srcs/sources/bd/keyboard/synth/keyboard.v index 58479950..eda967fd 100644 --- a/srcs/sources/bd/keyboard/synth/keyboard.v +++ b/srcs/sources/bd/keyboard/synth/keyboard.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 14:11:29 2021 +//Date : Tue Dec 28 18:55:34 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target keyboard.bd //Design : keyboard @@ -9,13 +9,19 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CORE_GENERATION_INFO = "keyboard,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=keyboard,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=8,numReposBlks=8,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=6,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "keyboard.hwdef" *) +(* CORE_GENERATION_INFO = "keyboard,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=keyboard,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=15,numReposBlks=15,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=7,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "keyboard.hwdef" *) module keyboard (cancel, clk_peripheral, clk_peripheral_n, column, extended_keys, + joy_io_mode_en, + joy_left, + joy_left_type, + joy_right, + joy_right_type, + joymap_we, keymap_addr, keymap_data, keymap_we, @@ -34,6 +40,12 @@ module keyboard (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_PERIPHERAL_N CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_PERIPHERAL_N, CLK_DOMAIN keyboard_clk_peripheral_n, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_peripheral_n; output [4:0]column; output [15:0]extended_keys; + input joy_io_mode_en; + input [10:0]joy_left; + input [2:0]joy_left_type; + input [10:0]joy_right; + input [2:0]joy_right_type; + input joymap_we; input [8:0]keymap_addr; input [7:0]keymap_data; input keymap_we; @@ -57,9 +69,16 @@ module keyboard wire cancel_1; wire clk_peripheral_1; wire clk_peripheral_n_1; + wire [5:0]dist_mem_gen_0_dpo; wire [4:0]emu_fnkeys_0_o_cols_filtered; wire [10:1]emu_fnkeys_0_o_fnkeys; wire [7:0]emu_fnkeys_0_o_rows_filtered; + wire joy_io_mode_en_1; + wire [10:0]joy_left_1; + wire [2:0]joy_left_type_1; + wire [10:0]joy_right_1; + wire [2:0]joy_right_type_1; + wire joymap_we_1; wire keyb_clocks_0_clk_ps2; wire keyb_clocks_0_fnkeys_enable; wire keyb_clocks_0_membrane_enable; @@ -69,6 +88,8 @@ module keyboard wire [4:0]membrane_0_o_cols; wire [15:0]membrane_0_o_extended_keys; wire [2:0]membrane_0_o_membrane_ridx; + wire [5:0]membrane_stick_0_joy_keymap_addr; + wire [6:0]membrane_stick_0_o_membrane_col; wire ps2_clk_i_1; wire ps2_data_i_1; wire ps2_keyb_0_o_divmmc_nmi_n; @@ -80,14 +101,25 @@ module keyboard wire [7:0]row_1; wire [1:0]special_keys_0_spkey_buttons; wire [10:1]special_keys_0_spkey_function; + wire [6:0]util_vector_logic_0_Res; + wire [5:0]xlconcat_0_dout; wire [7:0]xlconstant_high1_dout; wire [0:0]xlconstant_high_dout; + wire [3:0]xlslice_0_Dout; + wire [0:0]xlslice_1_Dout; + wire [5:0]xlslice_2_Dout; assign cancel_1 = cancel; assign clk_peripheral_1 = clk_peripheral; assign clk_peripheral_n_1 = clk_peripheral_n; assign column[4:0] = emu_fnkeys_0_o_cols_filtered; assign extended_keys[15:0] = membrane_0_o_extended_keys; + assign joy_io_mode_en_1 = joy_io_mode_en; + assign joy_left_1 = joy_left[10:0]; + assign joy_left_type_1 = joy_left_type[2:0]; + assign joy_right_1 = joy_right[10:0]; + assign joy_right_type_1 = joy_right_type[2:0]; + assign joymap_we_1 = joymap_we; assign keymap_addr_1 = keymap_addr[8:0]; assign keymap_data_1 = keymap_data[7:0]; assign keymap_we_1 = keymap_we; @@ -135,12 +167,25 @@ module keyboard (.i_CLK(clk_peripheral_1), .i_CLK_EN(keyb_clocks_0_membrane_enable), .i_cancel_extended_entries(cancel_1), - .i_membrane_cols(ps2_keyb_0_o_membrane_col), + .i_membrane_cols(util_vector_logic_0_Res), .i_reset(reset_1), .i_rows(emu_fnkeys_0_o_rows_filtered), .o_cols(membrane_0_o_cols), .o_extended_keys(membrane_0_o_extended_keys), .o_membrane_ridx(membrane_0_o_membrane_ridx)); + keyboard_membrane_stick_0_0 membrane_stick_0 + (.i_CLK(clk_peripheral_1), + .i_CLK_EN(keyb_clocks_0_membrane_enable), + .i_joy_en_n(joy_io_mode_en_1), + .i_joy_left(joy_left_1), + .i_joy_left_type(joy_left_type_1), + .i_joy_right(joy_right_1), + .i_joy_right_type(joy_right_type_1), + .i_membrane_row(membrane_0_o_membrane_ridx), + .i_reset(reset_1), + .joy_keymap_addr(membrane_stick_0_joy_keymap_addr), + .joy_keymap_do(dist_mem_gen_0_dpo), + .o_membrane_col(membrane_stick_0_o_membrane_col)); keyboard_ps2_keyb_0_0 ps2_keyb_0 (.i_CLK(clk_peripheral_1), .i_CLK_PS2(keyb_clocks_0_clk_ps2), @@ -164,8 +209,33 @@ module keyboard .ps2_mmc_n(ps2_keyb_0_o_divmmc_nmi_n), .spkey_buttons(special_keys_0_spkey_buttons), .spkey_function(special_keys_0_spkey_function)); + keyboard_dist_mem_gen_0_0 udk_map_0 + (.a(xlconcat_0_dout), + .clk(clk_peripheral_1), + .d(xlslice_2_Dout), + .dpo(dist_mem_gen_0_dpo), + .dpra(membrane_stick_0_joy_keymap_addr), + .we(joymap_we_1)); + keyboard_util_vector_logic_0_0 util_vector_logic_0 + (.Op1(membrane_stick_0_o_membrane_col), + .Op2(ps2_keyb_0_o_membrane_col), + .Res(util_vector_logic_0_Res)); + keyboard_xlconcat_0_0 xlconcat_0 + (.In0(xlslice_0_Dout), + .In1(xlconstant_high_dout), + .In2(xlslice_1_Dout), + .dout(xlconcat_0_dout)); keyboard_xlconstant_high_0 xlconstant_high (.dout(xlconstant_high_dout)); keyboard_xlconstant_selftest_ok_0 xlconstant_selftest_ok (.dout(xlconstant_high1_dout)); + keyboard_xlslice_0_0 xlslice_0 + (.Din(keymap_addr_1), + .Dout(xlslice_0_Dout)); + keyboard_xlslice_1_0 xlslice_1 + (.Din(keymap_addr_1), + .Dout(xlslice_1_Dout)); + keyboard_xlslice_1_1 xlslice_2 + (.Din(keymap_data_1), + .Dout(xlslice_2_Dout)); endmodule diff --git a/srcs/sources/bd/keyboard/ui/bd_bb7bb047.ui b/srcs/sources/bd/keyboard/ui/bd_bb7bb047.ui index 5fa290a0..ee69131a 100644 --- a/srcs/sources/bd/keyboard/ui/bd_bb7bb047.ui +++ b/srcs/sources/bd/keyboard/ui/bd_bb7bb047.ui @@ -1,72 +1,99 @@ { "ActiveEmotionalView":"Default View", - "Default View_ScaleFactor":"0.526452", - "Default View_TopLeft":"-163,-44", + "Default View_ScaleFactor":"0.321258", + "Default View_TopLeft":"-165,-93", "ExpandedHierarchyInLayout":"", "guistr":"# # String gsaved with Nlview 7.0r6 2020-01-29 bk=1.5227 VDI=41 GEI=36 GUI=JA:10.0 non-TLS # -string -flagsOSRD -preplace port port-id_cancel -pg 1 -lvl 0 -x -20 -y 220 -defaultsOSRD -preplace port port-id_clk_peripheral -pg 1 -lvl 0 -x -20 -y 630 -defaultsOSRD -preplace port port-id_clk_peripheral_n -pg 1 -lvl 0 -x -20 -y 500 -defaultsOSRD -preplace port port-id_keymap_we -pg 1 -lvl 0 -x -20 -y 560 -defaultsOSRD -preplace port port-id_ps2_clk_i -pg 1 -lvl 0 -x -20 -y 920 -defaultsOSRD -preplace port port-id_ps2_clk_o -pg 1 -lvl 6 -x 1980 -y 900 -defaultsOSRD -preplace port port-id_ps2_clk_t -pg 1 -lvl 6 -x 1980 -y 920 -defaultsOSRD -preplace port port-id_ps2_data_i -pg 1 -lvl 0 -x -20 -y 900 -defaultsOSRD -preplace port port-id_ps2_data_o -pg 1 -lvl 6 -x 1980 -y 860 -defaultsOSRD -preplace port port-id_ps2_data_t -pg 1 -lvl 6 -x 1980 -y 880 -defaultsOSRD -preplace port port-id_reset -pg 1 -lvl 0 -x -20 -y 650 -defaultsOSRD -preplace portBus column -pg 1 -lvl 6 -x 1980 -y 120 -defaultsOSRD -preplace portBus extended_keys -pg 1 -lvl 6 -x 1980 -y 250 -defaultsOSRD -preplace portBus keymap_addr -pg 1 -lvl 0 -x -20 -y 520 -defaultsOSRD -preplace portBus keymap_data -pg 1 -lvl 0 -x -20 -y 540 -defaultsOSRD -preplace portBus row -pg 1 -lvl 0 -x -20 -y 760 -defaultsOSRD -preplace portBus spkey_buttons -pg 1 -lvl 6 -x 1980 -y 440 -defaultsOSRD -preplace portBus spkey_function -pg 1 -lvl 6 -x 1980 -y 420 -defaultsOSRD -preplace inst Ps2Interface_0 -pg 1 -lvl 5 -x 1810 -y 950 -defaultsOSRD -preplace inst emu_fnkeys_0 -pg 1 -lvl 4 -x 1460 -y 120 -defaultsOSRD -preplace inst keyb_clocks_0 -pg 1 -lvl 1 -x 180 -y 640 -defaultsOSRD -preplace inst membrane_0 -pg 1 -lvl 3 -x 1040 -y 170 -defaultsOSRD -preplace inst ps2_keyb_0 -pg 1 -lvl 2 -x 610 -y 600 -defaultsOSRD -preplace inst special_keys_0 -pg 1 -lvl 5 -x 1810 -y 560 -defaultsOSRD -preplace inst xlconstant_high -pg 1 -lvl 3 -x 1040 -y 360 -defaultsOSRD -preplace inst xlconstant_selftest_ok -pg 1 -lvl 4 -x 1460 -y 1020 -defaultsOSRD -preplace netloc Ps2Interface_0_PS2_Clk_O 1 5 1 NJ 900 -preplace netloc Ps2Interface_0_PS2_Clk_T 1 5 1 NJ 920 -preplace netloc Ps2Interface_0_PS2_Data_O 1 5 1 NJ 860 -preplace netloc Ps2Interface_0_PS2_Data_T 1 5 1 NJ 880 -preplace netloc Ps2Interface_0_read_data 1 1 5 400 760 NJ 760 NJ 760 NJ 760 1960 -preplace netloc Ps2Interface_0_rx_data 1 1 5 410 770 NJ 770 NJ 770 NJ 770 1950 -preplace netloc cancel_1 1 0 3 NJ 220 NJ 220 NJ -preplace netloc clk_peripheral_1 1 0 5 20 550 360 120 820 40 1270 540 1640 -preplace netloc clk_peripheral_n_1 1 0 2 NJ 500 340J -preplace netloc emu_fnkeys_0_o_cols_filtered 1 4 2 NJ 120 NJ -preplace netloc emu_fnkeys_0_o_fnkeys 1 4 1 1650 140n -preplace netloc emu_fnkeys_0_o_rows_filtered 1 2 3 830 50 1280J 240 1640 -preplace netloc keyb_clocks_0_clk_ps2 1 1 1 N 620 -preplace netloc keyb_clocks_0_fnkeys_enable 1 1 3 330J 10 NJ 10 1300 -preplace netloc keyb_clocks_0_membrane_enable 1 1 2 350J 140 N -preplace netloc keymap_addr_1 1 0 2 NJ 520 NJ -preplace netloc keymap_data_1 1 0 2 NJ 540 NJ -preplace netloc keymap_we_1 1 0 2 10J 720 380J -preplace netloc membrane_0_o_cols 1 3 1 N 140 -preplace netloc membrane_0_o_extended_keys 1 3 3 1260J 250 NJ 250 NJ -preplace netloc membrane_0_o_membrane_ridx 1 1 3 390 20 NJ 20 1240 -preplace netloc ps2_clk_i_1 1 0 5 NJ 920 NJ 920 NJ 920 NJ 920 NJ -preplace netloc ps2_data_i_1 1 0 5 NJ 900 NJ 900 NJ 900 NJ 900 NJ -preplace netloc ps2_keyb_0_o_divmmc_nmi_n 1 2 3 NJ 620 NJ 620 N -preplace netloc ps2_keyb_0_o_membrane_col 1 2 1 820 200n -preplace netloc ps2_keyb_0_o_mf_nmi_n 1 2 3 NJ 600 NJ 600 N -preplace netloc ps2_keyb_0_o_ps2_func_keys_n 1 2 3 830J 560 NJ 560 N -preplace netloc ps2_keyb_0_o_ps2_send_valid 1 2 3 810J 570 NJ 570 1620 -preplace netloc reset_1 1 0 5 30 560 370 210 810 60 1250 550 1630J -preplace netloc row_1 1 0 4 0J 30 NJ 30 NJ 30 1290J -preplace netloc special_keys_0_spkey_buttons 1 4 2 1670 440 NJ -preplace netloc special_keys_0_spkey_function 1 4 2 1660 420 NJ -preplace netloc xlconstant_high1_dout 1 4 1 1650J 980n -preplace netloc xlconstant_high_dout 1 3 1 1300 160n -levelinfo -pg 1 -20 180 610 1040 1460 1810 1980 -pagesize -pg 1 -db -bbox -sgen -190 0 2170 1100 +preplace port port-id_cancel -pg 1 -lvl 0 -x -40 -y 710 -defaultsOSRD +preplace port port-id_clk_peripheral -pg 1 -lvl 0 -x -40 -y 640 -defaultsOSRD +preplace port port-id_clk_peripheral_n -pg 1 -lvl 0 -x -40 -y 730 -defaultsOSRD +preplace port port-id_keymap_we -pg 1 -lvl 0 -x -40 -y 750 -defaultsOSRD +preplace port port-id_ps2_clk_i -pg 1 -lvl 0 -x -40 -y 810 -defaultsOSRD +preplace port port-id_ps2_clk_o -pg 1 -lvl 9 -x 2880 -y 790 -defaultsOSRD +preplace port port-id_ps2_clk_t -pg 1 -lvl 9 -x 2880 -y 810 -defaultsOSRD +preplace port port-id_ps2_data_i -pg 1 -lvl 0 -x -40 -y 790 -defaultsOSRD +preplace port port-id_ps2_data_o -pg 1 -lvl 9 -x 2880 -y 750 -defaultsOSRD +preplace port port-id_ps2_data_t -pg 1 -lvl 9 -x 2880 -y 770 -defaultsOSRD +preplace port port-id_reset -pg 1 -lvl 0 -x -40 -y 660 -defaultsOSRD +preplace port port-id_joymap_we -pg 1 -lvl 0 -x -40 -y 600 -defaultsOSRD +preplace port port-id_joy_io_mode_en -pg 1 -lvl 0 -x -40 -y 270 -defaultsOSRD +preplace portBus column -pg 1 -lvl 9 -x 2880 -y 430 -defaultsOSRD +preplace portBus extended_keys -pg 1 -lvl 9 -x 2880 -y 450 -defaultsOSRD +preplace portBus keymap_addr -pg 1 -lvl 0 -x -40 -y 290 -defaultsOSRD +preplace portBus keymap_data -pg 1 -lvl 0 -x -40 -y 620 -defaultsOSRD +preplace portBus row -pg 1 -lvl 0 -x -40 -y 770 -defaultsOSRD +preplace portBus spkey_buttons -pg 1 -lvl 9 -x 2880 -y 490 -defaultsOSRD +preplace portBus spkey_function -pg 1 -lvl 9 -x 2880 -y 470 -defaultsOSRD +preplace portBus joy_left_type -pg 1 -lvl 0 -x -40 -y 140 -defaultsOSRD +preplace portBus joy_right_type -pg 1 -lvl 0 -x -40 -y 160 -defaultsOSRD +preplace portBus joy_right -pg 1 -lvl 0 -x -40 -y 100 -defaultsOSRD +preplace portBus joy_left -pg 1 -lvl 0 -x -40 -y 80 -defaultsOSRD +preplace inst Ps2Interface_0 -pg 1 -lvl 8 -x 2710 -y 840 -defaultsOSRD +preplace inst emu_fnkeys_0 -pg 1 -lvl 7 -x 2330 -y 430 -defaultsOSRD +preplace inst keyb_clocks_0 -pg 1 -lvl 3 -x 640 -y 630 -defaultsOSRD +preplace inst membrane_0 -pg 1 -lvl 6 -x 1920 -y 440 -defaultsOSRD +preplace inst ps2_keyb_0 -pg 1 -lvl 4 -x 1100 -y 560 -defaultsOSRD +preplace inst special_keys_0 -pg 1 -lvl 8 -x 2710 -y 590 -defaultsOSRD +preplace inst xlconstant_high -pg 1 -lvl 1 -x 120 -y 440 -defaultsOSRD +preplace inst xlconstant_selftest_ok -pg 1 -lvl 7 -x 2330 -y 880 -defaultsOSRD +preplace inst xlslice_0 -pg 1 -lvl 1 -x 120 -y 340 -defaultsOSRD +preplace inst xlslice_1 -pg 1 -lvl 1 -x 120 -y 540 -defaultsOSRD +preplace inst util_vector_logic_0 -pg 1 -lvl 5 -x 1540 -y 470 -defaultsOSRD +preplace inst udk_map_0 -pg 1 -lvl 3 -x 640 -y 440 -defaultsOSRD +preplace inst xlslice_2 -pg 1 -lvl 2 -x 360 -y 480 -defaultsOSRD +preplace inst xlconcat_0 -pg 1 -lvl 2 -x 360 -y 360 -defaultsOSRD +preplace inst membrane_stick_0 -pg 1 -lvl 4 -x 1100 -y 190 -defaultsOSRD +preplace netloc Ps2Interface_0_PS2_Clk_O 1 8 1 NJ 790 +preplace netloc Ps2Interface_0_PS2_Clk_T 1 8 1 NJ 810 +preplace netloc Ps2Interface_0_PS2_Data_O 1 8 1 NJ 750 +preplace netloc Ps2Interface_0_PS2_Data_T 1 8 1 NJ 770 +preplace netloc Ps2Interface_0_read_data 1 3 6 880 990 NJ 990 NJ 990 NJ 990 NJ 990 2850 +preplace netloc Ps2Interface_0_rx_data 1 3 6 890 1000 NJ 1000 NJ 1000 NJ 1000 NJ 1000 2860 +preplace netloc cancel_1 1 0 6 NJ 710 NJ 710 460J 750 NJ 750 1330J 390 1700J +preplace netloc clk_peripheral_1 1 0 8 NJ 640 NJ 640 460 330 860 400 1350J 380 1710 330 2140 820 2500 +preplace netloc clk_peripheral_n_1 1 0 4 10J 600 250J 540 NJ 540 790J +preplace netloc dist_mem_gen_0_dpo 1 3 1 800 320n +preplace netloc emu_fnkeys_0_o_cols_filtered 1 7 2 NJ 430 NJ +preplace netloc emu_fnkeys_0_o_fnkeys 1 7 1 2550 450n +preplace netloc emu_fnkeys_0_o_rows_filtered 1 5 3 1720 290 NJ 290 2570 +preplace netloc joy_io_mode_en_1 1 0 4 -20J 240 NJ 240 NJ 240 800J +preplace netloc joy_left_1 1 0 4 NJ 80 NJ 80 NJ 80 NJ +preplace netloc joy_left_type_1 1 0 4 NJ 140 NJ 140 NJ 140 NJ +preplace netloc joy_right_1 1 0 4 NJ 100 NJ 100 NJ 100 NJ +preplace netloc joy_right_type_1 1 0 4 NJ 160 NJ 160 NJ 160 NJ +preplace netloc joymap_we_1 1 0 3 -10J 250 NJ 250 480J +preplace netloc keyb_clocks_0_clk_ps2 1 3 1 860 580n +preplace netloc keyb_clocks_0_fnkeys_enable 1 3 4 790J 720 1320J 300 NJ 300 2170 +preplace netloc keyb_clocks_0_membrane_enable 1 3 3 810 740 1380J 400 1690 +preplace netloc keymap_addr_1 1 0 4 10 260 NJ 260 NJ 260 820J +preplace netloc keymap_data_1 1 0 4 0J 280 220 270 NJ 270 830J +preplace netloc keymap_we_1 1 0 4 20J 650 260J 550 NJ 550 820J +preplace netloc membrane_0_o_cols 1 6 1 2150 410n +preplace netloc membrane_0_o_extended_keys 1 6 3 2130J 310 NJ 310 2860J +preplace netloc membrane_0_o_membrane_ridx 1 3 4 870 760 NJ 760 NJ 760 2120 +preplace netloc membrane_stick_0_joy_keymap_addr 1 2 3 490 340 840J 380 1300 +preplace netloc membrane_stick_0_o_membrane_col 1 4 1 1370 180n +preplace netloc ps2_clk_i_1 1 0 8 NJ 810 NJ 810 NJ 810 NJ 810 NJ 810 NJ 810 NJ 810 NJ +preplace netloc ps2_data_i_1 1 0 8 NJ 790 NJ 790 NJ 790 NJ 790 NJ 790 NJ 790 NJ 790 NJ +preplace netloc ps2_keyb_0_o_divmmc_nmi_n 1 4 4 1340J 590 NJ 590 NJ 590 2520 +preplace netloc ps2_keyb_0_o_membrane_col 1 4 1 1370 480n +preplace netloc ps2_keyb_0_o_mf_nmi_n 1 4 4 NJ 560 NJ 560 NJ 560 2540 +preplace netloc ps2_keyb_0_o_ps2_func_keys_n 1 4 4 NJ 600 NJ 600 NJ 600 2530 +preplace netloc ps2_keyb_0_o_ps2_send_valid 1 4 4 1350 750 NJ 750 NJ 750 2490J +preplace netloc reset_1 1 0 8 NJ 660 NJ 660 480 710 850 730 1390J 580 1710 570 2170 580 2510J +preplace netloc row_1 1 0 7 NJ 770 NJ 770 NJ 770 NJ 770 1360J 310 NJ 310 2120J +preplace netloc special_keys_0_spkey_buttons 1 7 2 2570 470 2850J +preplace netloc special_keys_0_spkey_function 1 7 2 2560 460 2860J +preplace netloc util_vector_logic_0_Res 1 5 1 N 470 +preplace netloc xlconcat_0_dout 1 2 1 470 360n +preplace netloc xlconstant_high1_dout 1 7 1 2530J 870n +preplace netloc xlconstant_high_dout 1 1 6 230 280 NJ 280 790J 390 1310J 320 NJ 320 2160 +preplace netloc xlslice_0_Dout 1 1 1 NJ 340 +preplace netloc xlslice_1_Dout 1 1 1 240J 380n +preplace netloc xlslice_2_Dout 1 2 1 470J 420n +levelinfo -pg 1 -40 120 360 640 1100 1540 1920 2330 2710 2880 +pagesize -pg 1 -db -bbox -sgen -210 0 3070 1010 " } - +0 diff --git a/srcs/sources/bd/zxnexys/hdl/zxnexys_wrapper.v b/srcs/sources/bd/zxnexys/hdl/zxnexys_wrapper.v index 802600f0..7f4356bb 100644 --- a/srcs/sources/bd/zxnexys/hdl/zxnexys_wrapper.v +++ b/srcs/sources/bd/zxnexys/hdl/zxnexys_wrapper.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Fri Dec 24 15:51:12 2021 +//Date : Wed Dec 29 10:16:53 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target zxnexys_wrapper.bd //Design : zxnexys_wrapper diff --git a/srcs/sources/bd/zxnexys/hw_handoff/zxnexys.hwh b/srcs/sources/bd/zxnexys/hw_handoff/zxnexys.hwh index 181c6f21..9ef07bba 100644 --- a/srcs/sources/bd/zxnexys/hw_handoff/zxnexys.hwh +++ b/srcs/sources/bd/zxnexys/hw_handoff/zxnexys.hwh @@ -1,5 +1,5 @@  - + @@ -39,14 +39,14 @@ - + - + - + - + @@ -4340,14 +4340,21 @@ - + + - + + + + + + + @@ -4428,16 +4435,11 @@ - + - - - - - @@ -4514,24 +4516,24 @@ - - + + - + @@ -4810,11 +4812,13 @@ - + - + + + @@ -4842,6 +4846,16 @@ + + + + + + + + + + @@ -4920,6 +4934,7 @@ + @@ -4940,6 +4955,15 @@ + + + + + + + + + @@ -5166,7 +5190,11 @@ - + + + + + @@ -6275,16 +6303,16 @@ - - + + diff --git a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.dcp b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.dcp index 809a013eb9cebcc5a8ea32a98b0cdd6e9d7b3ea9..59ae58a4aa9b2e3c7fd5b637aa226d169e11d19a 100644 GIT binary patch delta 11014 zcmZ8{1ymh9yDsh&cPQ>|#ogUqi@Q6Njk|AjZyX9mTio5DxD|JIcewq&bI(2ZKeJY5 zvSyw<$(kf@UR$b@Up&1l@>;q+pqPEfTxC^jb>c=O|F>C7+4msqZ60|m zWdzC4F&?B)FvFQxNpCmf-eOJulGrSw%vIRAs;W*HoEiTfnAgnG9wEu?2Pds`jS_`# zirvo*CpOvxuz|w%EdQ3rfVHW|u8QM%+f&)sE&p2=Uu8Fp15P=kwKC*q)3S@qBM*iY zHC_wN{Isl^kA6p-mLnZgXaUCyV_Gs^iuov_(q1Y_DK^i6UDWN?cTfF#F-yO!kp@1i za2tQ;YO&1ypO^9N60k8*QVjKDSGD`U5##*2oFi%d0R5jrK=A%RwFIyU7#*h+L6>mh z7E;5IBB2g2#%P~I*=fC}Rv9bfw2*s(zs0c{bLRyKN?%gDb6`bi+D=)%34Js)l(vsd zAQJ4RQ${(u0rti$3OvnqqAD<^3C5s#Mo1AKI>XSgt5Me43Sdc_*&kurd84P zu8gnk>Bhhq1x^I=KNrDoVU!3bLqrG&RUrrnJP6=48!k{23J0)ZX1B%wo#`Ue1I5MZ zeXNaV2mV_ZSKMA({^(qbM`DnLrZHG7@5h~RpKw>^St~W6D=HI$26PAv_kFi zOx}OnMGtdk#Y);Th^0p74Tf)r2*cg1d8hdS2YiKM0R$ks^ctPrC)fvfTBvK7$h-cy zf(!5^-PulEWo1or?g*`(0rCYM`8VF6$p7@_VRWu-)oZbL4$IU4_B!*lPZ6F10u zzPzX-E?^ea^()wjj}3-FXKpQ5=$^?L+PLJ4q2GP){h3Z!1x&U!O&Kwluj^{dOFN{c zbq7AB3P7B5rH72zh|rT*lq)Y3UJFV7-72~}v~skS0#4q=bLkM3cQ|i_QRGqTyXMj< zeMC!IuUq2|rL&b1`X8g-v+iWTR*oMVxfuV{jZCAO0Fz z8ycysoPdliW09mKc3OBti0#Ll?!$|j39hLOA>bRCcg;hUeojgfN46yD zFRoJKR0$U@rzvr%S0uScDMn@8EF;zRqyO9nne?pF9oS^knJ4R9;Lpg1^vTF<^Qmk_ zo}Nh_WXhl(rNhM{ixouh`~5(V@nvbKC~_K>aAM1UaJU(KZ^I%(V*I9hCx%J5K%8!X zh*gCQ!31ZS6c1vv>$^G(m=<639UpGY#$hsQ&i?Jp_w@Uk z{!03cAHyJDJ52Uete}EI=(&@BVo5}ReU~E9n>(F8(^6nZ>+UryV5RhaFGr+Y3YdP8 zQg7faGm|%+pdbkG6b!_y>>fas`Z^p1s61dvK5*`S$bBZc$JUCVGWmopLWwqsRW7KL z2*b}59`U$LOMvNAaHE$lJoJf_r}kSI$=54A7H*OC->W&V;inaNk`UiYwq zI)l9lq>6Z<3XP$(cb@_qk5H04pgx1bjp&Y4hGG4@KYN((K8*F;)~WLyQa|t?0f<@D zXgk1qw4WAH5*F(?V?v{s`NppbIu<)6ZiYlZ(OyFv9Wj=0q=Zt(;47+ouCBYq6Ou|% z`-Yx;Uj9>X!1);#*gSb9dy2weXy&EK4%N4mk2sK!i$aNAK=r^cviW0{Ncxu{hcxFe zlCmNN3BoLNr0w0x0Z8D`jlB2jrZ9NAk=Gd8+;e)M7CZqU{)Kn zaEp_|Xl)oX(dW(^!T2DT{CjAM)6vvyF)-u1392gQ`Z0tF45OGa0>ikyrp}1O!5$3^!#UGzf`V5*`PEADG}c{hus^s6EutY!_1hH2pRxj z&Tj+}A_4x3FJU^B??BMD7dtw`vFw6UZGX$f_s%$=QJ-qoT_g1PLr}0J z=zd9MH&`yv;u1xICldN`PEB#&3Y==h<798xKqw^@W0@^Bl_> z|Mfy0Hub~95nhSX>WBeCuH2Rqx0fo%P+)i#?a%qwJy?p0@D)$TS}#Lcv5p@h`f9NA zg-BtANRNd`&8J8qXMpfZvg>Zk{?jamAXfDy`GS%{Y&%-{0_ndGa`}S&f?Zo8wUvS1 zLA+QZ6E>FtiXl8?qYCurMs$z^+rG@f;oQ-?sr2tY@@=uS?Y+j87=i})wzw>@Hl?A; z?z7Jp()epB7JZVV$!3O_-7+Z^Ey{Xb52aoMN8bEsO;3=LyP@FEP%sdaHq2Cjs%d3Lja`vENTN*&$NCmwORAbcnk=nQeV6tOj}w+owBXGWwZ+6_^SKq&$dr zd|6XMW-+*!67CRO<2BH#!1LdiIsfyCy~VE%@HP_r;s@0WU2yXI^x({LS9gbR|Hi4Q z<%B?dwuZaM<~i50jd2YSx{JSg_uj7yCN^#JT0oYiq5h-ZCRZp{V#b@B@qp^Vf9(R;0Kmictp<<|6bb|btm!g*;2}Ct0Ud9gi0sw$Su@8sfXV# zpsLEN8ZW)BaX%@nx2}{9;)AC~j`kA>UJl}o;)6kF3%QOTwB;o)9WV>Kj|@eTC27NX zX(Q4_mJL0Hhb>=EkX~vN4i;S%RbkE^gE8X>f~fN@+?d^zT|k`y&42wcUg*0gZl^#o zXhR@w5uR$;$S;PWXKsg8pSlwT^*#Hg(ISKV1IVm&FM zr!SkQt8|DOy*WzSGslWNkDds?31vC&mn43df!i}9Wo(KsIj+6yM81@Oyui56+*vQx z%CJPOg9%E%y`i1OrJlQfPJeSf9#sons#hXrxcq6OG`{2KXy7~Uu&6pGl`UWaOkW^Mc9v0l66`YakrpGCzkQwKuj;eoIjVrupS^xuGNT*K zIzttau2?wfc%S%th(ms-ST~4s4_7zunr1(Kv#VP;o%@7Yp9{^5bHNk=mSRkZifzKD zC^HyKvcfufTTu2RDHJmxM7`nrhW))6u3rA}$m|zm{ia8X8iIN!Kv=fR*JEV&L++)&cRJnd&ReI5-PmDSP%Va1fjdf>O zABS{&Ph19h(V-6!z#{GP#`oZ03}i&uOEs54v*l*n5w)s9_kC+du+n$=6WaYm`7do_ z?eGJ61zfyW6wMN(zyiD>q9X?ha81IXXWW_}5z@D186YO1tA zT>fZd-5EJdLgHhe*IPsB03wFqAjSZ{Zgw5@bIcXCGDqZmz%)Fe)GC2WXy=AFRXpxSD{9do-kBM34fNE@fC#j`3!nd+v*T*l5` zue5old4dHKu=gEiR6*AWAr+xDHk8I@?s8>iahQ1j6RHSDZLIotY64fSz3*1|?(<`e zn@H@|KaRDxR>_}{;uJ|ZqT=S%%Hsenof4S9{W;P!995i@X51}Tiimf*%I>I15| z!F5sZwqRs66?POnll=H1jM9G0EKya)0E77fH-|;&ZSEY0Zs`IniDJ*&a7xX3Oiv|CHA=naqaO<_`}bo7>g34N&&QaV={Ag zf#@0D;?Yg;ml_`?n~b>DB>WZfYWpSH0&8?pwApB2YtEF7@TZp+O{Kq^!GS zRk`I|h}cl+rc7d&Svql#1z4-kcJW?W-(rRgP>nZyxP*0!PP5rLc9ev8xH-pv+l*ZR zJ$qOn2jl2pi}A;6rDDh_I^kQwLQl5iLo4ItETGGZN%?5?M|`80fa_B z+9Z}OKP{*uF*4hFlums1QG64B=o3kKw z0I71RNbyug_A}Y$Z!s8}6pbF3cb@QbPy+0T(v?Wr5}0F2M93+s+Z91%+=7{AxVz++ z{clCPZ1i(+$Z?Ag^jvU@ukmhvzv78PW2F{@e&e(Jd|Rto*86XsYFu?w@|2B2qh02?TLmB**$RC;yk*>c9K2djWDUhc_lp?!!8c0#7rm9*b!-8- z0RV3oOI=R*$WY|a&@CbEooU9!>I9aH&kKYVcMYJjd!6+)Z^46?l375Ev5Ul1J;!ZU8ioIf~gXnyaQU84od#U!0-me38wLeT1bSOTz= zp5@kNRxaC~+mi^TmiN%0P1Npkd?pI+&e8=)%iR-2KbmyPJl!FG@E;lV9omRIdtZGP1zSf}(OEAMqo z+hkE!>3NVQp%g-2r%kWG$!%Iopa)cyyYR5kRn0o7&~y2G6l>TVR$J>@J_a2(BTy0( zc}q&#UiR30;t9FY?&F5)DC&v)B^d1H6m$8N9jn)6R-^&qfh=#=_RH$R{XWNP?6M*) zeIVkwL^iuqQ?zmLPP0#2%N$c5gB@_RH`64HU)#!;pi^wi8Y+y78-e!6!e>AleOn(w z`vhhsIj9)?eauCDLY+smm7`MOR3@{JUVm5j;vV{wk{lg0MXd|rLG)o$#unudL~?|- zR#IJKY;#26m>)h}MR^Srtx%*z2{9mVEiNnA^E@`s0l^?CzldI=Wv$JfIz^*U_<@+E zg0CkXx$}s&Ib2h;FP!q&1>Au3xE(VTJ;gt6P+dFtxbRrW?C44@5V+Uu7%ge}O7SPR z6QfiiNDjYo#lfq&%whO4#T`OM!?ace47+i37XGbCIES0tTs)2YQv)H6%8fdRewjB- zZ`CVUxzsM~)-SDc@`yaJTzB}w*b>u=gg$16PKSDqMefodo6Sj=V<7-$)FPaxgh|E| zPQJ=d;^~c49moj=vJ|F1zT;v#15gNu!`Iy6<{&`_^Fi0XDkboz*qh9oWuT!5{U+6- z%7E%_QmBeK2x0aH(R$f zBb+3A!%fIs02$AJv;XF>@kv$IWS89@;riN_ zJ&e{a580k+usXg^K?THCGnNB28B;PNojDaNP=hYHz^9@H?eYoTp3)bqHeEjT@l|ZU zj#|FzY!OI1S3d$?51R)GTru0Ks2@M|#SmJ>bYgXmxrF~FA$f{IOWE;-0Op{`&;^w4U*nz?Y^ljHy7{I-|H^I?0lNZ@>fhrjsPx4Lit zO0&~t8IaaB(mXW#Y8_{??ZllNal&Jal}jH4qoRq`Ajw1pnQ6A~?%`;8tuq`)&u5mB zl+QGCd5{O_V$c}wCjGd%p8aT?z1;pu=ka;n?+NYa>sW~@6I$2n5vwt6mKM-9CbzOA zWeDGw^E0+nxW|R)DaOe8M-GmgCmD3^9I~~|DNS6oTT5VT$q5$ixv#jS;$e17unp!_J zCWZ_i3j|^_(b(c9#wY*?*L|*dobfCFT=k`YAAOobtUq(FVXtkZ&^34h{mZD52p@M3` zq(?N3(-~ZV(PyQd3&NMC*lzpE4Gy@UH6L1PKH;nvJLr7_K@`DMMN;?ytT**L#&h}H z4U-5Nun`ezfCGd*ykV~iA~HpQAe4h4BnqZoP<->HV9kCeKCWGNmcH~H|iLDu|&}mhT;DDPXJ>FBHR%I=4 zG>Hl-;J2jZpmz1oX=Q(}q_&R%FOfP$rW=AhG4fCqgLrcIhf|h7nTyI>k7_#;<`lPvpU zL7a)r8+;6uj&zfwkYA^z_q_e((6{&@*hY=BO>G^vvRHSs9vywRDYXx@&Y+4s;Auvu zMVs;wX5TVb;gjYoM!`yUJFKPrw0JWEX=!m6qJgEm%5%TaNboM=w-D5Re zqQ}QI5-C|RBpZHF?jre3bL(EqJ_7>a3QVU7TQO%Tm5^sfI_wRZ6 zIC<2f9O*SzgQQ>4X*F7%HvWd3>!((h`; zA!J!!>aTs*d)t&718ZG13l63M_wQ{YxesPn1jXouq+TEw#%zeH~9W6MS3XX*|r5ksZT#pjfNO!Rg#4Y`7!3~?5&l+U-Xk) zxY`78Zvnns#9B*}315^v2n0T&0k8%iFdaTB*boDy39hrvQD?>@nNX%F%8kYz4}U(n zw|g^rZ7a-q7>Ali&iojq_2QpL_)K0=dO=?!Efpa~Z(Bg?2_}G_Ox~+#5?JeWpPI78 zRjqlqU6Q{0L8tZ=Lg+R>Q+G>Cz5oP<&%^?V85jZkmWP6wTm6)#&Dg)f1!I3lb0L

    pWT}tC{qzrau>anU}d=XQ+doJrRM#Zl%SB6|+Afnqr2;yHj z{5a1z6Aon1Qi4%eh6`IsE>3r7niK3DcW71&D(Ncxnb#4tprARoDncnIRE`ylUS3`3 zOhx8~Fr^{@$f+84bZfJ}xcuBDg9HMMO?~At;XsI?Kolu@6N;Y`FIiC!e_vYPUCP_o z84xX@d_^%GnT*K(seKnLUfMsaA*4>Xh1!%4Mz9lct$mCNQ^DQl!O6E#@#hk2W_uF_ z(1hUDe1gHZ>m~23kQ&)lXvuTa;M)lQ;dYafYNU$de3cH5wLQ7b>KcoB>u>r8G)8YErzO zr>!S8t`LSzugF_9K^irzTzaDY+9>+Q#@L}H^G(Fbs2fEZYMuY0Yv6unN;J2b5iK%< zO(p)&kUK!Al@Ri@cO&2I@KleB#rKn}R$KfbO%CjU)W)deorqmEof!>a1^S$+HFf>! zu%qG~CD?>(=!;OZO{yVS=boV%E*D*e`)XD*O5P4-7OISc`?Adf|Ej@H;KRXd1fQX| zHQ=F72j88Y8qLQ``UUJi)2?9lt&cQ3ocEF(V<0y+x*a4x6XtF749O8dMC(47W8C(ptBBX?uO?LMt7BW^Efc>@pH2 z9Nqgl`$wmHtAIVwVM7ku9|{*9i;0S)Jzo_tePG~p#2zewHgr-IjwC|p5wwDEbd(1IQmbH#|Tmnm0-d zZ>R%M<7dEw1?$=?B$s>_lqxO!WYXuO<1doA|Z-;Sql#eGa2~$0eVq2M^~h?jI(yW-cAnU z;dv*Ug`!3ShsXFOh9>I>yefq|N)+Qd{(QR1geqdiaDgEgOj1FAl+ZX38(hh5c}SH# zUWY5_a=sV<R0#!L=b>VBGgvY9%`L{PxBr5Q-iH{it^c54Z-xvV9UjxY9HO)KCuzvuQ#y zw|XHN1MY^KlL3VWOM%tOmQZQf6PS7!-{gfmdC~#Rt-0(6^MkiA9TlNF^xOF6%pavH z)^*=UJqftaqH!1Wlc1z%oOhaVo=PgODV!(GULq}8d8Z>g`PI+Kwk5Znjf&@ju9nlY z*io>eRp&r`Z{){FWD&$(R3N1r5RB;zdWr+LA19`@P4Bp>l0X!tlv?2-yqwF~?>Fm= za{&NMFiY=Pw=x_(Vi?Oh75yyNkViKK47hv*C-3plJ?gDlO8r>PP029fwsy1rcHQf< zOK97*8za!BK^Iqki*!Qwi`H~3yez{<*Rj-Rr=MR1*&aC}(&=W8w2M$DBPvc`of5-u z?rPescw2HKWTi53{eJv{$SS*+r0ifkF^&MB1sQTL1ntH@Y^G?zf4hB|se1~u`c8oN zHKhRr-Rt75_Sw7nGaMmx({XSr50jWAJ*{iol}}7_%r3cMp66Mi{`nZX>$l_RWZ$OU zM9jd~y!D(R_g*4l7S=xf`O0XArydk;3^@xhw%2Ny+e21$t&?Bludc)_)~ni{#BN={ z7ox3QgLcl2o^P?@_9ScE=GhD&Vi++1u1j~a4GMmQeH}A%1ei{@g>OQp8syw`ZQ6mX^H){*BN1ES8Vpde4*#k7O2CspazH{raKl1CQ2b5E z^7C=B^zn5!F?X;x0dBCt0~y%S03E4ocA;$8K^O4;kvC8=(Oqphx=C`(M*i#(VVEj8 zb6G4Up=tpSwhjfMIPgWr)WPnZ0V5-b8O>_LK7S4RIH6JXT~VglS|%T6)tZi^@?grJ zUX_({;I)k_^6%Y*mOLYqBk{bl2^o6Q%$X@*l~mN;=%nCa-(Zpy2-r3fKzgMwV-r$B z-yj_KTA<5|mVerh?>2bs(W#DE!mizvB@(34#ZjEez>1t#5x!|}LdBvz-wrf%FevyW z(dVhjiz3k3wK~rnT&*IVZPR^hV2`RJb&CTzA5Po=8XTFLEW7BvI>wM`GMSB(oqi)d zMvhCAh(_8@6{@zbvl-D=WsODHKN5WIAuOCQ?&9pFLd|H}k(s#S2&y(`y>|Uj~Mz+(tSE6a>T)3qFJ?l~^cVzP;w6k?uVqjh5nW ziw_fb-oTS{{`FwnLkbd&A41psk>v*;?A-@KR&Si>=I*py0y#z(7r#rGN#wC3aOaar zhSzq_RU2KbQIO*U;?&9+lpPh3Q;_H!94-A-P1M$Hc{XoSYO(dJlo#C%(3vsLF-fqA zS#2Jmh9XJ(5N-=39>_=3+LYPC$!Rv9Hu-~RQS~PT?!l@h#qa%&;mk=26ZCmvrH)Fr zp9p-hF{wDHkRXpWfQc7;$~#jQWVLaZnlG}mL%dQ_)`B#E>|gPPNR=YugLZmmZqVBD zI9VJ_Wg#^9Kcl$Bs${5n<3dZ8F9X(BtNE&Vj_>R@WW~F7J_W zRcPxAO+E-!l$U4GAV2KNWnmh?f14EowpQ=;M>pk97>Aw|D;N%qY^-$C0b1gcm1|d5 z%=9_uszXcwJ^mQIKkQVaKb8}7gDDDgH`6T!%gdYWuEb=a*O_lm8RAJ4BpBF7Y=dd% zT}IqNUxkVcxw$q;u&}C+S(j_Db24ad>eM}qkuF|J8@o8zsZrnxv(t%~l6tD{1_ zm=&Gu|HXX&Zf$hTe{$;n=V}ZVMEM7Z>1Od&RRQwv%KU%Xn80*a+5ay(alk74FVjD+ z8SlRYZGQ{F{}4em23HaM+vO$!EYOq<9-F_Us((ewe|P&=$ovHx5dH&Z@wTw~ zU)^f_rw#r;Jv0WR0jJog|KA6cHEuH<3kiJ>MLRYZHD?J64|O&5FJ`WaypHysx~g{eToTecdittbLjMOI CexscL delta 10937 zcmZ9y1ymhPuq}LW3GVLh9^BmtZo%E%Ik@{FxI?hu?(Xg$B)GcYt8hm z?y9NkneN>+U3p4gwMb006)O;DBkWjBRWaiD(o+XQu|Yg*ZK(Rj^-dF-(vQ)-6a3qEjfNr4S9k4P zi2+hH^oL1gjL-(=l4mVgHzw0H5ZlyJ{Hd;f{IhEMZLJH$#>*1R38i7vJ*6@^V5kr= zL8U{0VuDhjhwyB1;d+@f3_m@1MnM_z1aE+btz@4kSqX*7wrklq-V-koqDKf2eYR+i zY`F*|u8xtT!Q94$2S08olm7N?Y~<4@>wZYF^H`i}RHh53JXOEkX@h0(*c}_l#$^D0 z_F+>mm}b&o7T-$>9t|~GZIo$vJcAtu@7{k4N$Cz4LD-Yg-#JP%JXx&}5v3}e0?p|+ zfRPF}yxP70t#Jyy%VJ-pB3jCRDd!ZYmu)5L-W@DGWmVoPV1g4>DnKOue5FjUMB&d#V~?68K|1mp}HxjDOT_rbz0%Ka`meZB2^4 zaysQ()+Onk%I7d0`FEAt91GtjUWd!d$8)b9q~bOhGaw)OiMRAKV5go{p0RYelqTSgLR;{YEUBKr|dlR}t-mc~DEcAT68dejIyHfrOkLAs;7MDjah_NYe zR>>S-hx>Z#K z7y8hB+hM8Ai42mO_7M85d*3U-nCiM1y0r#=MsPkZ4t1_wpuYr8toStqE}}C_8?igF zU_z36+?TRSV1xU{=`{OuI&Ujp@$^sT=Ay~JwQO);z*CNSvcSnMFJiN!Sv3(pD5%=zEk z^IgP$fx3!?4@Qzn^=FB==eWjKrN_rfDjq`!YN(~RR=YWZLx#e<&kM~?3#&8s9?^M4J!b5-WM7KI3oyzMb0 z6=1<5226^iFN}&}PjUUyQfC|)})+d|Z3b+)w=R2bPP3%YaQW;V=EoaZ-ZmbVHuB=6BqMP6XI+=9%d z`BG#*XYlU*Soko!3lqMVy>xsN= z7_}50eEm7;F~_pk=DcF6a^gTrb=AhfpYY8Li+fdm?+!B;_Rr>TdH4|?mbGaNd7OTs zdtw#GN07jgpng3b8d`FR zq(ank|4Mez@B?I;cN7_$Pv>kwF5;=boT0Hzo-3<&3Z1Uh2EcE8Mh@>1n78vCf8{6q zDo`Gf*jbV28ayge?isZTI74S@ zW&u8=*#E?s9W!xj&|49ReF~*#o7FPnLc6#^^UH+1BtF(x(E2T~0*_F2c421kGG2$7 z2dwA1hf1kGiJ}@ud4maBRDy7{O(uo=v2!8Ssm=yR7OUI2H)yejU%8Dx1)>z+TqBvu zW5*%NYRucz2W^=f*fb=ToB(EqlTbl^vDcoRlbBU&w9_S7?m-P?8l!81A^sLVj4>nl zJCdJeG#(+x=;*)^kjoo2x?u~dJC*z~r2a!itw?KV_10hEkr+j(NmCF;olcAXGL_A6D8@oGT`8uzGGn~w@M6aI@x^p0t{;k*?g=&1(9q{6KbPAgRO6E z%QX~)CWEtY?aWUs(PsGkQD_r?*Eq%O3uN;FegZNgHQKNC?UiXNJ~iWMxDD&B6Yv`s zBIY5pj51vEMFg}%lwiITaNF8RfVRrXAu`t|6jn3|jV54XWoCWHh&VNSW#f+++wAt7*AIcnhp}SO%WWP zqErNDU2UC^A;D6`=7vi)Klnl&ICqBP!Q_NSGYg#Ra(tjbp$(A&H*SmQ-wi7hO>}|S z1DGC2EL+#lmc#$~@FRl3k+2fKZ}ymcks~%0Oq|?L>OdogA3^9TozhshxFv8_4`s0J zZ!z=93op|e&*|2JjLXPobto-*aow1PpZ$9W{F(dg-zX~MUjatB`I!Pw3Mnut}?lGkLNg0X}HgDp(kmC_AS>2h(q zRj=78;aW**3BJV->wDwr*Ac>XQYuY{CZLd)lYx@3Cy8rz`dde541o>*u1;Gt;hG@4haMh9h(4SABWegR^X?_*+Y{=JD%@?- z5Zhg($cjHdQ}<1X(POxgmCmqm>z4R>v<{>~v*fM8SEMC)A<@{NU?7yjz&1N_4tYiQ-EOYpoz{8 zu|r${FF~S_T>Uo~m5%5U_(x@-F6qMZ&?trvig!ME1fy*tI`zC~TjSms@Hv=glPypBM@uYB=G>S&h`P56S=JT3Ft(n(8X}Mz~G-Yt5amLvo zA*E5MW7viNWR26=yTp=)~*CW9)Klt5!x%I&Toj73o zv~h;l7`B@mN6U;IWvTxnGL>&~V!GuQE(qkiKH8H{OSv85H*=UQ&<0KjiW_jGKMv&u zAqu!GlP+Zj3gwgi-daBD;=bK0?Il>FV#0jVY$(R6RoPPc$`jVf z2#q%8Y04M1or2~)L5cPF?WcXE*#pwr?@57r^&cL5P%FWYU~+?v>xP8*QnYzufVrzp z#K4nm|KFa~He7t1zvW@|Y)(b&69qHdu0)vaJGs z`dbPU(-U0j-1U?w4HgZkCswf7OjowZ=|vC4q$8M(muVUzjePLtCkdRj-ER$%Y(X;0(>~lqYoo9mY=hMu8~q z%9yKor1V6ZhWVSvJ3w(VxQV4Y zMW;%qY@s6Hm>g8HW=$Ik4W?@<4C74c&N8t9xl8(Ij^LFMqA|4;(*d_{ni)oY>eW>N z^aELC<+|TLg&)zgu(^$pa2e;xV}3ZhgcngwmI*a!3ad;1S`DF&#Ca}k04E3Y*w>PW zfSfZt?s1(Y)|A?yUA;LOp<-rct7`-&udzj&+6zqtjzz`vs9@oa+LK^7T*{D1xGMZ~ z8R0rUU}MvttRdxoIh>RVnwkw zdZXm1`nZ-cZPw>JMm$2?o)KSD=``b(q?npS35~vYh7lFHiPfjf)I+`#`JJ-upjpM1 z>erutemueo1i-aiI`Nu<^Kv)F`!Y%F z^)PrFqKd3{*@nc;Kg13&Ue_wk@}{|D5qY4URij{Cy#|e{q{7o>z9(p3k9|JM$LZDh zz?h@dsg@xTfTfI0?ZqaS^!hOyX=`854#5=?3rDAHsft_Jv=XugcWsKeSvt!XwTwiI z2;6pk(1-RLwE}=o5sDj<@u16Z1KE$N_SX_NFg`%(owTVR^M}Q-`l(m-dYk4!2n6u$ zLlXrDv^zu?GkWjHJ3g)JhZ1126AfK^<89DJUwraU^z~~i4G%~Roij1W3Re|ek>`3L zVfuYgJ|O-B#;W$z)03cM)bf9b>?0Vzcmc{nqXO#3;A>(&GK}h3R-#0U z^f?l8+9G&cc+bFgCUbI^Pz|~+k#^L=v;TODU4L%h?!G4OPcnm)wDdZ^b!WC+!-tYK zTw-tAnYu7+m=4TeN_BLsVQ=AQ5Jsy{=?0c9P)Zwhopbd!*{l+pw8OfjfwcP2CZw#l z9vIe{MeuH`l19Imi9ySmROIjiQpmje%&6UGRl^BZ%ZyC;Lin*3XV7KI>9|f?@a^gm zpcn=;l4kR{BQXc1XpEdv<^q+z|8RvhV~bXerlF99j;kEYGa)U&a6!Ow9OdwxuL0W9 zXH?Z%JIP{}FcI@Is1#&EdvW|`9N&V?Vnj?qrD#S)DJ7X#qyNj8V#i8!fNqaGTWLB;|c} zSUYb;IYGn`yprA|zRC=m>>WofgmOR~^=%?OsYQGxFa^-Bwjk}P4(F{Gf%_51&mt~tRq}_4=c?urAanULLxN! zgOFmAJ8yUDBDcBTw6kd%m5>9VCwp8ps~M|NJ>gS!la))2a&(~O7*tJSeB?+aETi>? z`(=kb8BPC?EosAO_Nr)vRdhph$*1Q#2C>jA^iW$R>^g8ly{vYQ~wo9CYR#CE}s_=NkPCqM#Uu7_L*hN^*Pd2{8qDZ87gpy4ld7!7A^5;9m z^jv5^@BN7P;tme(>Hz6FNbFKjfvpw1Y)zmc2WSTh{kMAq zO+MNyz0Zux?9KI#xQFe)w@MB2PhynWqkWi^*^@o$^3PwXk=xT)`TS=02_)HCxbZRx zmtonipyX$JOM44p2bPwat-GLoOoZzn25}Z->WPCn!Q%(>M7A>^OHSB&c1?uAs)mIj zxg!E$9dUxga)YT^7)3OLsmXJUZLX3bPi@$)=1qhH9R~6gFAS1^7pFEq`F(NOWo2JO&|M)!82{6be@mBX&D0s0_aRuuV6lsW?W`R>$78ikff-bDp+t&_oprf$k@r^e6 zYS&g}ZjtHL9I?QaU3|x#y`i7Z>lAP zMiTg(1@D_Wn@KMS6ymM&Qp_5#cY*5~uBix1FSral#H~v3%9MNuRx|kK?qo@cScYd@O!>d_~q@u;cJ3KfS5kT*~m8p!a#QNk1ghy3Udk?>!Xr3AG=} zgB^BV0FN~)t}AiEp7jb zjYqYJrOnLpN;NFq|59(db4E@>3+<@f^LBA-YYOCwmh-%9@O~TA|Iy<3)ky+AJb&|jGi$Ek2cwajyqZQ2xNQo!jvdme zGs3(dIpS=zaP5_~>IG3psyrgJPpPhEabGKC|EfqHzl>!{@9z|_8YlTo?M`U%KdU3c z|D*!w!&ojr-MGwJ_2r{-oJWD5feM+}fxil$q*$z>B@^srZNh5J*qfyY8*aZw;T z0I6+cah($#VoJ+|EWgF}*Qj}%!`Dojy!2f9h5D%}+*%-!Xc$*MSP$-4pj}#~wxX9g z49q9Px^J_6IgHE{Ze3A2n+LBl(0sZLDvYfL zAeuD~&ft|A-t{+Yjv5IZ;B9Ti+-qD6wx@`?Q$Nvo?QADPEZmk=q?;wjO5oxU=vv%al70zO9%SI()NhNZ3ubL1cS_{%>5? z98;VLA|(_8PO>QDNINQCy@ou-anffv^%KD0&ysy=o4&HgO%o z<9AKQ+fGM2trh~rB$^J72G-^1KDZ2$=wpj<_76AC1gZ{G^?FgFQp2j^=9HYz$~=h0FS9QCd=S)hOc9^-T) zt&XV>tEp)8SDZ5v_)Xc+O!qxVDIlJ%_~5Fmz~*=Ia0ChH=3`=?-VjXhjLImY9@QZ| zxQoPvv-mtCTgH0Oj`&h+F_Z9js*aPao<6gI_6Uu=IZ$0v#&vZ-m6b+U8N>KAx^fB! zNz9T!fdyIcDlSLS7;!(L+{s*a?pp~;_Z2p0K51SCo8Y%C*lN9bxW2-cF$CNfs_J)CZeCh-91f;(_;?94~FmB;eN6Ts(2)!1wuur5EP ziIj$dh!-7(-^gpXL#gBg3mqmDf?4(^BdN4rhVQb2p?f4a)w|byL{dI6iCxNOnVcd! zc9u~`N^$LRA0Dj6CbuDr!Tw0le2QQ}^^B?OM>az7qGmOc52<&4djr!p^!5SQdk8121E$rcn!rTKURqfGnqG$r~p zZb_-jXy0qBl=tdShK*0L)2r zkrn08*@o=Hley^*<2??J-OX_vxLDiPBTScZs7goA^g^d$1j+h$biL-6=F5Eo?o|*N zK4ks*>d&rbxwT^$g~979E-o+!Id_`se?RSI6$U?$d6wtyF*S%mTTj?8^5bK|N2dp$ z4JSsqe_6%*s!kkIj}o})m1qu(_%vW`Df}bo%WtwtP$I!<~cz%|~cEc?N z&D8oBp43=e>FMv&POCRuC9;t5VL5S8(g+NXZ$BAGg(T26WQx%JVxXuBBMo2XBuI@* z6*s1jM(QWUfK{CfiTR5N30_)7AVH`F+#T)pAv6^uYgxDvJm+8tRGXi`8{%&vby5e1 z?0OfZJVFkM%2Z667q3emlHLI_J=IkBUyBv?wKV7?qe%UEE71#=_=zi)DfYGFUxn{@ z=uGkS{j9P89KFcuf^Z6h$L7u^FQxSwq}5FJYhRx7)sdy;zseEpRZ=6J#ud0R7X+q@;hXnMZJSfZaZnB|Dk%8I%W&}Xs1h4 zcPGnPM@!fX@it~vWARtGe>wq$J64!SW5E~5JXKEsa@qZR^A#>JFoeY4r#{dr$lFvU z4895D|ewUOas*9c@awOckkFk z$-}mDd5_Ey22yLc*6z7lzclN03}g*9k_~MGe1clhkz3 zAnX?sw8Zj%1DoNIK0O7)Vm!E&x=YkefljM+ce~FeY$puU#x|u;nCaHpWB`&cjT!;R zoo+@b!fq&&T0Xa@^<(tYOxpaa-IRJz@JHn1pJFE^h$2zYJ77{MCPq*EEwrv73Mf_= z9J{!TDL$$&$XG*-s_R~KnFf63q__-_{D{tdA$`~MfLti9)`?of@}r&}n3H%L!ac}2 z-A+Bt9ZV+bgGRieGFE_ww1*uGnxDc-T-KQTEQ5v^*%y5Lk{k{)%oe15%?c7O3 z>Z+&g0l}f)+v9nE^r#|{nx&9p=aB80P7ej2b83{gLaw{55{bDWOb!V}U1Sa#Kmm>@ zkvC6vfNNW+l*X9HTB^j-`nmjiKE;p6nS_$C*~H-S#C81*I-!&4nXSX7kmeDhi97xo zanxKK_YvY0Ry3fwS%gSWJTH{(d8hKRXiMwtO{C>x6A!ChWMou}>f{T;0^~$ij>ITH zGW#wXxY7I%4AUf_b0C)3iMh%_;6oAL_7PGQ@NWN|$_9BzMB|E~wn;H1&Hp6N`NkVU z?Kx

    Dz+uL_8_g*)-)*dZwa~$89X#nK#jB<+@u^LHDI%hW!0g^|}TQk=RHPgCpS0SwHDJ zTwXyL0&C1?equ*Rw1A8|t2eD>L?Mk@;0aUYQFGj+35Es-#WJEH8ls@fS{fQZf~NiP zE%+mW_wMP`3&NZZ6DrA}lq$<$)%ls-_;XkC(J55b5I=1BE!8BLd6g|z>(0+I67{BS z_=#l2WVX^K!V29&ksw1}K(DN~e6E{BH;;O~kSvj|L4yEP2{oK0rDpHJrbR^~aJE63 z>9iPWTu%pQ#{A|p51caZqZ&!+HRtL248+OEsEjemdxHZ~Y6T$z&E}y)N1vIl0`&s1x$GVI;t>Gs>d^goSBHhI;A% z9#(sD9-)r!UIf|3v%sr)DU@%YAgib~(L8q{Hj$&YOqT>MG2H}& zFz@Ed|ME~r(OK2h{tS;(670BoDZx3pKJnjJcOTtVn-L5E;DiDI$o{afyglvBJiS~D zOl)loK;5jcAVyXsU}v(fO&<$Jz!uDJl z`eRu1YQ^<}l*>1HkU17S-}ZDW1yvSdrTWka^c-eMXj!f@fc7J&&Ble3dg8xGz^5ru z?|UDmQDzV5=5%V)G(RdA7yCa|sEbEV6M0wA_*h)C=p?UZu-YsaawdcNrDwQA_)c8d zP=loj9n?MNpP&1=c{GYEQ+fKomIT6MhG|53H)}~$s{Vwgv3f^-gPI!77e?I85@M1p zbR9Zmp2whimZRt-aZ{nL+`^Sfp;iJ8$K*bHj@|O_$YhwYyTTloGi zPBo7t{_h(;vCs2I0|x+BAprn_zg#9PkRK~7FgDr7VT}bNtou=ufK&mz46YRr>T6Py zx$uQkoW&}G43rh5J8bUWqVf7TsK{sescIlmF?Mi(dp5Y;zl5%THt(Ora$D% zOukR-}RRnT>m zH2Q+Ka!@}_=?}zdi~IA8UGKuLUG9nN-4a~V-^P#F{~0>wGV6cDlwtwl7pfo1Ne_J8koqt$zpeZK)zcL_1W_<95Pye`bLd>Wnf2IFr zdH+mo6turIy8k_z0tFEM35GJG{L@Wimj1tz5Xa1d|BU_HfMI6A0kk;={j2{sr$EYo8scgHHTbb&5dZD*JZn8B(I5M` ze_X=*|DXK-xw8(cVWs`o*$q~Fa8|}YY6KumHgt|4CIEm5Ai-fIDW|QiD9f&B;$-4s k%3&i_@% diff --git a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.xci b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.xci index 7f9a4cf8..72fe677b 100644 --- a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.xci +++ b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.xci @@ -843,21 +843,21 @@ +rameters":{"ASSOCIATED_BUSIF":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_PORT":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_RESET":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"BOARD.ASSOCIATED_PARAM":[{"value":"CLK_IN1_BOARD_INTERFACE","value_src":"constant","value_permission":"bd","resolve_type":"immediate","format":"string","usage":"all","is_ips_inferred":false,"is_static_object":false}],"CLK_DOMAIN":[{"value":"zxnexys_sys_clock","value_src":"default_prop","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}] +,"FREQ_HZ":[{"value":"100000000","value_src":"user_prop","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_TOLERANCE_HZ":[{"value":"0","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred":false,"is_static_object":false}],"PHASE":[{"value":"0.0","value_src":"user_prop","value_permission":"bd","resolve_type":"generated","format":"float","usage":"none","is_ips_inferred":false,"is_static_object":false}]},"port_maps":{"CLK_IN1":[{"physical_name":"clk_in1","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port_maps_used":"none"}]}},"clock_CLK_OUT1":{"vlnv":"xilinx.com:signal:clock:1.0","abstraction_type":"xilinx.com:sig +nal:clock_rtl:1.0","mode":"master","parameters":{"ASSOCIATED_BUSIF":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_PORT":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_RESET":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"CLK_DOMAIN":[{"value":"/clk_wiz_0_clk_out1","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_HZ":[{"value":"200000000","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_objec +t":false}],"FREQ_TOLERANCE_HZ":[{"value":"0","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred":false,"is_static_object":false}],"PHASE":[{"value":"0.0","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"float","usage":"none","is_ips_inferred":false,"is_static_object":false}]},"port_maps":{"CLK_OUT1":[{"physical_name":"clk_200","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port_maps_used":"none"}]}},"clock_CLK_OUT2":{"vlnv":"xilinx.com:signal:clock:1.0","abstraction_type":"xilinx.com:signal:clock_rtl:1.0","mode":"master","parameters":{"ASSOCIATED_BUSIF":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usag +e":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_PORT":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_RESET":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"CLK_DOMAIN":[{"value":"/clk_wiz_0_clk_out1","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_HZ":[{"value":"140000000","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_TOLERANCE_HZ":[{"value":"0","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_ +static_object":false}],"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred":false,"is_static_object":false}],"PHASE":[{"value":"0.0","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"float","usage":"none","is_ips_inferred":false,"is_static_object":false}]},"port_maps":{"CLK_OUT2":[{"physical_name":"clk_140","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port_maps_used":"none"}]}},"clock_CLK_OUT3":{"vlnv":"xilinx.com:signal:clock:1.0","abstraction_type":"xilinx.com:signal:clock_rtl:1.0","mode":"master","parameters":{"ASSOCIATED_BUSIF":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_PORT":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"st +ring","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_RESET":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"CLK_DOMAIN":[{"value":"/clk_wiz_0_clk_out1","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_HZ":[{"value":"28000000","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_TOLERANCE_HZ":[{"value":"0","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred" +:false,"is_static_object":false}],"PHASE":[{"value":"180.0","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"float","usage":"none","is_ips_inferred":false,"is_static_object":false}]},"port_maps":{"CLK_OUT3":[{"physical_name":"clk_28_n","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port_maps_used":"none"}]}},"clock_CLK_OUT4":{"vlnv":"xilinx.com:signal:clock:1.0","abstraction_type":"xilinx.com:signal:clock_rtl:1.0","mode":"master","parameters":{"ASSOCIATED_BUSIF":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_PORT":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_RESET":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated +","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"CLK_DOMAIN":[{"value":"/clk_wiz_0_clk_out1","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_HZ":[{"value":"28000000","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_TOLERANCE_HZ":[{"value":"0","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred":false,"is_static_object":false}],"PHASE":[{"value":"0.0","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"float","usage":"none","is_ips_i +nferred":false,"is_static_object":false}]},"port_maps":{"CLK_OUT4":[{"physical_name":"clk_28","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port_maps_used":"none"}]}},"clock_CLK_OUT5":{"vlnv":"xilinx.com:signal:clock:1.0","abstraction_type":"xilinx.com:signal:clock_rtl:1.0","mode":"master","parameters":{"ASSOCIATED_BUSIF":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_PORT":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_RESET":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"CLK_DOMAIN":[{"value":"/clk_wiz_0_clk_out1","value_src":"propagated","value_permission":"bd" +,"resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_HZ":[{"value":"14000000","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_TOLERANCE_HZ":[{"value":"0","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred":false,"is_static_object":false}],"PHASE":[{"value":"0.0","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"float","usage":"none","is_ips_inferred":false,"is_static_object":false}]},"port_maps":{"CLK_OUT5":[{"physical_name":"clk_14","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port +_maps_used":"none"}]}},"clock_CLK_OUT6":{"vlnv":"xilinx.com:signal:clock:1.0","abstraction_type":"xilinx.com:signal:clock_rtl:1.0","mode":"master","parameters":{"ASSOCIATED_BUSIF":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_PORT":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_RESET":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"CLK_DOMAIN":[{"value":"/clk_wiz_0_clk_out1","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_HZ":[{"value":"12280701","value_src":"propagated","value_perm +ission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_TOLERANCE_HZ":[{"value":"0","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred":false,"is_static_object":false}],"PHASE":[{"value":"0.0","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"float","usage":"none","is_ips_inferred":false,"is_static_object":false}]},"port_maps":{"CLK_OUT6":[{"physical_name":"clk_12m28","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port_maps_used":"none"}]}},"clock_CLK_OUT7":{"vlnv":"xilinx.com:signal:clock:1.0","abstraction_type":"xilinx.com:signal:clock_rtl:1.0","mode":"master","parameters":{"ASSOCIATED_BUS +IF":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_PORT":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"ASSOCIATED_RESET":[{"value":"","value_src":"default","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"CLK_DOMAIN":[{"value":"/clk_wiz_0_clk_out1","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_HZ":[{"value":"7000000","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"FREQ_TOLERANCE_HZ":[{"value":"0","value_src":"default" +,"value_permission":"bd","resolve_type":"generated","format":"long","usage":"none","is_ips_inferred":false,"is_static_object":false}],"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred":false,"is_static_object":false}],"PHASE":[{"value":"0.0","value_src":"propagated","value_permission":"bd","resolve_type":"generated","format":"float","usage":"none","is_ips_inferred":false,"is_static_object":false}]},"port_maps":{"CLK_OUT7":[{"physical_name":"clk_7","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port_maps_used":"none"}]}}}}}"/> diff --git a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.xml b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.xml index 44b0ed4c..cadba344 100644 --- a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.xml +++ b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0.xml @@ -1780,7 +1780,7 @@ GENtimestamp - Fri Dec 24 15:51:13 UTC 2021 + Wed Dec 29 10:02:09 UTC 2021 outputProductCRC @@ -1810,7 +1810,7 @@ GENtimestamp - Fri Dec 24 15:51:14 UTC 2021 + Wed Dec 29 10:02:11 UTC 2021 outputProductCRC @@ -1829,7 +1829,7 @@ GENtimestamp - Fri Dec 24 15:51:13 UTC 2021 + Wed Dec 29 10:02:09 UTC 2021 outputProductCRC @@ -1848,7 +1848,7 @@ GENtimestamp - Fri Dec 24 15:51:14 UTC 2021 + Wed Dec 29 10:02:11 UTC 2021 outputProductCRC @@ -1866,7 +1866,7 @@ GENtimestamp - Fri Dec 24 15:51:14 UTC 2021 + Wed Dec 29 10:02:12 UTC 2021 outputProductCRC @@ -1884,7 +1884,7 @@ GENtimestamp - Fri Dec 24 15:56:18 UTC 2021 + Wed Dec 29 10:07:30 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.v b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.v index 6af62238..4297ba8a 100644 --- a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.v +++ b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Fri Dec 24 15:56:18 2021 +// Date : Wed Dec 29 10:07:30 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.v diff --git a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.vhdl b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.vhdl index d440dc68..4ee4dc1e 100644 --- a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Fri Dec 24 15:56:18 2021 +-- Date : Wed Dec 29 10:07:30 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.v b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.v index 3d8e77de..febb2ebf 100644 --- a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.v +++ b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Fri Dec 24 15:56:18 2021 +// Date : Wed Dec 29 10:07:30 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.v diff --git a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.vhdl b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.vhdl index 7d897a90..a0296a85 100644 --- a/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.vhdl +++ b/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Fri Dec 24 15:56:18 2021 +-- Date : Wed Dec 29 10:07:30 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/zxnexys/ip/zxnexys_clk_wiz_0_0/zxnexys_clk_wiz_0_0_stub.vhdl diff --git a/srcs/sources/bd/zxnexys/ip/zxnexys_mig_7series_0_0/zxnexys_mig_7series_0_0.dcp b/srcs/sources/bd/zxnexys/ip/zxnexys_mig_7series_0_0/zxnexys_mig_7series_0_0.dcp index 8361adf276044df62ae657383e5c0d72de8d811e..1d482331d5a56b2a823e891d1ba7bd5f8ec7ec82 100644 GIT binary patch delta 1755496 zcmb5VWl$VZ+qH{3!6CT2ySux)y9Rd~+})kv1b3GZbZ`l7!QCwc`-bOv-*f7#I)Bce z-K*Dq?KNFpyY@`a+*A7KyBy>PP?3j(!UO{Yg9FP_%~vOHHp~Hs00X;%1_OfyxfbTm zOy1ud($jR^)>tsZ3n%Jl|NaR0$r`a62F~U!>pX(EM;S~V-w0jFnKGL43^pq=q zwO~tg`dSO{K zUuP}C>F&Y;W9BW+<{(Jm^k7MIWcnw5&U0;tZub}B9^nWVl}iLZiVo^EEp&iTo1IWDNGGL(Cg?s{q^3Th9xFeNTzK{8YG+C|{hiS>h`Miv-euheSsokqa&rHJno zF+(Yp?PV%`M{pW#C#w|TDwl{6a>{;2t@km{M~hd&)@kATEy0F#t#4VITwqu5_g6Yr zGnL?9R%RIvZY`BOtpUAj7dc>(g;~GqJNnsab}HXlqg2j+RoJQ|OG~I^JEy(TGEZq1 zToW=T7BgiS)EkU0g-SM{J(PnXFL+CPFfOBT?S9We5^-l@y3q>;T>MRBi8C|w1+*vK z*wGi}$cr|^8CMV_Vk)Y)-W>IJ$}c>l9FtCTyDXQ3e?!6R!_hsfL{5NL*fz^NW4LG& z>oBOP!ETuRA{*Rwvt)!{L$xWBVJqCysM2-@(=14C6Utd%dM<@HDT!Y*nc`SeG5ch~ z54w-fh$V!BeysWe%`Z!w-OhWG6I^)w7=gzlh&8i`p|c3H2=`(9qe zUvDyA-)|iod*8d=*!c5(^L1VyZr>B9V`qfl8+5lF0#^hCY5`8zxx_*n?@16(gSV_N zV`gxHADDmyN1WUP;wRyncKXWA>KTct5Rvx+XtIyP@G9i;+{l-Uf2OT^H2@l}Hv%cq zmcG6Z_O57v@eRZhOs?L~m0O}qANWuynZMWW0Q`?rN*pR)=hbd~K zz6RD?@Q4-r1V9jqSK{&+G_zShD_KgqILV*ytPt-HE#ByGJPvU|N$t3tqQ6!KCA^dY zZu5&r2-+yzfRVTB;%_)!iDZ95q-xxuIvxfyz1@9KFgVP9kfS?dR}W8R;578@q{Dp` zr#2D`Snq7U zaC1$IT?N2%nxtK*-FWr#m6CiaRyP+*Zn)>o9wR`(b@J=nF-Cuo`&0iA8t-yDYhI7@ zi?L9Y^TZ1!v8G3EW01e@DC7iU`^Q5l94zz$C@!gjl6iAM?O4Yy7w)>rrTHJbUZD5C zu?em96mT$4H?Ijq&2=_#xCcr{_uk$^=<09LU;rrnZHSP?HX4Hu#w3P!wl9%BKkSC^RG<++DmlboeC6MT+i>LG&2C zHf}hWhfLY|kY|m&7@zJEJEoakdUcYEY;8&`8yDv)FF!qzsc&4RWFmZpy`S?82M z<{d=r-1cC`a`gc;W0w=PX7Ao6@5l560lZ>$1af51O?|+hx)00#CL2Ps2EG_Rcf~F_-#v)iiC$9ud#37 zc#*1Lh;tNi^pTucY`N`v{BZypI%{o7FWUg9+vy+LclRco;+9)6fpT{CusmI{4zRrM zFxp~lpO}eNd_@G%rRT93ZuoZrbOc{-TJLV(Pc8?|1a4%}Sh(wr%&MRBS%j~-UT z0@nfq4BU;BXUa31&BsgcZtq-g%G~-_>UMb&-|1~VxO(~Wr|RdmT)Mr}aLy8!xnq?c zHIEMV27T9kHhp}$4VWEM9A~zT0ERui#rdi9Z%MD3Nyo0Q<@h~6R4OxF9^DD|nv6D# zw;B0T?_7=~Uq}5uq_3WEw!K2GEXBkH65tZa`H4ouMjHte;dfXYcUTJ)n9LWbAfRy<46ry6o_VY>_0V<7^2E10buf?O(YR) zkVuk~yP10n05UyA%5U>1Kw}`W`*>kr@2NJ=&J0?r$)W7f^xEGjF7RSSoH;6qmJ``E zFqJ}u!v%z*cUHU|25ED0Nt=6nmRn9U?kFN zJeo>}qs|4*7l1)6QsK0PQi+Vrim`iq@yad1?s@pmA;h0sFtU&t2tc(q;4w;dOPT9? z@=FWf9=(og7KmF}xKpcvZlfDc7ODQ|!t0kRmdq(AApUUELztt8-_BFEqGs1{gX!gV z0#Ncg9ZCKZwWpYtH~M1Z?jQK*nBW}QLP!^G!5Czh^!m`Jd_X)#U}6PTIZd^|?m@0a zb~*u`i`kqdgR$2#2RLvSk~9HE-8sHKE;mod?lTJ(LB%oAlpqR9U7?cn(Mvw@;5;8r z-Q?P$##3L>xu-Se=MfAa#y38;oS?N`yq>=9LU6k69X7Mq9^NpQrOR%i)86`^Q<>=R zW@kWX`^XC{sFl(?MO)()>t~!Y&u0Wk$S(mkI?$t6VNn0C*4JnqaBpMA#V>a>ZwB!Mj<(kQTIq0^UA*=J-W=8 zdo?;;OqKT8HLTcTKz^{0S4lQESDzf@0v&{e_-f~M0h4ku)6>(?ay-Axu+Li6WmY?C z`l~u=r&E1Ok`gS{xc_lQ?&V30E*2%~Vj6~fzzGYA*5o#^Q-k8Xlm{7ZUt7i-te!fi z>$c*Rx0TF)^D`rS%&wVc$JLL71$UK|s0tM1_m&J)R#AR;%*(rM$&`WP0D|%t$$tj!ojM7~OXnJ(Yq3#EvuXN=>38 zx8zK2nXZ$zNK8%v_gm7|A!-BpOY)25bXqt8RVTa%Lh}g0+t2XD$s7Hc-@a&qDd>+Q zQG#})^w;DFCXc^0Y-B%cct^u{H5FH@OSbD$B#GuQ%ciiT@Y7aJk4ma%zlG5FD9AAp z+mQ3O7qrsy`hQmhZbN>PyENK3B*5TZ6lP}k6LqH7XPbp#^*vz3{*IiDn)UZw4#EB( zfb^OEnLIvix;S+e=o2nv?f>u4KaexP%_W>RXMTy=Nm)J?diLuCCNKeh0Px7i9QfFh zaMeignic9aOd7=trA5%i8d--G$#RIQ=W;wu;HyROk2SInD^hgQ)MVK7tJ_ySm#@PF zQd|U7jfzSFzZ)%rL>*Evwj)Fxl2d<107M;CByE3(?d!xd@Zj&c2dyN`TH)k$`O#H*CUwP8KS|C^mP-nU`M9)-ydFHj zf{sLF&w^qOmPj`jA_uL+?8zp$T-wQ;m$}?Jb#?V@C__YD0e+rUSdh5HDt09V&SNfQ z9uUhYGudI{2ji+RlQ3G*OkR8w``SFcCm5A}Lo@hIb=`?NbD^;DXnIRw+ay=bE7)O@ z1Z##*?L|3hT=3Ih5=h?%}F8`UwgV z8&7-qr+agWzI)4z+JxPVG8|Pu&#n7RvOenztnnz_R8r*~Z)@eLWglvjE0Z=>Q#al%v%88% zSXgLiSfK6G4Zg=Y;shv5ayL2`TKlbOWi8pi<)ixEPH2sO9S=XTAG*?fa?LmH4L)ZXPUJML%>Y~8L8Xg!p$yNRPY%XmRC>-m<%tOCXp?j&KcvF zSj!_z@SjMr04sVvIC0@#;g%6j^>U}vZ=|>CQ9C8b9~p>aaf8g7VGgA;hgW#zNgj?^ zo$N{DgmA|%R16{i$kj{~(BiJT|4Vgv{K$KF+*ZvGZSNywwj|{lhxRpPHG6_u_#A4S zA_cAm|I4aPgIekbz*D*4kb{Ke$RJ?9=F4Zipa&?!G**A%=V9$vy;{Oxs!7TyyQ&eSL4Zt zgTc&~;jX)o*A#(sPHl5*)K2j+J=2H{o7HCg5UPqH+e|{tPT0{D zb9^V8Pvm5@PvQ<3{o3?;j@A2;Xt6Jh0W*+qc0T>9M%$xHxw3(501UwmW+6cba@0i! zBMRnLeThvPfSy#~5p=}8X7qi)lb4uW3pKk#@82vx1~zpd&b`Ye{VCTNPNCdY&0ium z_kRY94u|)HS3>zo_I&wPAHi-=zq~R31~Aj8VF~dCvo$V^L5&Y(pdUeq%Mvfwp-?7U z`Fi>bWh)gwFaEXTn+c|5k!}W_+)K~gy#o64-d{cW0R1sCfmq4Sro#Vjo<80ucpN=k zmB#J&fQd%0oBa#QH=_X9(ISDccQ0c923j7A-Uj~bDk8RR|1tl?q~wZRg6kw7lZCf0 zx+X#vqWl(qxJ`A>NgnH@?D$Rl@k%6)z!Dt zxoCI+fLJGm*--Yt3E&Bc@BI&Q|HTxrkCILfWqT=J^}@5+{n&-l|H46#OG53OC9FUk4tD_nuk*ia&%G@i7wZx9-aDJ7=V|EPv120>9=_@iclvS{!@Mo z(|Ocm0G35t8b?|7|Ht|Q2-ErUAMZdV>fpavLYPhh$O<2Ey?c1K@@hyEZMj}&pPG3y z4Luf`|8@bM*&3Nd+=kV3h*^>Q(tZs%~?ZYdSULTqUG|3(u)=apW+x?6zOAc)hK#N;I+;4x!+7OxY(#JG zW9LS(yWU`}(0nAYI{JTMq$KkAD#%^EJMXwz$eO@yM?;2B&r9?eFufZ&Uoe?Dw@9HkDcI*%Z(TwgddnF94pIP9zt% zjhaEQm=N?M7~(Usn3lnZVzOWlgmY+8I{5mo922?0FtlsT*$&oNZfJGKtfGrxkC>O6 zGZsz_ZC#{xgX5RF-Cyj(57!7YQv;d?BIO-KM&O;i9WjUln9GCoP~5QEE~1&czTVKA zhkxjpCe;j3>RHyI4E*5hcIh>^o-aC=;C}7Qp5yLH8U*8kLqCGfH%RD}EY&RvZJEws zba5LP>-O1xrOsf>q+Vbn0Bm-0{&=|c8(tZ?^}N75hnR0e4K@`Icoq7q%soE?HSg6o z=2mm_%@io#GMBSrPhQe#2sYLzh}zXLE~l zz5=@6H64S$BJfhmfXg5RW5)FqB{Gj4kXSM=O^W{NRa=NBRcyt&06cZ~61}^lm)QKI zt@4j`x2dP`nOXa}uh=PCzm6E1{G-iKY2ESL+Ls;Z5{NtQM>LBAs^R&0Zq3C4Lx!q1 z(f$gdRR*oJw3YD~Ee)OZ_Tth*O|6zb7l6c?mr+o>(FLCD4oR)TA0ECB&aQtKW;x=nF1&v1-8sFX+8hw3 zn7)?MCw?i*PHG7K3TR{4=yGUjv^_;liA>@}8FatPk8RcOTWMj|FvTN&J^g_SQj2SO z`RW!>Nt4j>!n0JKWuuNcNhs@5EI(&{II8Nzr>eqoSHhhYfdD|T3XFSm{{G$MaP+2Q zT@&P+3!ElkVNSjtLytP=NYZ9Kvz|WuIge5MtRQ2(SB*|4ZrMO>?~2m497!kU6WbwN zJcDATXP&U`dMX5keb??vDmaA?=Wd=?yPp?tPJ~NVSG!LR7F%da92665sbMVcR&#CG z0=W5^YyezY%FNaaooR>;3H{teE_NH&p?%!@`|-afrna zR=GkD2H1l8wWT|uwj7J+zc!sYt~s_SV2WiLm59p9hxlwCkQ#}6`iTEvXrm6>xCK0Q z=t;!-=}m*<_t9~tkzHO=iQc9>@0Ot~nD8JNW&tczU%(Jko22&P%F(5Yz!h>dMO0oO z6k>q-b~NEY_xG+Wi-cP1?jHU9bxe~xq`Xoe@kbVssIE=Y-2@-SD1XH`e*&48D#1jE z{wrShCCMa~=}I^?L!s#3wZQaF=YUzdmYO;$oAKLr^4as{Zaa~Mym(F}DV{~mOYEPk zfq>p)tMz!3JGQRKCY)7F@8)>91|M9b4JIoa6lUQ1MO$}HlU}4RTqI4H-y@tCWET=z zJ%X4A3(eEL%4Ly?XNx1Jd*jua=#sQ(+mi=k9m#U+r3lI% z5@S}2QJAzZT%rmbx7B8d?3v`VRqIkPFTlS3*J?85DC*hKUEfvY%}2Eef;Ja@YbIIi z=&7zGO%eU#@S$4V#*`l3TV9rq#@FMGugG*Wre)?TQ*|R+VQ9QxB$aN1rzXB8H>*tv z9&#i22E^k$gz(*=Qnp8gUiidSb?Nu4lGvKvBaxCxGYlLGNK6R=bO|N)ovBvxA_0}1 z)ZEpfQ5)xoTt}o;$M4&q$K1m4EKOs{-QFLAO?Q^Kf-L{_rSeqv6 zHc?~ojV5kH#W9!Z-#8@piL@JdQB)<{d%56?cdbK`1iGyBxOu>DLK}|wW#@ImFyY}4 zRYUI*5m-~GNeHB-6h-KUWKfC$)=^0UlICc3juBdfVz)@~l9iqCdLD2<3=Zs~!$a#u zQi_=wov@tT0?o)I0l`nu^AkLe1A#zY5SYsT30i%EQ=ec?r#Q{?!6RvLXEoUs4X2~$ z(oT4A>U#56|9x=IQ03IUlbGw|$OR-J#e{PDUjS($1@^xjycuCQW4r4)*1{ueLB5f@R|n~W;Pg3L*LO{1zT5NaIHgp#db`hdoIEeh&;)@q zx{`(cya`6Wv-NrnYfhf-a^#VbyY4?Or#in089uN#1%_0TCGOs?K178-sOQcAL>W(j z*mbdfW@6$c{o>IZhRDpWMDw$AhWelH5M>~HmWZKj+K-HQ##RijO0q>p7YxI?V_* zc3Q!*Q*;d#x||~#!z9m|aVf??7EM-wQXm#2XI0Qq14Kb6f;XSOGIc)%X&R(b{e-_! zq2ka5)5Jy04K(s%?^x0-cg1}?ts*?6`mZ`#QyaMzcFOZy^e+nVk>z3J0b+Q_)F{Lp zjjLpx(FYmF$de5cPSTDh6Oyiwu}mrWD;~)kKf7Z0VYrMaxEt=tI)i(0fbq3ZLJiHn zseOd>Fcp+2=GA4X350Zn|IB)4vceaKiuMmNsLQz9UemS`HoSLLT=$~IfvInVUjg?4 zp(9%Iz^PiHGH$N*&+^JCZD-@6x~qA0#r}v^VXwP88lZ{Z zV8AVV5}dE7>)4UJDpDXu+D|Fd7(4MlwL@gBrtQP;e|xA2iN_poCu^Ige@Lsn(-X8j z>(MJr(B*W<*FIObrN?7`!Ur(WZdXaw7Mr^DFNi(!Z95b>{Dapy$>}1M1$R83z-bn~@ zUq8#v_nC+)W6KPN#*=jpl>S*H|DR&Ej_(~V$@U~*Xg+ra?AfzC$Ede3ddHMI7Lz~6 z2IjC?q^}~njJr_s)=&|Y&X96t|CyfbZ~Yk%yYH6^NdK>rurbqra-7oLI=?DJ} z7owI&Ir>`_ucWAylCLB{J)~8^#Xe+IL8{Dc2B7NSPyF%I7n?)8rb2{$sOt+zFpIJe z@!^0(`v99e<9GY#hAlME&kl@@uMJxm6Ej=6YJuI|Q^V&?5RHExrMG76x9TUi-;RSQ zyRZI$h69uFlpmJ;{6b8v^q~9Buvn#h=u{l}GF%bKNGQ0|kuu|j@}#=4;F;V<0pRLN z1U;A{`P>@x5c>R^*Ac7JMjv$_mXT{1WTK2XZ$ykG^*d)XA0yIppRVmxC;u^k7me4* zC<#>G+5Sk_QQ;aRjJ%hQ^QB5`#x@&aIi0{|=?v@eUIuzov(fF~N(`^!>-H*nl2I;PNL(-%VMYqs<;*Ia68X(a zcsw#QzubYj016(f)=4t2(pt_z6klg{{9H;>-nh(BO5PY1G;F7R0}YU%!PwOSG;GtC zTVnfVaO872S{c*i1^^RdR+krXw3O#&v83;9-8_6U*bu;pS0(1nL#k3U!B<1MZ*6XyDDg=-y1PM8KW%xx23U&u> z&htZUJJ9p==VKiI9dnvZiG_t4#Ip|V^yGN6y#E{P@ z)M$Ew**f~Yk7)qwPC z=PnkqiDs2;Tzh|EqhQ@nh%-d$Bo8GJzf|EEa*oucyHcGqOZ$DufNOfGGPfVzDLNcg z=10moX&6e*1-@u{l1J`ZZsXiiKu92M!B#bZmR)G)EKy#AN06*r10!u=Rt>Vwei6t| z115(VEO6J9#S%&$DE4`}tZW2-=teBL#*9e-t@5{@ZFv3UFY8|mz%*FDw$FA_+QhsLcg|E+t^wF^ATZ^|U1s8N8 z6Nep~Eq|uS?Y|?g<8)ruGgy;=toK=KsHDHpa{CaxRy9>5?XGAFm)qI=?@0o!m*-Nq zX@cq^PF*sGgcy~0mw-AEmsI>q75{?>e#}VLjROWRXL&JY^9U2w5zr#~$pKmtQ3UP+ z;zxT(i)wMWVvCsO5h{YiS)>}OtX6P~8X!v(i(_yf$3yUUTH%9$>0e!CjEKSqhEIVG z5(Kn&ZVUzHCpAY-+4&FWjLppj-IksSR&~Whe9UeD_BhX>&_(#Xja!Wtcbc}|1hkQv^=;Fm>>7!y{Zi>8Oo!_7K+LEkc2fc^ zPZQK)0xy|_%}_*_WhznDNb!fa$!M+&alr_>if%b*O|K3-1g-H`{k3j{?oKlSbMw7`H1AqH1%vcUc-VnWe?kMnMJ8HC$s64-*A`<5orFhwxCt~p zv5ivQ*)$6r8py|ajtPcFZ0~hKd2U)X_7}uLMB?N=f#(A)qEYK_`$qn3f`hwdJP$Jo z^pllPJv56zpPYlqd9`+34sy9$0;HXPfX8ZIq&=w^(V?oAJ?i!6JOib`K{m|wFnkj- z+k?tkg)h@J)`9_+?=at#*v`>{6!}2yO97va0*-hKJrO?TJOHv$1SV~9~y^)XKS+5&6fs%uA)A7 zQ!+yv>iqMzV1usUKKEXUu7Z5`CVULnlYjr_A23e-dCmhpja*M!wi(!>OZ3S$e=6~< zQYkUzKO!1Yxs)oD;)Al*QO3&_wz#r~RJoHKEvWMy>}fNVUIYfi!jz(g+YFdX(R2pL z@!_(VzP8cBI09#lSYzI59JK)5lDW@D*6`LcsnGe)N}WCQg{m1_9pL*8$0QYhb$_Z5 z|D+HyY1NDuRMW2{GOwu(j2X3)|AnljuX!E(8@mb%*M5S9V>Tm5^HD&OXH z^dpLb+bCYmTQ#9WWxf@4{b+1M5{LXI%LBBlMqo-_6XP^A|Ard@vzBt5wy1?wL)IF8 zIyJI(N?c;zs`$%L%h2*V_BYN(I-6G3flYBE%Xe2S)lQTj=Vn!{EMUwUNDsfRIC1>z z(~G$Mq^f0V>1sj0PT68Z3V7rU^whu(WU!b266SMsRHF47&)L$$1vYo{>VeVBrIOS` zB{ok~4VbG#-m(xwdkfV_d?C8#al-O$%AxWj=9i}@cMfw}O?oj}*v+)QlI%Wvfkx$Cy3@2h$kSN6xKDs+B010E|{i6)0{mjqk^Aepo)V ziy3KIkNV)7{7xHl>oi>)YyPpXmo2lK1Pk`FlkQIf;j?FZ8m1SKViR zbNMrg4MB8p6ilj3HghnkNj_7)Vs9A|-|X|JjJ?V<_2TVM5^RKGv_NLRHt0ew>(hZk zj^WT?KYalBgQlt9VrY|(?BGGu(uq>|Z!lNrYm9Q_>1@jMJ>_;SHWZ>6ZOUw;LbUK? z=xr?L@?x~`CTVOe>8oP2y6`~nF-)qW^tqWlP7pj$2c?H+mf-*m_G)O(FP6#T!G#+o zba}=8R>fwh=J)n>$))Ksralj#P%!uU%B*W zmftJSiQo~M%0ZUb^BF`q<1)yK@sv?X>V6jJyk-$G{N9k>f`q9lhzyV5x==`sQ-E8E zh}kxl9$ZZ4K`JU*fcys-E{%8!5nd|{np&j-nko(F?YaXW)+J&pFOEx71%ZZ+3D}o~ zEHLm^DPmGtsZxZAsqzekg7zT^2IX0Q=6z2A4-piqxhh_ zlc+9{opDrLx^XiobZjozh2ikBdgQMxH6B>YYcOqo(GHNZzM9@h-Lk$|U?-X;g+_DQ zH+cHREd15*P9zAu2Yt*%=@CvDqKxv!_$($mXLNO~ z$PQ2FtDcl6n#)YRrx2inP%i?;5Q%)+#f{bdQ*3`!7?pKKOlShfVHU+&jdt$E@*){= zTh@SUvtbQ)S!17;-b3nZMG^`oCJxSv}8;);iFo}ZKZ*uRooiB zXcT;bOB=WEWatJL&4A2dBiUsWTkeY!frX+Yx9MbfBR3od705md=u*plVWOadDX8#? zi+;Mdvdew`Vz2-xy2AglO+M{;#pOPCaS*%-a{s*2yNV7+ldiVYCeTK(02XPJ=&XC+sk5!`N-Qy~(g; zaml7?VO~%%8DNV~pl^T#VC$B(=?cLN{(W!fX6blcYrR63;tq)3iL`2#d`<}&7!6UOm55e zkk1<|Eh~`?Sl(RI0m1ND3DONvUd*^U%4!M6tLfyvBD}@c>Ipj3I-+i(@U0CAsu=Sk zXhqiQ65pLgmSV%qg(Cp4rSF2dzYBkXQ@+XYJf<`}1%>rmr#7hbeAgg^A2pgkCrYw< za+r5OfK4+Y-&v7&-GEHlD^PS**a@09qag5;we=H`TW^-Nr6o{d14S}N+n~ru_;E$k zghR@SCOe-~2AqSRn9ooJlsbz=|GvaACKS*MHvh{c;=Z;3 zW!DA{Su16-w99S{jsdTle%{?A!qTu)NAg~^lpd&|X2_X}p~Io2Z7~nQ&TuvkO;(&p zW+;o7a$1$`^Ak|q*hR*Uo@J`5%`}c0fO#V48mFN>)dGPXzlfFX_!DWO%at_jxI{)f zMFjK;he!=JHFaYK5LP-%>9Hsh#FAYp=Ol}o?4&`G<{pw%2rPq5b+6;h|7g@QhTeZP z8B0F=|= z(bG@t0E89sg0S3Aj5G*@g?(bBAWXFjglXg2*ycx`g0MM05LWkz!H0sd$xjT>2I5@0 zLEN%5h=aWXaX0)R?&l|W6P~dYPE$~M!IX4#4@2(5KKw=@L|^!4ZdSl2T)Z81-LALY zR2ma&XCY8pTn)UxbK5Swo;TSrOwPC}X`&9dD8o`3rU+!@$r9fcf((~*kfF(|iq4&` zoJwZF>;cHpH9nCiM6^(>xGTPopGRMXEKsW!Q-L4B5Tz7htGJ!eILN0nULzIH@uGpd zccVM}A|s`+VJhkg>a?fGE;?}0oKnNKC;a`>G^H5EjPU}oG$jYhtz%&T?gWQx;+|rg zF)@kmDBLuI7979A-C2Z=FpJYcCZ;veuvJ0XKM;UxpT4b~g^O;F{HA;C93-#0z&uMv zUg?f2N9Ruhq|cDBPKM}o4WXd_x{`+>=H~;cYn{SyN%{DFB5wRga}t@ZoZ?Je+3x_B z1z4b(iguGFEzMfc0v1T4fmg7mP)^A@rxF0?8DeHll*Eu4c!h7=_fV2N!l}sfC%Pt4 z0T?rJme3f=cJo%v5_aax;8j z++M2L9%MXG1`d1Pezf5rTh}4+h-av!0<13IG@H_L3Ypl4URk)Y-$FU@CV}PjD|y`5 zi*+?c%_(FR-x#rwYA5d}rL{ibl40DM8j-k;q_tY_9C(^Sro_1?P&xXn1fXA5+Zdp8 zhk4~Uvlv-zwI?h6Ly(nVscHdhMxngCoM2o>ih3S3KW9rVf8r*DtLuv@2sG=+0EBMh zdN@eFcfOr$gBK0taDWauvbssTewYc=-fMz&Y37B^af4& zn5*W;&J`GcHD}$U#YejMD`y2bB(DYx#=+d}+n}rz5bGVR5`U8>fmHN^LX9vJLOtK1 zs7{s%>Lq*2AI(^ekNe zXpaf}(J1@jX@J$7xN=ow@uYIIozG-)Mi?q7+Cpq;8qg@>Y2V!*q0<0TieRz@^426j z)NI6)zMDToCq;ZZ*OnwdZUsP&`YUu2%%^i~0dnv`j`#<3(m#-s%x*zLs}3!mwZdW$ zrp_LsYQa&3Ag$;UGQE!DY$xGxq}d zvooEp3VgOXkEwz(E|&MnlJ~}r#1u5;cO5CCBgx0b>T~*CTE+^QW#aIX-RI<2jPxT~ zGEdo&1J7sPh?;*y!gaufYaC)jZvdF3b>mZgZT&t|&S=G=m1=--=3O;jgkA3{l~%G% z+hc0FXD_IyLaxb&tzV%H=P>i+9lU8!jDxb%RBYI4;hJ>g$i3ihl*jb| zul~*DrrlqgPp8N4F0Cjvhuxd_T(*hMV= z>eIfQIGG~nIlBEZ9Z*OlTdTt`fS}`3m^dM^us*DKU}#x6>Pu>TWstUoTTp>PGJFQmcBog{|+|S5r57{-<8S%~a{x(GphWWNZ!M+T1bGrN`c zxdx|7(`|*pJ8qnX=I6h5o`D%&KKG9lIr+haBhEtsyQs|{J)wZUJ z_x&$mXV`#LqsadOH*nQ>%keMpLXu970$Jou)M~CBH1^mOL3 zoS70^(=_Fg3O63LSp0ro>cL!2;evwUH_7>=B=n141^Je!jUqYKHwTOBvB#OL(F@6* zh~ewOS1A8BMU!i;RdF3tVT+texu4bWJV)U}ozMZ!ne3?|8T-NiU_wQ`baH%n0`ADq zWu>%Ka-Jote9mc%a%~(__<~T~17=X9M^GsWiBtI{y)hAlxrn1oR?d*+=9*EXMwORy z_k;O})k7l}T{GNJM82i*wxjTKz(t$3FXKNh8vVIhSdL2bTt+Ds(p0B}ts~U{55IaC zRP6^;M3}YeLG2eXqXxw;s8_Ux-^Et1%ztdVL!4T$@g~GVM-W7)flIAyk({Dy!u--M znUj&VHpHcw^rZ~;VX)Kt^2hC;imVA!nXS^y(Lq3XmWtWsLnu)(ztrShwdo4sy@tEL ztDputT$x0$ZH~cRbe))7dvx74aUUKs5Db0AphZI?>l>6;QqEsR?6+Zg)-h{X)1X=pPLDgUJ&H|r4rxp&GaNGKBEqJSNGL& zAyPjeb}gkst8r~!S_32z(%!T@C=*xB+{+Sw|AX?;2p!!UprF$8QFGvC?6P-bN#{Sb*HUr5-!%vB#0g}qff)<9$lf9bzu+dV} zT*naK;=7ENpMD>BC5zqY2wrOcSQVHmF5bIqeqKD_S*q`-MV!-wifF>TKEGD^4N*W$ zR2$3^)PnsBtaxQFATWrgYH9{0sR6naN`~Kj+`9#%JPshuZ#?dOuO}eqeXkE1kUkaC zr;5`PSn3`Yg@Qb$hzTM!m;|~be>Vnf4Zp-Gp1GJf=tV-BFZ{#*im%%W zIPEkiuQm|$a0R`0*KPWWN=1tQ@Wr_^-FNgSV9xuEOG!R$G`=aMJW4zVO#|tew z)qD7^@R#Q@l|+% zHGt|&VD|Y7{NOtIx&GWiAe0c?q-I*NkN&6L(fu?SXxcWRNv)f2Bv`du>J|FW6NPjH zwXzhA?-1c%4g&Y;mIkr5HX=WwU{l!=EYoM>c#k=Sx z*|0q-4*Qc~S)AG^fPo(`fZ3T3+53Nmkq2+PZL?0d2qMMOw|~Y-BIEo=1x9-*t`k@~ zV@WHbwNrL?cO`Y`*dpU-eF=7?6F|W zRla4>9KTOuz2y}HV*C~);AKk}8?X1}vA3Cl!}saj&stZ;uaF=$Q_KEoEEVWSdbK@! z6;_t=*a&I5bh8>Ad8#x5w$3hJvCb-=c#)ZZ*7eqOzY@5rTer^qA7lG}jO^O~F&6%7 z1T3+*^B;cw?q{Va-ff)$-etYS)8c0Zzy&!||Lcf=99$DWB3;kPdGi-Sy~l~qgU){r zmgJ_Jfx5o7{i((&H8RcI336+rXRhnZ))+4Qw?v$frcFP*X|64Ur8>%u6VX9_G$JN4Q&A1mgm9m*W^X$j`EqAEw4&tSrRY zo6rhsRC-qOXWPjVif^mm?oZ?&Trhm?!D}dK`on=iqRSbg&x?{UNOEPekT7kiD`X~! zLACD(+HoffnMzi;vzgoNrOL*19p)z(uJMvFl#9B5c=X~IV@f!w7j@2c)Z(ENDHnC_ zK_Wbn60oTA`e`MBEGS*I-f))cVe00eVY5G9qExF(;p91EMu%Ub9mPM@qjxS6unhvx^*dRq#pII=Rdi*=^ zZg>`*rJMpwOfFjTc+jw=qd(rTU11E@oW2;RDtdUMaT!b6QQ~XxPyedf$Tkf_zaK&1 zjQ2&1i#Z!f;1k0uSuzai3KoXkNpcg9lCGpMtS2KfJU^yN!qgKi=aJPq&J}O&vGA}CusoX~LWr{{$klkxZ9iPFlwZ>|qaJ^&_-Y7^$ zxBYb(iG>dvt9c<$f~={`qn?!3Sa65Bxzva*~N&UPSUGB=Kz6*upe6 zIaOBaVthfT05LAP*rH^h&f`B1B>>P<3G{T$_sWM{Lc+_72eYPyyFI`>Y=>PAOGO2x z(o?eVpCYpD@wu)n<>{HM747n%1e>_0s507w@;}<0m6J^HLKA6obLkK<=jmzA2|uWy z?<6>Xuu^83(9sUOs>#BaXUfU3bZe-E0L%UlZ*LhKN3*OAikX?gVg`$unOU-!EGCO- z#LUbVGn2)V#mp9ig%&g8j(py8A@*+U_v6MF(J`Hs^;A|u^>j~Fbxs1>I74!hp;cL7 z5UVUTGP7<<9soAO+joupCFom{WiGG0d~xaW4O>=5$lR=rOoqH%< zK0i75ynk&>8JUo90zNcTbFX#BXmFCJ1zt-w@Dmpg+r zrX-oBg$IxjCPun(w6#AqP$kD@_Z38)Y}msZo~$OfE*Ytr5<~$c1*HszcikZwQ#oAB z&3S>CW9BJkzQvCC40~p0&I&l&)F7?{07r_*WmM$C4Qludh`0rkJE|AQ6JOaOhEZ|39mOIh{vsQs2VgNf-Pq+pj_-`q? z8~~_8G3FEq*L=BQ-1ITMA$`@t(Gco!r71xjWYwh1@a$1#2z}LL#0L=pBt}1o7$5;R zGHX?x5Y{OPSu~>Cb1Il0#(s+11Rb9DD+|8VM+%{8Usvc<+}MKs515r8nrH<#wvtzZ zbp|~q;A5}ZxJpGY`Pd>-Hb8`CEbs+tl=5ssWyL)r!ACiK*hV~fPt2FBvft^tm7PQ) zd`%2>GoAAHRjhgl>~M7B<*oA!U1jY&{BkSZvOhx*KRl`OiVK(@I7(44v4p1yMj;rN zQg6DRXn`Mx&5g8kns{yr!ZSqQ%}j_fzn1Zg=$k{*@GDlwD>#By1OQC|sn+!?j~|%D zobdNOe{E;>WYjDpEIR)@(?x1|V-SH3P|N3>YH;u)9ySso&_>Wa&Rs*Syd2hiTcbkM zFey;1#p8V1#{D}{dicqzF{HJt~OA)s+Yya;=*6zFm}tRLHi1S>#57i`%eGqXT}dlL64RmsmQKoh>Ab(nRLA z_0Q?MG_qx;v7I^~k{~?N3&-<~=`2{MrHsNYsTJ8~zqRwk4i7(mf<*1U36#UUDlfV3 z{J~UghyaLpVi~x|eFY7A0OBwxgge2ypwn*d&im_o3$o|Hf}KOK(1PT`&gE(}LF zBm~@OCo;q}pEOO1#7^NHBq|R}_*)6c;smm`5P>Y`57rvWhPH`!Xu^Xd9dAOU$5kWC zY4y@T`%)?JmRyI?7WF5aOXbq{#+P{NdV1Fj0HG(QpTL$=Qu8H*4C)N^%PbE4Rz+Fg z3+tSbZ&?BGJOez>gK7(*RwOwWRLt6G?d$Zhmh`b|BEuJ;IhpWm)s&6BZp(7BPN?np zPR}HfDPa8R`ZWMb?C?$RkPLlQ*-8`wZ8Mf-qWtVBj>_`OW+JAekqylCg!-6sHM z1GP11eCG{M;`gqT6*XUr&g8Kviz+I>6WFnw@6)!G>SLa|0i$3+Q_jobSn20S^{In| zG2{Uvr$^kX+g(Ki`s@)!18Q4ZK@8B5y|0Z6iGn`T&XnEdh9s%P5q-N?pLLE$zIR=4 z1LYqqtNBvnCH*7+Y9A;cFl!F%AWiL`4kFV&KOVxT$?t`JGHHE@TJXFoVN##mEn!mS zNG2Xf-h*SdI;Q#knXcBp6(Y0hXXSndPi;l*C2Q6^bQA`&PB6TY2TLKXcNMM%=C=W7 zLwEz+AWfUAaAN(GS&N`ZxmjVxqXNOnO0|c$$f8EV3;G)X?NI@-Z=K4PD09jzH3A*0 zv}j$vz|$5E9i{-e2~Biw{ij4WHaZ|E=L5tB1j&7Xnm#~yK#=JNs2K=y{s47-fVhC5 zs1H!<2Z#U&D*OPo0YQx)pp6d@9}qN7#|n@Z?fgIy(P830SWuf{++n7h<{2oH1zyA^ zMaSY-A?Z|udm)A+avn zO%<~f@keQZsPvxf88AGC)1jzm^oR5oknNEI=EHFct$Lh;%j)`zCr}s`eha2+t&tD5olsapr-pA8vs}r8_<`o9Fx6tXxGvMMB6nVV-~Id zXJ(b1r021zv4PZ>fRsjQi>YGG65tPKh3{g!w?oI7;$KUQS+O8Io>l@@_dFdN^aR%2 zCO7rNuSmz0L`C z{^|_{$1j)W=Zl1nc5}z>_1!Et#`5bY8c;|4jU%KL)$1B;RQ1rA2^ttG}nBjN9cOq4ZMcOgV{WWdMj1)Mx|A$ z9Sg$|`N_zCMZRuYWb7MGD08GLG@(d|t%^Ru>8Yqf4Za7s{|QoRXU|5EoAv}^+1(XU?}=BK4G3D}((AtVvAdwY~czY+l$NBhrXV(Yp{mtPXc0a0qdUj|V68i>Ua zCqXdSxxkg?eb~kC6Y;e7A#3NrWB>rS^$FD>HmFeVWw_ z1VOxFAd~gUi^D3anc>H#|1M`xzeo0gMF3(Yw?42VAJ~L!{IaemH|yFgJ}yV0Lu=JhPZc?2+ObEcT7F%2dgv^nfkm zY;WYC4&u}AbCyQ<=Iy?;o9w+unTQ6rHA#l_D&flm6y<(!^4#RyTxNAQN?_4Np~kNC z{21UShk0pevcMOS0A-y;S1E0xTQms-5qELBN*6)op~k4>+>MQy9dO0&ky?#iuNfYn z?z{-Q`)4KkW$O%ReXyR*yPHBbyRu$1C{r}Pp)wbNE4$M}Kb9a-FDqf4wJM4D!RZMx z^>#{1;X_zdiEavO<9s{q1K(?}Pv}k%Ot{8+c&4(qU!2(~0o2ruR0&vb3XPy7N@Tbx zorSz}w0S-8uFz{d4tvy5FdGa}3KO3MbX=lB)EpzrDz1$SDz`!~?UZ$?{`?|Wah|l| z?c5M%oUqdNWl*&-ZHi{0d_r-z&d8XL;#d`YF%=3wJ39U0w>-K5d^gU_f`d6tAG1lJdbUb_3 zY1I?45l4#EQT?LcC0)@4lPQEU+2R?&NqFoS)0h z>ELnQ+A8nS6o-7?yCmy_`!x9J*y!IM8jGaw?w%a`iluwM4~!(7DDxnyc{j9pv~-)P z%Pw+U#s=KV7dJj4&~vG%oM~^O)y*m%n{~XXN_iLyUsKjO)IiAJkyY1VE!xMm`0K3Y z3U9mq+IGb!w=2csw25osZ))j;3SZTXTm{yHH?;&pg=apm$1YYRE3K`aV`ZL5CMs(X z!nSTg4otkBy$vMNYY+gw#JKsyx9qudTGtahj$KwOtvPkH8~k6R0RR8pK|7|;5f(mI zo-jV`Dbby|#j?~NHXq*Vxce#utQo|$lG6CQtnp2}CYA~=Q=13bNAQfUg{5@jka%C{!547TFi307R&7bMHZ?cy# zzzu+Z0N59Tg;NZrTFiGHi*3%kH3^K76%V(XPH%qY9beWC-VZ$|Io!YV8J3*|E09Yw zmUl-AEOE3pcBLqDQe))(P^lgIY1W%rpeIl*rX(lAgJ!W$wQ!J5aT%KsD9aj-4{>k) zTpN>3II7pdO4g`s#pJZbKguqY6=sfR8Tm#PqJT5V#hD=b9Dbm*Yjiq-4 zU{ly2)QoUfOr-T8oSaMp3pP&!`jf$N%l#0Ph1$}WLBj&^`VBWpplDIRF~fYkP@YM% zm)pngJ6^YDRdF?u&2;s=bVD|Mcmhf+_=ScM$U#LcNn+O252T|+xaTCioS$I#^~vOTBU#1K?ayIb*y*x}D__^Zl>!JVd+gWAm&c^36-}Arx&?N>TKAg<$lS^Q?FZ%o{Sb zTwwBZgB)TneRD^5!F4Im2ay02r0Hm4o1v1qP8jNm+&vTKlE=0k<4*(cr?}W75JfHX zY@%DYDz>^myM&YIn=!=pjE_qd!~$9pw;W;<8I{+!3;x`_y5hW|OUs6pJ%Cj))LGInQ=(oM-3?j0}n}ySm?55 zBt>%R$k}QMW`ON4uJU|F-RZr-sdTaLc1K0!ouX?Cc?GSQl9~Q&yJ{<{wcUCszxK)O z&g&S-DG=^-RDXU5a?v@{e7&@pMNkK)cwzPNhhFvu{upFYd*atkJANJ)^* z*GR#PXg6<0j+GgMxOubo8q~lP0fGW_Vb#rV=2Yv#F_|QEbJV2N+g`3}f39Cn*9Ore zD%NE}?#%6oDad@XN;Amk?s26sj?WmJ!icN56bF+j&&u_Aq%|Db&d*06P`Z*3P+@iSA@RV?@D~BaDKX__S3BFQc*c)ZzNh*YuIbw1MMkhp%mSX{2}lz z{uRAEU8{OF`MyZMaX7i5Fex>0&aGtT=Bi!{%sC4Wp)e^9E=n#<>!lspL6th}(#Iad zJ;#SUZ4It6!sLY`E-x`#UmAMCKLKjj^&~MvUl!7zHV$?rG1@=|mUN0Rg^dK+#X9jp zPX<9$pN-5?Cw5D)hQO%R zP#rYaP~`#xCb!*}ZOH;Gn8l2`?v zs;1BRQl4gPWv`7!2%TEO@az6biDxG4xP58#QMlB-Y5ufP=|7ARoQv3aLq8%pkeFw} zh7J|XBCyn$!faj&V-ix%TwFEim$+vlgb2=AMG>XknV9QC0os{ZVk`m>h-KctT^2+0 zC+{8wLm~ao$%_UDy6`-^vmL`DM|??TeGCS&?(6)QTd#Ast0uM<9v`n?sbi!P9eiA` z7ww9^=k95*i;?eh#Ps$!53jxk+*%+ow{Exj_6I8oN~{(#Da9<5>a9Yax@=CI(cbvgLD7%hEg_XFmvTv7h$|wWf@eG zD{+LHLO+8-;7OKMFmrH8i1?zUNM_t3uVJ}F_(TLyC>pxm-dC+0H$eOzT({+MG|-44 zTv$ZiO(1TzxqvU0oy6q6B7$Q0H3!09C`>3)EuR2BAIWTMbhD;N3CcJ{F*X*huc5os}-L0-M9|Q#)xW1cOk>pew@>J z9vgjDqpXqH?y5S9{xzYL&KH&T+*~e+!YK+cyZS8x%rQo!xrC5BA{x_TU*XxPoJ|Yd z)zGSMm*~?sz;AxMH@%IW7vrxxG!49{jjC$8Q*A(HOe$9SnNHkXB3F6W(?r1@?}x#D z0Jnt@R4k~0(OBrwa$q$MCgZQ4bp7P+Sb6BnC~trqmT@TnGi@1-&s^%VcR9)R9hU)^ zC4!l^^oElOt`f&G7#<@Dt&}U#nN2~?J3KZNU~&OdxR}Xa_$m# z)!?%ZCuiTFRkpRdNd`AHdAd5IllUG0FG~iocSguZ$Nua0x?2jWHaqNP<$gVmf!83p zQi7^gQ)Xy=LSDhF{6|O9GpgNmQprIdwwsQEtr6JQnwSFEtlmd%lCu&|WN<{q5QkPV z+`dO)Z1QT94!wlQ*P!t^LR^)7!oV$ef|HRr*RO#s-{YWz2)I*x6pGi4h|j11yWzx= zIQ`#f-nvai)T4!AOi;?19d?y^3&xcZyd0_9(Xj5(>mzOvxiKPw5u)0rVW^DU zF$f6b--rLa=8@jjU?3I+x~O7saFz01g>*^0eph8y*FVuJyONpmJQ$7Sr&ZTKR6*8z z%n^Is;H>WGKeA?h>I^~+KSL`3Xs&b=C|P~qG7a$Wze_GYw|FJ1Grc2z{ARCpV?_T% zOTRWA+}Y|6TKV)FU(*MsLf~ako%m;YY?t0Ix6Ii!#bF;yP2U<&?ddaT!F%+puhfY*fs{Oz6;pn~NJ)ape6j#GflmidiWHJ%+N+;h^Y!6|m_PXGn^Kj7Fcd zX;U1n1_JA#x>GMr+s2Khx#`E zo7W|P`NT!Eg4)EbA?Bh4YO`iX>V7wca7z)f%X%pNjNBOwi&qsSVY7&uAeM;<059)= zqewY7c5qZOcKA|q4VaUz*82e+@8^Ca3J7wK0fRy|%1!PgMQ$FSDb;G)C1UuHBtI6P zPUU6=3$VJF(3x~j&0yQc+8E4m&d<0Qm#WTqSqp2mnz+^*X|N9xWPbqVqLk=*Yh13|xWMHkd z>KqHu8u}e{A~r2+;Pq;3T z(-zR!@@j&*F0jV*slNeN*W=+Ys(Y*EgyrjZ|{?h5aXp_ov zqb$a!YkIF)u{+4CM>Hgpij$*T&!=N^oQFQt?Fs3u4Q;UcIs8-~u8btAolEH#;*ObI z1lG1E-qt}aGtvj7ij|%76TH~Lr%cyD&#!=)dxdiy(Q}$ko1Aowf@o6~FWibYusihc zS+bBBbdCwAqC!TRs7R&sx=g+^zqQtvNa}?;3%`CVaHivz4%44)XuWJr&LQVwI$T~G zCaCyxYLIzwNm|xQnK3gI!>L+wR?CZnh`J0_p*n2}oT?V(TR7Wc)!22tZDLb#*;4|H z_%Qs0^=1Q`WsGVN9an7vJ;l&YDgdEt|3=kXjA^bW!hmm`j%BiV^0;u%PNeYQ*t$_D zeF2=ILfVFvmxSMpq@W&}nvm`! zb8nZ;-o@$oOeJB)CiZIwL^p$XdoY6(YIO!rk&@a?1Dwf}UzWq|0F2JiL_QG0$G_$d zQhWG$Xj|av!lgY7kiAaZrss=v1&EK1Kaql5JW;R1y~+*7=iEL_t!^)vK1OO+5QcTg zrKYopS&*2@gd#7d1mIUi$FPh}7CKCf;%q6DbM=Mk|74S@)?xmb@zvQx%aj)M>Cmt0 zU~3}BZ0u0BVX1kLKx8a-=@eMLt{>_f`W??<#YQALk17M8Av)eO&ufeoDUjHiIllGs zjEqM?F7m;^W5e^`w;jJMnRv;3N6bwm z{tmjWd_ych*tY~ox>Q<`oB^=mBAdLU!n{H+kJ0=Fo+ZEiaG`&Z#El&nD0DZyn=$2! zdX!VOSRjaKNVb5)A)S)Bm|;kjT5R0w%@Ml zD>TCY*y(?^1pL=dZ-U+e2_|TM&!9iW?&r+b{hwPpgZ&iiiyI5{)D3=AXx%&YPW*Bx z2GH1ykJ9iZ^%F8mZ2r3iE79OvK~(6hK*U?Yh&#@6EWm#nfH3Ng5cFm;ZTR7n|F?(! zQ`!H}Rdf@;S7}!;0h|<}d0CCDS4^)6>!u;fpqcI*eV1?U7<0bl99Cts(-#6G-VRd2 z57D2R%Tl+P4^to5G=~PSEu{#-B3oQ*0P6#6I22xssi4o-pDO9iXS9pz;98|a&owoT zm$9!cNCfI*1IU_St#q0-gsMiGH9)Nf4uppmB0$CaY;Bj|*-YT{=BJwna~Dj%RKT#K zcWWRl;MrMU8t0~O9^@CBS%+>f66D{t=zCKVH-U428qHNz5hFoW@0SYsWVOP=WZJ3Q zt8W$Wtw99I|M(%ye5A>u*tC}ES9^G;0cp45|Cd_0e*)M1h3x<2dFLur_eH%0_wfgK z#Ku#f(WW{hlaj&a22y`Fci#lIMvQk+>tpX|{AS{!O9j%T1AI_&fsm!0f2|V+TOyH5 z6+&C@sIsP|ou1KN>FU2R!N~9M*{MbAJs)av7{T-v0MmLj z!-$4JZMg`-Jdt(w<{e6=pNW->aE|CPzBF^=gw8k(+M3U3?A`rSCn~M=lJO$nU+#AcXnSAOPWZdfT2O%H|_bI(8(o zOO>Sk?5&il{`k_zeC!JYbkNpHC4d54c$}v~3m-|$cwvG;pO@(h)FJ`a&U&H$%cA81 z$4pa~HjpPR(YsLn*=^mh-BoWFJvV*8gu^vke9>j4N!*zbFG`H-!e_!HvzW}tWO3l2 z?WgN$+bDFd<#A2JL7PH*^TlGPfE0X8&@t_IQ*xWrCzO|_9a;m>31husz`Vg{pl7Y& z0sMZJTk$wgfz^FhVW#AACptX^Gp_t6h=Er1n9UoO){8FB){%`YL6&*(rOdXb(7g+l z$US=PjQa4?jj%P#+b-GWwx}TpHxnx!mpZIy9IiejTTRE?E2tk-$C}pT9LFywpnUVFP zHo@$N?fXF6t8{_350JX7uxCBdoO&7>ezZ%7udO>a{s#p95fSb58in={6VoES`y1rY z76p6?a3kvl;0Pepe1x;8cOgY@Ft6|ixMVbmQ({@*%l$x>sFg_LesGhmd3&)k8xuvMbC0UUbt-rb*2hbiO`Kj*M?%U~#OER)h z;<&??cFMPppuvcGK9OzlAjBgCRcU`cYx`+_+BQrj^cjBGW}GLo`k2j{>smF#1!gXQ zYztU{3ya^*7_x}iY`rKh03!dzEjxG-+IW$F=~p1x))W1I3%qFtOqok1RAduLm3&?ADQ$jus9a{kPEvKqogHgF7r%PhG(R zgD$#6Ymn^<40_aWZqV4Eoxh{&*12AK(fW@sA?4^}s@esmbm5%Sc|T0JK* zBD9p|Qa8G2*x;(S2MoH)qPDwUiFO|&aFL(vuiJ<#XjvG8jVV zEf;HTX-_>%4FG%J^pWEK3Hx%jUaIKdup`9koUZg5AR|ij-d08b9bDkyqPoQUe}~q; zF7}+aDpt&p#S@{Wj9bzc>ml_nBI0>77t$;A(Mqqs7rJMt(SlDJ79Q-PIRh??@dAI8 zb{}|rnoCXVM=YUpr72s?tF+PWfjX~>EkX@^glYp0ml$^+0$8xM(4s&!dxPm6b>1jb2v1ulzhb5z~265ecQ}5%fR4 z>SCf6_J_t&NANK<=z8OX0bpmbD$oi=-vzzS7YIfi3*o!YYb$aCLH8ay)<6k4=tFNyZAe9uNc!76A?*3}J zP~JiC$r1H6v^{nQj2-n+3K^fPnoUYqLO$pe7Yx=^8i!?neyPY=AFxCj;MBkd9%xaM z8s2HXgc2Tk5F2}J3!A=xyRakTX)b*=3Khed*>9FcQ49`|V3dMW!mV0x!!H}6ona|q zDiG<^Ko0Ea(y^0)lnyiGwk0k{J0Uc1x#!Y6u?3-_-`P)ybsTMn?Q0>sVos`2n%SfW zbIfC0OW4~(%SK8Z0F%1UQ&(z=ek3ITk-(lKe2iIE4jP8MkDf*0+rI7L^9w@5ICtY9 z3o#n@3^DQID%vUrMp_a4VLHXKZLR;#1f?UcdH5lSziAr9pylNJ9xX0IpgE>73_gwV z>Uix95*nAH%mghrWjG?8v~I|wbIb5Bo};{BO}J&IHmQIjfR?aUpK1riq_57m%&?DF z%CN6YBC26ai%Mdfm4mOuBgM8wn{>m$^4>k0&++GyLnS)R(ghOQ(#33H;4K$9qe&mH zZsDBzvO|MN$JT7u36IB@#JVl5XoK1 z6nM2jdNm2FoM(H%W$t{krrC`}`h_4?*QDA=Rk1m*0lQ^5%SN>q!mxYz7o^^9@O9|= zP7t%BUCaZ4)hFtT#9|L-vn6Y~)UR!4lc5*YCkZLFiTvCUC}a9Af5=`w9j4Br(f(X7 z|EF>TSc!Y?*PCNreKaZgAU<1@M7B}?PbFntq8|4Kcc(SO)@638Yz@IBmJ7g5Ajr)O z+a*%tF6qE;EVPQ{jtyF+A$WiY3D-n|X@e=`Wnp$ob5*oK; zaeh~x)2O#zy1Idk*Z8x{jhD*Ev&`;N`z6f|E*tADl3IA3t(q%^+A`o%+2@b}qpvY= z^woi4F=1s|Yf5!yU5pHp-yj6H9Q0Zx;J4aSb=*{BGgQc25?v0G&8w~a@wTv~zwdp9 za04Q=A5b=u^;Gm+>;I6uXS9~{5(6u{<**io!xSB`krtDKk+<}gDS{oT+MPs+CKhgk zhuriTeOR|arK5i{0tl+<;E8+N>t(Gx>7HqE&rLOm{|jcM5IOXCwD)h|UHeD76b5;< z8)HNIo_cq9nNkICFHL7d=Zws@KKv|A^=l+2%8j++-}NcJ1q7G9hqd(=9}#LSu;dtV zr)qez;HqTe>$*leoY<|A1}GYudI%ixB^AY9v@=y56{b3KXgrBKJ<%iz*zhO)OZO%p zDzB@qK&`ufjAQE~5kKr(5dFvWRkwPoSn4pdnzn0H-}IZ2Fsd;ZqvJe!Z8#Ja9b6UqFO>?Le*o%%i%SaTx5|I=K9q7gOeWJ`@}&O9CC>_XrX7jD ze*9Bwe8@S9%Jgrw2AWCS{8hCs|wWCCc!b-o+SchR?fC!&)08u0Y&u;orWnmzoDNY%qsA|Mx2Et6n-+<6= zL(ny}ofeshe!rw`{H!5JN*Nvf2K$$c<0QbvKuxOr z>Xdt0RC-zlSpr6phP0>+>6H6s6bH zU3gGom`;Yic1NKG_EZK0P;xoj=g`&m=h&1s{4!u#bW)f0tGRW1&h^Cvshki?;FAkC z-#g!C*Sf&@<~gx1WtiyV`hC(+xC$IeTAjlQ)qI&DoxsbJ;RdSv`__JHHUcO<%ASKa z<1h{yjeF0{o6);BUg|Ics4#-!s~$diG-|xH4 zvg`%Z?mr9YN7gH$o+R(l|KT0H9vjC*P$;w*QUt&vaFqu zdl!ELeBJH3;O>z+IPR;BG=BdV3=>dHiNi4v)1|3EaYpEFd#2Y{`CmISkO;9v@?8uD ziml0#S>wG}S!)l`_J0Nec8%#m+;i;M9f9zzXoMdIsGC0bKiVQTfZc<7BLe^UNWgD# z2jEMHTkHW=#R%kh?Wt{V_%H5nu#dy7L0_=9)RaDh=*K?Go2KpO4YdIo=gF$!UeNlP zUW?3h4#Yh`)$-54-J_l_HX>Wo9o&BatnFyV%kYUI)<1RSu}=rz>kzbs<0LKV)ql1Xe%~*D&9pCyb4#i5(rnXcJtN7fJGPcwjvFYd}{N zTg`=T7V=$u1x$~=rA^D?pcgO*kEnOC`9eEAkWdj>6vCLI)Ak!s79fO8SIww_*9M{@ zl*73Dhliy@AG_J?_d&kVZu~>~Pc7`vnC)S_goJt*S5ke$WCVH#yYVdsb2E@kv20O% zy)(fIMB(iU|GnC6;lEW=f$#vk+KUf~m*i@j9wECV2%HuN{$W}wD2=3pZ`M0w>46+a z?N!PP7Nim|S0wsfDXExpZb{A;6X>MLfD$q#>LmMGY)BB135q?BlWt-^W8#e#bjwEC zP6Kd4TDyx-4>U7-gg{{jL5JY5G_3AR<`AJ~vJrN#Fw{iXn*7`rC@Ss;z)@+-jVjY{ zCTcIOfIh$G*Aq?J{=)SN4C-tK)rvn(6TYXya&0nB*$CLf3RJCk-Akc;P4ad2s!Tx$ z^aucPKW+nJMQX|b*7{P z2j3%_0&zv>pTrS3-*OrY09t{46vr(5)6w2CM!Co-@{l0R6>T`B#<-R6pRKJWCdvfz z(?T%M;w38z1R%UoQMd0%DUKJ!+^yFPVyqhbqCPz!u_eqpMTX(H2 zak`iJC-XD|?^{p^@?oI7&4{nP?ZM}+H^_Vzk#;f5Q}5e znYxl1YW%EI=3pIg{{*lli-MT#!E)7-J`Z0`9CInRkQ(XXh@cIzK>mtph?3~^xKi{z z2mRJI*S(VVpw07SOdkw0*giR~bjXZvHdgAy8E>cpB?@$YBf2H)TOJ1C-h?!dP3Qch zx=klZ_TI9%p{`sz%m|X=>ZaYj_Y*xu0zWE|afl}o(t5~{ciV^3I-Q+iSCkBk#}$^)IN96lQS zR%;{W*w&R5sfZg_QVFZ$nU+~aZ-l3^d9SERuIZULF|xRuJuSFg=OsEw)#PIC%;mSig+}v zDibae7(y4na1fu@Cz=uVzC89LejJbn4N{hTs32S+)s!qpW0>jIMCWHZ@n8M08?|8+ zl+>px%_J!dwA2dq$!ZumHffOw^`HwGR9^rQf+-9;yIp`arqXp;$5tgA#rXV?+@72-*`GymF1&}EX#&RjfR4b66oKRoJ zQ705mIB9%E;8qn`B@2*L<+v3<;rE&VloX|voDy9^(kIEP%?VJ zjEMc2hAj?|@p^+RHQ{@NP`EE(i-ijAFEA#CfNs|i`6gVygE+=$a`?>qZOqKgi{MhL zPqHh4i#|(8g7pamWylt4T2RUr!e|MCyqO!kT^wS38v@ScpxrvLjs!Al7|b)%G+t0nLe;uBnfU)13|HBNJ+%^<%U5YCUZ`*Y&fy^*X z@MnVgeZAc`DC=%kqEHaW6JJ8&K%sY`mj`f?x{%)5(`^a1ejP2iKg9YxG#$h^&akyC z1IhqR(R=JCBUv|p4M(m-E`M;yiE!%5cjK6=V4fIle&sGDs#LCp zx|v|-S6bXgV_32t=0Wf48LgdKpJ>>IFL#w39nulWCjL~$Yxb4p9ymkSc-<{itlEg8 z>!BD$(z!u@O3;XUNP?untxx@gi?m6njUdaa{ZIbb8^8J-OJ-;(dxzqy? zNzD}al9IR~CDM1&##iAALm@c^e^@0koBc9^EjRu3GI`=~-KBcvz&A=s)N2uVg7%5e zsKlWcJ^XU?Q7-cPdju&8KUJ&wRF=u?&9#BQNk2-fZo~JU21(OuwoD;OPs zoL|B;;g}>QBXDF&k@Ls<(#H7)5&Yr;DKTKB>-FNePw)QcjAUJIe@J6&QHJ~d{p!}{J=v){uEOL! zdPzI3M8v-t#~gX@5gau4TC$lSTaKO9CNIF(fsE*!3k2q+ytN<uAVa2kJy1|wjF4yflszokOtt=?G19*cWxzob zZy_|e>Ku0l>-^N~#b^vM0oDZYS`-yIU>bFQd2zIcn15N;4PYAUabBj$2+asm^=owh zu<3^XjihGyV6kWfl5#*u52~LGLV>3u1VFZ!_S5!I^vQxU;=;o@NDndeP;^#;q&mYw zaJD3(O;Ytf<4#UZ#`euGU(L);jRV?CbB4pe{f^ukEgcdjcpj6`{chneXfCt334)07 zgzE05PYO)OF;I(r_$6=pL{J|h<#6o2{a)}iN58|j?!g4Cxcv|Qzz{(lG_{uVHFWkv z)E~*Nx0Ih;$st~Z-mkBb%dJV%g-O%XG7hE|lN5b?6n$9?eJ{GK^eU|MORRvbiM$jV z+>}OyX}u!{mXqZG{kP9;v$Pa%<+|P{Kcj?S8a%dmDBjwDC6YCgw`BtNL^OaZqkwCR zBMQJ~^L!RRUM_X*Ykrp*v#*(EflH5kv5+yN23U%mqKuM4F}rC`!l5+Oo~e!~6n%P* z>3_0J#3a~HIe-%_ul%20u}dE4L{vulFV=26rCw?Snl$2LotRUC0ckwjVegBLZj*$yIOv$>iIurQj}Z^AJ^_|EZvKIjgU8!BcRUfrm2PB)04%7sIml-T!lm?8jhIxpvM?$=Jl zd5C8^?jn1f?jETfr)-Cz;zynO1w=qaGG!$$f`$cAI__H0$M^|!*c^en%=dj_%pIu5 z3dNGuA<&`!>S$4yG>|vI`oYiwG7NwWXYm;%#ze(Tvl*&wf(kxV?CE_iXT?mD8GhRs z@%u(@to6BlEx>=_q=^RtZ1TIFfvYH!v6Uw?w^m|E+;5=S(!-f@f!t!2=MX)eBfHE$iAPxEc9%jzXq}oAb$;v ze}HWGU{tV3elR3I7zICl0W6gyhA~jq5yUZ2WS8&~fqlj_mqT~2bNn3i>E&5V2b$pK z8SzLhBN$TS12R1cWq0Ax8LWmQYR~-=^0>98lp57Z@O9?!bPQM!fu6G|{4`@RdK` zT=zX7p5yP*X!X(otg@TU?5){bN5u22N(Ld@GYro6$U;DgHe;pRbvh4xGKyV9g z!QEX#fCL@f-Q8V+1Q{FxArNdJxCad+xNC5CmjDAHFbVE?o9w;MIs2S@&-cCedH?8V zx@&5!TI;v0YNooo^&U>ntLZb|7ud{$QEc6%|^< z_B0cL82zYt!+Ajr3m$Q99E?GoCg`&7LX{nFdSS+#p6$W{J9@EpglvGjd7t+Sn~8LX zYgJdg?!aa;-C8C*UbSV}hhvaSLnVHBGP>>?E^mRf(f}qF56yJCrDQQif4EYAU3ka( z_ngYUsmHVlg&tCm&)NM|>Y5yEHzq#ys zFIR&0g!4oW>NM5hEbD;hHP;MRW3^M#;|>*0qQd6!lr^{5o$Kx$5sQ4Cu8zoPgNzG1 zEBnszNqVdqtfApUNn1=|QtR9SUl^RN>R@twbw3Yw$vGO4hF(rDXWZP(^cWar-k%$y zjZNQIPjB>ZY@bXAUz;~m$4sAShJO84Lco-hBm9BNCRxJ$Rgi+4(OvS^_Q_|svmVSs zW|eVOw72Cs8kqq>skuREO$dGaS1eubXPPldB2|Ks0sjBb*&LF~(x!$2B&aC{TveE_7 zFa<{T<>A6uasAu57)scIQJ6D;Xw2WH+ zY<=k)s9O7~%6#SFqVBX3?6Qu*-N^ZW9k~rL>_FrHHnPh)8+T(B`2RdIa@c{v|8?Xi z+>I`O3=IB%i}uy|o$pC&AowKU#wD2Q`FrOUrgzJ#HvRENu>{cxpOv`O`m9&(s#=v~ z?BBa5&v9w$+aK{RP4WL+YecTx5gOoa<0pi;Ulbqn5*E2*HG}i{6V|niiodLld~I`2 zVf3BgwM{p%2fC!__@&28i6a}krw=KF(bmrK#`?M%6`^In8PzX_6mRh!t!bGQ1LP9A zCN_xn4$RJ^u()#mu7VNa;nOKCqb~w`L&I9h$e(IshP8CatR@VGE4JF)u?&8E_Z$0% z6ktG%5L&MtYfu6pL&YC5=!*j#rdT<@vQ|Eb8G_lo#ha3XEMr$`EePnLfZw`G14#Kk zX1LK`^G_-L(s2tX)t9OXeT2q^#A@L=WWDNwPTWc!FYonu6PY6EyjunZHUvxBTatG!cuxzBr_X9-bUo^po78)Es0LI7f*cptXKnVw1`M{{)4L zE;d-Z_CP`Zn?fQ3pooI;O|bn~b1cS&VK?)_s${;MQ0D4x zv}Lb7@*;(e@vq-ldB57)clmXGh->`Cm#q2b?)>ZFZEdIbuOEgDc?|GV@L-o;HEru{ zfon%cL2HZnC@-8{sg_J%9MwnNb$H8;r0*r9AnLgFt0AzFTq&9%@rj^SuIyScyeyU@aRJn{^?nI*VF zKr-CGAaDxdQmaO47w;khUrM6HUP{(@(3z@9z6r=9AwG{l(DU>mT>0qt4(OE9$ngUS zy}px}c>%$6&kWa;c}{X0Lm~Pd7s1!=b~QN1qy3PZI_Bd)`zRaWW2_Df5?zz&yA)rO~cC1LFTs?Kk7l4G_wkCs(0(7TXwojn!NPo$vKI z8cj23|6~dNclAg<`ZT`dVx|GLtG&UvLBOADA3ohZf-T?mROWrfUp;g=%zodOMu|7c zV@#cIx#TO|&%YJ@*1o7G)?B3E_HZ|d%Wu9Suj9(@VyY@&#`(gPB~*MKHB|fnIDVmq znlVu$-W$Usc0q41AmaeM|>gvbOq*mGmuguPfVkl#3`B0XdEu8+>Gj>yaxQ) z5Z}^88wlLfo%I52*Q~3Wv7X7C^yuV=%dhG7@%M||QJfI{;%l`*essJrdm?(|X>QEO zxYKwIEYVep$Ilo1Z}n@H8J&H!XmSKSS8vvj;>vR9-@jV8b7_z+UI+KLG4MX2qqb)& z>^T`fIb0Uaht9E31*J~3uQr_RuHMNG+vx@zzs@;YIu`#Xh)Xf8P5Z3aU)pQLj&zd8 z(n`9Y+_l!L-`MFuFK_WO+=H$9^n%Le=s22}n185=_`QnwKton=f~zPz&H5_@$@VM6 zaLJo})F_TY%_^y!B1>@8bH!Qgz|=1k*X(^Fr%HDXulMgh{#v12LUj3zs0%aJXujFO zfi&J0zeYAcqQ>f80g;6_LHJQUrR;IetMaLDL2r;*)+vHSKw-%+><*R!3F#Kg64@7l z0nb&&&yCjz;cBb6Mw(=sOR|LVT5e?IF1${DJhq);%5|dCN@4>S6^Wt7uAjr2jXivr zA&HLrl-5Tv-Dlskx7qh_J#<%o-5xD8*Uy1*Sc&L44bq>8iCehLz`bsoM4;aVy$%YK zHaL$?TM}Dog;}IeG;w65R54_yM{;K9(9_E;6W}WH69P*JUS*O(YXfe%VSclORQnEU zjuQ}#+;D3R!W7tqaKglPLJ(CwV%Ly^(RH4jhPxfnRBk_!{Siqt~=(JXNZDM-Y zH|z(ze1c&AlUGaRu6y=PYt=1x6YF16h%rzF=IhNIs$O=@7~KF5A0a~4^mP7S+VOLd zgqLPiG%Ql}_;%CYHoJeA#$F_)-xT(^r4>mzr-$*NrFj}Wlj&Fk%mej+d0^I;a3%b> zhaDjJyjasX-aczqK6F7HJoHm!PjfAfq{RDH!h_M5;d?)hD)ry_1OKk|b5VJZbF~LN z=)Z0*_n64>W-GR%jplyK^|O%a?aw|4_*XS}VO1g^=ql*qzGQVe*0nvb=CXjR6nAP3 zdg8JKu6wm~)ZnT2&DB;ORWS%F>DPE?fq4@`0kf8Ql9qXo?q+}}p-I=aC?PVX#)ob{ zjR?Ug|6G$-xwDhbZBxIyMOqABMfGGm-*@sITq;y_4XZLMnTKqVGbU935 zB674n?Y-mN_+h$?p*-6Y6kp^E1L|8phEuB-j-jw~i%k`M7Z9Hq?y%`@FZiO%+D_aiL**lZh(YI1AKPE65Vpl#^i)}- z%qK;c8$CEp2vF=sPUum2?u_u`&NJbU#V2q^A)Y6+1f2w>Jv3w+*O`wSC|KQ&f)6~7 z-seAq!Z$vL&U#S>v$?sLcp#*08AW))lS42$;mN>0?eaYWGT*X99;hxHO1qmLRSh>e z#(c{}0UC6t{otxPoBV{)%wiM?$K2fl$NcNGHdo;1cUA;sUXDEi8p`)Y;dD1v{FXZ$ zV`f@B_yK`nvw*o!j3A!#1hfAtA@uHN6^jO}YZ7iA+NujMqd0c@OYI z8p{$&Y$=v&`wD_!aKe*I-Z++T1d_Gu z5WIV$Ysy-}-{o)N-9_q}(6Y$jbA>d1`;NE{3(mSfsmZczcsk0v8-?SyG1w4C+NX1c z2P!9o>^T=4<6Ud?)`*+F@r_&Zm|1-5i)AfL*69{UQaGH-WnTCJ+$h~angW@C(AFCU z@@xq>46ECUYzZXqq&`j|D?v_(`e;vff%9Y0@&QG@1BW>u zUGw2jxoosdBu!%$T+aHU=Ra`BW*tV)tEJL8E#DkcFRy-Lc*Wuci54j00<}hdA|D%t z1B(p2)iuWg)5(qsT;F|Y16mz>Mdn^YxHYtl^4H%CDefuaE?2gb{3NT}`S6+IC)tpP z6I_pgh0`}qK<@upYz>cGRj_me;D1?EE&Z&w^TL|rTH#4HrzsQLzHu0mV>FG_T0KOf zDUz0#<4nhA^3TDAc+YRbK8dq>bs^FalBKbs=NGH}dd(=ASJJODst6AT^M0Haq~5*X z`5CglCwVNquJ#i?oFn@klg8KCr5Cm_yesc%MkU=WeHu3>P;`4Hly-`FV&Yc$#=Z!K zR1vLX*R!q%;(h4y39cOT;Rr-oKo58Dl zq)!LhGB1zSPT{28Zv13sSELhYNCns-wMQ+BR$;mP7kQq16={qY7w@NY5b=KFqW<)S z$O~jJpPy99t4h5~J%X=<(9PlTAN_p3Mr1n-jp5mLEHfLy6syl9c7!Lxg;?DyYqU06 zpJY!VH0xIQ3`ac+IJ@Rre*Cz_hbp_FLFnSVc<%GnR%WCY5x!Lr2OLieagcCei+%iy zGgD5d7s5dw$189eyMh@kq&ht@eN9kXJA;WMz@3VizWS)G-NCvMosO8k#;C1b!9oZd z8(G;cN6HWqcenmuhxhw#M%R~&rseG0V(Byj^qNpf*B7@-9W zGlgJ=Qh6o?jil_vLdI1ZdFO_Ar6(I0FMeo;Wky77Mpy?9KI(EF$i!F(bRu2;bzmn$ z1SZCh30aoeglk~wKMZvX7UAkYv~UYNiI3G=Yl1Awpw~8XWh0@zrQUaul5-yw@LbnUVPhiq?6nomoiq z&zzj(5_$xA2lWnbR8~-Jd{M4-0hh4aal#hs{9CtQV;?^qmT2$|xRoB}5Mod|9O7jvLtKfIVy&x)kg`*4n z-sBZ7rf8O?btN243sXg$r)8?B$-%ur=TND@H&p@kk(UuZJFcS{_vnEjelV&;jne`p z@IRb&o1S2K4g>x2(16XyiBAy%$ieZ5EEc7P(`z7XgURP42yNPo9fZ|j+zM3Tmqt_I_?Sw$ zw&%9l7Bj)_Ign_u8HYMT%fJU`w%kU0m~fF;@_N%5jIh)TibqB9H-R1scynm(@FsGc z=}B{GH(V-Qa=*`Jl_LP{vsv&2e@y$!S53un$GP{ z&tU7%1mRC3IDgT$Pf1In5O9jwcn>N`f>OL#ndzAV#VCdcJ5w2%EZxPAT98--aVPZv zxV^!Bw`Z*hDtaL-@r%rUk^D;ZNoi&O_ZlA8+g3u176&iDCN+u zjpR-wRswokm`=ToFA$v7h$lLTorKiAJWUbagjmBZjY|At6270Cxh^&>yCf|#pQ`Rz zj^nxTN9gKJ{{eQo^fGxh!i|kT@|E6#JAn5(Ij~Rl^nA{I zv{=I*rmvV_E>wbVwUG4&kyNk>qrNlm(;ivEABndzx?vTxr~==trUje2PcbO47}x9P>u?6z>j8p z{*5f!Vr&C3zffiEhl~UQ18vNuoCkGG(`)kR#O9YHL^}rbuP-YHL+HPN10Tt2U=O7t zl76L0j1F_5ex2TcoLE>~;Uq6eE8n{*n9w`ojUcaqPf<@luz~)r_kuN&o=X`nvkv}8 z5&dpkt@)8P@27~xwKvo*&qMj>T>K=(%?5Sgx;P9`Q7gi6#m%Pu3cNS(+k;kJe;mvw zQUu*Cx%@ns9~8TX=dk`z22LvO_D(GB7K91NM;tn_$mo7O=GavnBuzGtX8qIDEyo%khM+E@J*i^rzs zyOTlg29;gjPm6_HtEogEkM5uD;%vet{1eY{r8ncaqV=uhC`S;aG{Ds8JBvk?Q6b|= zGVTsc3hdObOeAwjxkZBXnLk2n7n(P(*xsITvx^6j6H4+pBmVIF1d;25^B~425V`UTREVH~5q34bdu^WX2^?;b>$H{;{SIPK-S~ zFjAnI1CyMyhaGO;2Dn}BJEBy^u_kilTu0ur>ZdKX{4$pOqnP5ouU4Z3TG>1Z$?2*y z5|e0Zj|6m6*P3f712adyiC$H!Fmh0-5pECKCh45I^V62l#W$i@Fz$3LT{*7Xw$A*rv zq~39&VY>!QMi|)hQap(rk>t9V-e&I!GZI{cJoZXCGbShddn@a%1QKR!dLmGk2?2^3 zM+C*e>qAUftKtFPIlgYw@?QW6ls28>yIJp~3ghY$NY-@xcqU^NV?PI%(pQ~8&^ z2=Kt(8VU6&@_m*v?l%2n1ew>5U*MR@>5vgHjH-aM^9Onpb&?rmu_rwV7@iwS1k>zW zsU`DQ`o`q*B1oyHk_(dzswdmEuAG2WpIoExo%sLriW zu_a1&W}G1DMZ3MnlSDKJ4E3ljmH5B1v{T(gz=yiCwQ9ywX>I#ph?AkJWkcC7nO3m{ zbLN|TZ|Rpbkv(WNs$KWl(F3Dc2=lL_y}aH;A1@?)SOwNK)1y5vB6ql*5qS`%nYD8f zzxZyER7;1vTtwKiA{`u}S)f+Jp5jHY-PT72NxSfZq~GI%qzypg^wn=Vi@D==4I*1N z!0xr%dZ16eUAI{?0@Inyn@#BS%6PHJh4RSHNBQL6MAudYN4pv)TMw}DMd|nRCf1P< z&B*UqNEdqW&}uZns*&1K% zm;GfMhxR>zW(KWiTk4F2-|b=JLXE}EiWw2#Y-%tEMS z;mN^{B9{bLnfM!3G6j>O_g2rLJVKG&L~O9|rNg zKxX(pM2VmxLLu%l(}qVuFt(kr=?&-HZ-UfuFi$o_Ig5iCt06~CTD?NtNH= zV5bS;!g&|e(~gvH@GRYa1C*{+RXi-#q;nb42` z>kFDH?emnm6LCZ^Z_Y{DlOE_ur~rG{q=XFMI2^}-2hm-wpuVr#_H^M`4r<;Mb$rge zT)A&(?OK~Hzyv9ggQvsV6?HsfTSjx6HyD4951Eq#?BxC>&GWKYx%?<)jy!4We^x!Q zKuKfyy4{D;dH(cy{~$E;1{%_xWhOM=3|9I7p?K;!FBGHPKX4u63ct~0!06?;rmGm7 zyu&M#LdW*6GR@$sLm$ii=HhkXqqYrEKgzvjG%t)wrg4`4?lc^&SN5>4hb4}0PdGXa zg<^#`>JnkNw?#(rW-7}k$ed9K1MG5bRH?4$AF#FVu#K&=yhIeHhfI7Jm32>>);=$* zetarLWV#rL8ts@8SR`Oc1K9<^)8a0kPh*v|JV`#BJFWaAWUxvB36g=Q0}I$@?*Db;dPka8gB zO&b_eeC%ESmcz16n;7SMPWg=op(_UUcV0bya{&(#m;qOERw4W+o=|;H(?ylwmbq7R znGfMg>1m(CR?cyQe{Wk<1pR(Q)R@5~ganhE;{u%5p})f(}??;2b@_|+N|vMdQtCe{5Z)sv}9-B)c* z$iN4W85?PhxCV0m+=q?22#XjpRerpEnfx2-GM( zTvId;F{Ym~D>JpJ=(uOgPZI`KU3@>EW>fhLWovotUis-`?JF?|wj?|g#6t$23ta$O zgZKwlka8J#EwqCAq;{r^;!I@-DhR%h^k0(TiBrPI@6UVdhT5lI2}VO+%E2>Yj%Pg{ z@{WB~;8Y16gV4MHa==I7@vNI6Z-kY)IZr5LTO6KVVm?!Um#qEebeVspz;NMHt40d( z{C*zLVZ+bo=P>89TR@4+^E(!XXuBq-;rTsNi#j@qMqO^Ap*JdH3^CA8p2ZmVnU z5xp8YdMlU3$L5RD2C86Y0RTk7bpXxz^Y)sD!twwkr79}HQFu$y+zA?FQ5v2KEVxEc z_fQD1N)DHUh1>-H!%wXNKP~vDpE_MKE3^5prt=$5LSK!$ylC4ZUph@$jcU|c)HSdb zMEk2SrUOnDTJUd?`rA9_?B4U4ktQD!oq-k&5KagoB(gwxa8$8`{t@hf6cF_n@bpLm zwy8}a5O-O4CVc3mw=&E#GoXvS1P)047=3=|5K<73B6u-Wf>)SZ)hnKcFg*M1OS-E! zGs};~li#lqJ7&NFYw8{S*Y%dF_5~PX!o0_!KZr25W!4uFFc6>_a22r*IKM|2wz@5 zdH!89%{nF*H>bNgk3hZe8$9e;0wYVqtDksa=%?%Et18tB8ycAV0-gBOj~m(ofm_h6 zv=b4;(7ydduObyjPKhLp7Udnt_gF->1+_U9no-0I30X;OQK8e9%{67~3&EJ8lq3b$9{( zxkl|-$aFWHHBooZ5EP|^9d9Uqj7?gR*zyP)>54GQTb9^wfwZ|2*Z9>(7$koM$wU6= zSs;OBC7nuziC5`oX;BQt%6Fv3c1dL<#?qxC$17y%8TP+u?Rq_VzT>UqEe3?Rrd%_t!Zk@ zF-ssDTnH8}`YCw}JXOjlCaIy{qV9WK122HfD&GSxlc(s9)z`$Ug&VlH>i@{jLeyCtcO zsuhP!Y!cA!Z$JA~DD>TH6wI)tujhc}9u63D0!@u6tG4HtSdu@ zxxr~P{upMh&Pk{G>K*ie3)er$Ar4`@zX9A_42?Z0gK5?sv-a@HOhl`iFBtdoOkB7 zd-aj?Q9jnpJ|RXxy!t|38_8z=Xx-DWeeFw@YCy-=Ji(~V(;Thgu-zoU%Q}58Gocg> zwOC+iXZUo5u+Dn6`N;m#V;v7bdA%&7DGSe>#y4Yr!&GIkSuH5zLAyVrCiWc=HAsnn ziyE|?SCC*ucy3j>X^1}r5Zg~T?zZh|-DP0jM9?R^+O^m{ov|%tjNFV4T+Z}Af`+TJ zMLCw4dRO94q_ktXW1n`PDyA!@4U4-B8Z))pf*`*Xfv6FG-@ek)tC%?q5mka`2J0#U zc3oY<{lYHur%6jF2VeFM3dC>&?u5%&2a*WyQZBOz$A!AC`#A2nMxsRL;;#2qE4C_H zoguAhf9w0dgp46wbGQ$b*$4cNNp$?+fE`zLRm0Khl~~&>VVg;^23>sFJN0yLmQau= zdQaq(6k!I+oNxj%OM~A}Jm{yZ=JYZ7QpK+2kH{@P3WG(*%O7z#`v%7>YYggp6n}}@ z?YSgjib%D5fD&7^{4gQf;eAL(XfW${2ho})1m>rZXgVc_xKPxv46xQHDxFICLqaJ3 zDq z&re|fuWEDM*Q;$Qd@YgJ9fh^ee?^zI(sT;UnnW76OrKAo81rYae{^Wc&OAG{PY~P^vKZ}k1 zn^j2(Zr3C75qNIwIp*;UTuzxQ5sMmydu(5VZ9Q_bW@7rDu}RKQ6Fl>75oI9pN~ir; zl=Yx+HzkiRPjrmNZzS$}s%QlwS$ZgO>i2I08$kEie$t}ih;f&kkznI3W7=}AA5|J$ z&l6H}HjTFsgBW3D868=Y<}AJ_a~ozVA+1_LC`A8A2)-LITc8E7p%?hwXdcT_I6#v6>aW8dRimvz;?Ge z*54(m?az`l!upo`PGc8u_Yc>~38bHY$DnH~g6KGK4eNS;sTfU%*|;xoEYvvhei>}p zUJmI%apn{IL+O9f8T?!6Pxm(gYuZBKiwMb^K_j;{N4M&BaTf}ws(>|&O^wVBF{1Jv z*C<(uw|#ke{&o-EH5bjjnZ-9`tuTiMLrgqi-iB?H?Och}N&hd=nitSt_v)PwVFleW zr&-n``zz8l?d%RsMev@lZriOhSzv=2&d9h3iYMHgFoB^H&u~S+RC*PO9gl!X3{h0% zQQly+XKie*DNm|rPv6AL3_eSyjK>3EGqf1B3Rh5Yeg?I8kJRpM) zFnfaG=?8}Rq`dn1FSDM}_20=GC-Z`gyn@Tf5XF!==R&fP01S1F?{m0X-c(Ppbhq2f z{++k%!r@mU4z4ySIEJjZ<++Xn?ieh_RV&put}b=vPXiw2iT}N>Ltsjl-x+nf0_yn! zP&!G13Pf{c8VPn)oW;!kIkPGQ{R$@LU-DVstFW2 zV7X1l*9{apzV7H_hwm){#|{H@TmM~4mAq<#)^$fW?h-d+){gamdT;CG001x21H6VJ z-GvG!4^z2tRj_#CL3EPi-*WPU7mfXWj`qK#|pn5kYCVSHDEm}& z{39^$_XFnr`&XwAj-+l92M#O)nPB-zvugtBm>?31;Wewy;|fn|Wet}zV8LO1_VOev zNe>cwVU86EBS==Fa^p9Dy?6ezIX!Gr_10yyN9>YA{ zOI;DUy6SE@&5Sz1n)=nUQlpB&iG)>ABTQZqT0?B^5eAHg!-#Ua%$*Fz@XTMO8(%7U zzp0q|CNgXVB~(u34?!@RLPR$T;SIr?&Nr%7z1^L5!q^kXvzwd*-yisp0y0Z8mOyC}vDRI2 z;^(_D5vb@$vs@MsTIc2pDtMp3SKA|3T{LA8+&VgJh>6)y8m`DVi(oYLUHFVFQW5kG zIK3lvLGPwi*5)qh%hll?3qB)Zv7NBv6<=c|bLOB+)9q%+3Q;!!)Oe#)GDxj!7e{o! zs{tyXv`ICFCjtwG8FY~l&)F8fQ7o8*^HXH5v-&Le)k5ndJ*S0H1SogfPK}L(uJc)3 zGOk@M8MP}~5s@pA1!6=-(X<15H6fABXR@+cJ+qrS?wi@vBOsx7p(vQO7Z@NkDCjeYOP+Li7@vg>aHY81PEuw%W}YgfyeP@9Q}zNM z2;aDySAvEb`3%O+R%X1 z8ug#aRDQuTG`ANVZoflu6i8hN;usBjy?#Ttjp;0a=T!PI;_$J~qaKdRC2k|$8lnvi zoAJ_zQyt8sA`ckK6jWLx7V;5nB#w15bIYwf1C>uZB(T+jVgacOW*?;10I3Co z70Dz*_|!mZ7DmZQgk%tva*0Z_HyDlr8efVgfsq-K4L<1?y7QFj;t}&yNzzUHwkEC_ zU`^CNtr;tX!4uj&3fOtX(65Vd#L?51t!#F|?8dr1*u0+g@7QYo8@4*a|DS^Uuh@!# zTW70H^*uZVCafRgBA*goRN#g2D$KN=&%tk?Tpvw)*(7T(vo^hw8k``dUeS=R2 zdHuLhJVk?ST*eUg4K_P2s-`i&T!IStSUfF5JPgq#5#>{2@X9)Qb_1|)ea*R2{!k9ZF}Z~Ys9xyQK_(gmM8&eJKZBk(Qt{$*E#oS#{iTQyNT z(_V19=?DDfEC{K6I%XZFDx?DKjq-$q?OV87bqqXHY zc!>~)9_s2Fmgh=&v{ck{H`evCLtN}N#!Tck@l?7V%JI4_PmwbvtwjI_DS4g?x_YV| ze&G-=JfTsEW@^A#XkJ#g3mEt=B+q4+e27>5$?tZT;Jhpgf1r6c&wNxk*;?aKqe=<8 zA2=>A3r{Y9BV92jWe56(+~_G0ZR3-q0cNIMMs6^EK+PW zKOQ^PYT|3qoSP1mYtoSMS4i>?m1)tGv0;?r_*?I5MI&RC~NrKe64^ zi{4NWf-e=lQJ(mN$9v@uT7C~gi27Tn=w_?l^teRo0^9i+QlPj96{7L;4qDk1wH^)# ztm7u4Swo*?!+;ZgLe_ECAp}-cUHzRD+jwN{?6yy=TG`skNLtZVpN80aDY43!0Ijq? z;OGzGZJd|Bj$m6w%suuJ%4qi`a{ttj|+If;zEQ-Mx{gQ~f&1m8~`!1m>JBt$ltR{Z%6-diPmFXVP zp^BkSv0X>q)5-?G6ny|Q`vFYj9J#Frt?eGPwgZrxe9(IGL2J7Q=xdR|SmkyP-D|%M zNj~WJETu%EhgG$pLLsH(S`G05oc(`e`WKY{JJWyT>6Zc>?cd~Y^?$x{XJp{mTw6jr zeaEeDj9_a{0lx0JyRQc;Ad3i4ez8K?3i=h~`cx{3z%8FhPwdzCrjnfYs?*aXR_3eg zE|mhQ>%6xG1#57uL^YQ`c*-y0o#iiX^A?Y&)(*i-R5{Vj%VIDWkxYg+Jy|5Q0ks7%a!(!eykYss=_8C>ay{ z@FjH|xFI)VqFj(Q^3hoCBinqrANlrqhb|Fz@X-}{D%v*(2)pXmim=QOJs zC;2mNnpN0NqA;;WUDP}W@`vw;xbLK$QthuBo#nDTS`BjNY3gLZiJE9k<_B86LuK?; za-#tUUrV9GTMYoK9$Xw$>U%|+VAPkPs18=Xnz@qP%Z<6A73;TJ`dz zB#y`*OWHz@zl6b&sFj|HK+5LRTolpBi5gJDLzhZNq2*$(6R5%Pb%r0$wUGMXXrepJ zPwKC=;=(-|DmAe!&1bF9FGpw3A$?wd0HXreuf{Uz{1OIxUW+HDsY=h4s&+8kJ^k?1 z|D6lfjRT@%L+GnXCd2@pe6$0aFie&bxuM{fe1(|7k6&AH6NwBY@JUF6O!OdjT zl8&srN}v%aRT&Cy;|)#j8i@*33TX9ARq}tEv)&94&3d7jL(!``9yB2CsO>$E=cy$ zqJs3?g1sD^TD(wA^r@GTjO&ztsuA2{bISaJkFmy_!z%sOrP`tJUCij7dXNo)WskuC z@73y$IXTNG8IuLKBaQu$bqUtTE$}t(KvIGQvRCQv60sa<6u*7)Bawj$t9!M-EZ8%m zixEs{G}iyj5uHz65y4)Qe}u7*az&WWJg}#JAIgm0{hKTE`};4)l2;h{U}jWDn&EAq z0=sL)I*c8zKd6${v186bizsV(nAEqMYY zKJQxU*bMyDy()446(;ZlPIVvxBvP@z=pT>*=>AOvW5<8hoI%|SA2g|~La^$q z?p1&*QwkgWhr#2BWrY5NeAVt>$scrhAd>)r|7NhbzX^gT^Rb)xRSLe$$5!T7MZh2* z;n@H3DmJbd6Z?N>d8$Ac6Z(eoU%g28KO||J0(b!4i;@4}df?Cbd#ZPy6GW{yN8R@f z)*CYFUJ-E3au~+)pw%BV=_ItDLP7+6i7=-){9-Wu=$lB0{oY5#-<&v|PN#Z%(X` z={by{aod+NkPA3g@d~L+YDAh_+k7>LP0$Z804_1Xv4?IUSOvkPf07S3`-1ov80{cYu^=*;%ILo9cx~%luKT zP&;$x`4>3w9dMSIeU6+#B(>3uwcdopGM|-=%>K3Wjk1*cXW2x!Id^(eQ~7xMFm&O_ z&LZfCS&GLGUt`wgH!gbe!5usmiv3s!wUKDUmD-r{ocT6ZjgabY7HOcq@_iFK_$B#K zuWoNo76}3*yFkZB53l;oBfgoKEgMy8xQefcwBUByZ1G+kX-TUOvsuW9?8K#neWNZ( z@D-LB|hh%Ec>PTnVa;3UvsCS~lUT z-(txIFs!<{p;sW(HTQu#J>CH_jFkCyv^m!(5xWDA4|Ta&9u2%m9j<45%k`RdE3LIW z3jB3^de#lcfO376+~E37>LQS~WZj^zK4l71$A#pa1&4%YAzLRAxQo}b{OV=}L1+%7 zyY?lgB-XG!)rQPK5+~7M=!34Mv)8P{ZBwI8A5yE1+LQoh!i+lTC+R^xn;5`9g2_-; z7yVgtD173xp%3(y#$GS2ZvC2LtPbo7z_|rjzkBPG0KL(_j`uOpaIPCj$U2fxmlnJs zbL|Lh=8I_x^!1+F+2=FQ7M(mpJ3b8&TX>8_@9)?Z#*1+Tn^ zaqlyFVW~gkcx_#}h%YavtScw!5QL-SSL1+-)rTxJVXcc+RUHx+eSmPT1J)FjB-7P1 z8g`!V<5vvZGruIVQ}@fAs>f zf2__qIs&(>*9YH38BWKO5Ez;+xo?rc7JNe>! zP=jK2dse*68HsoL$ZGUnS_|$Zj}KkGmBV$< z@MCpri2!$zKLYL|r{Ryb^J%SS$@5=YZFjT}!kwxcEZ*pk4CH&?zEXqS}DV!gyRzH6630sdq`62kEcosszQm*$17a}s(%P3-Tz z#KsOpOx*~deTW`k@YtVjKiB9E_YW@B_!Liq+(glpdh8ssA{)co6i>R=_Umf(XSHnx z>0{#oA^iNk(1Bs8t+DAI)uc7bQzu+Ft5&8hGkRkDM`dG^dbW3)CGwBW1|zi{qkfaHiI@G@yU{@D^)}@>z-#Jg-)H7i|mWd!MjQ!xgB<2i`@ti^A=ui zbOspEljen`A}JPXWfF<=lac5trI?x3D29fB`%ux4cVqD|M|K%Vy66P;Np^5NkxtSa zf8?1_o;V`C@raHHeMUqUz^vCC+QZ_Ox8Z`m=BX0-eKRZL+C)^RGON*4I*xK%^A`O z_7Xt|*?$S6%4s%a%I83drKiAY419@3RQR1Q5)EkE)=Lnn;C!bFW z=5>9aWxrJOmUgn~osf~AMwna%dm}X`IB9igwvQ z{#Q?R&zb2%f%@Hbvdfp!cS4j;gYeyjM*z#7RLsdWL#93phzmYu+)+A2O;AbULjo~UCA-l~|Ni`sgC=3B~0jqoOR78gI}ytREy{$c3D zA01^CuB!Ou*e;(|XQ1??+Y5sd;gOw#)IN2&b=H1ymYAf*o>d4#78=_?L? zXW#z}z}~1XD50`idV~{#dBN-!im)CG)--SS=q+hH1*p>p^D>a)RE;RLL=-MC;pOaVu$}# zNZ`m;h@ZIqN07q_S=P-Q4ProiaYvQ=C(99$7uPK5$vOOdJNR&PMxT;fEH_7Jf1MKy zq#s>WVm>)@GCQnfTz$f~V6Cs*jed-U@x<)w8|0`2hWOB06FgH7tT9X$ z?0JHScJ6{FOtEi?LmlyFI49c@2*SSsU*U+}FpW3xVb`cGlXMLZkjPpy)`@lYC7s4R zF7`uopq09YC}(pmlPe;FTr~aQr#s*lyTW<>Vxz?#rp5?Qt<#+gXy+#PjdW_J_44A>d(U__)~a<#Fol zvE`xBrXM$A*YY+A0R-CSBCPh6OT0?4WmXC&{1me%?A%pK=+N$OB+rb zaz!bLH>AFmlkz-*n(5h|uMS5%o591Cl6S8@^{N7+wZswNToUSj^k~d2KC-sv-LUp_ zb-3}exc1a8nEsLp`FQpqHv9{37l}{+sJ0l0N1*P3@mhGge{^3K^aMTrgJw*@pCq=Du4f2ynAbb^9@Z zDo*qBXw;SWl&++$P+EtEPaHt7uu4#!tX|DO297fXYi8%S%E#e{OW97^TOrHC7IW&2Z&>`*~?3jnb-r07BP!ZM4JKLDpdd*xldXrKE3`N2u7= zW3DiJ(KaQjJ}Jocn?^HO^)a^~%|L^`P4>RL-E41r_t>C7SOK1+i9HH~>(4)3(AV1?{8? zy~Wj0-u5>63R(5O*KMj@Jm0LQ5;>tE?cjY60#Va*S0K^i;jH7^H`siZCW?-V4e@Fi z{MP$$odruXMF`PD-jg3gT90Io_PMJGKZcBgDOWJH0;cM~)G?U40aH(Zk(e9j-*dOjKGR~*eZj2aj;jp{5KrPo&(RQ-!+87;S8 z)W8E6o9T~6U{KpQHx`XK+A~IZH1eyK&MCcYhM=XH_D2~}+qie=^D?eJ!w}y2E}IGr;Oqjt2GKD7&kcUuuf~; zP6hs|maR(1VDhr)64Rz$9Q}$5YEh;%4mdK^L^en}YfzVWWxrYyJiQEiH1$CNabR=? zF-OpeKw@llaYv?cU>>86j4Q`jFpIofRw)f1!ISm8XVK(%3&}^fskzKk&-w3yYvYZL zkcexFEo2+Cd>0IOt8GwttG7Err_DGdtFCYE^G`j5e!+-m9(`4jx$+r71VRND*~}XE zZX~KI$_?}y%5^4<4C-?XD$3Jk%~DP!=V=7in98k7t_ouHoo%Kr^|C;?i1NXri$E{!0ti%*x|t3H*F`KyIx;z{-gz;@dQ{k2-J442Zxs~_)qnM? zU8F42MH1L;6&h>di=M=MBT)Kqq4v9>Op|BoGkkCP+|g3No@@c+PcChgkzuZi$L?k; z)r+g@A4Kjt0__=kTwZqJ&Y*LIBsG|=tO>(Dr=@`@b1(&@f=Lq)Nk}BA@m-K>d4zlZ z(KtKStsvXTd8f)WIM%IBxq?#cY^sJfc=Y-@?&Tg;sl(O~K|k%LMM=gr@Ack}A}(y^ z$JSS}R^$Hu8Z=(moF2byqt{Jkk@QoqVpO}IMu5`SztkvDZ2`jBnC179U|yu9wC!%rdZNr12^XpY(bXVS2@#{?_h4PI z=HD^CDl}w7dx6H^XR|ud+og77;ab?Wz8cLW)7r{_+4JRH$llQQ+HMxb+lGA=AUPm> z`($q_*{dpd*m3Xy@2$87&C6?e0B2CdD)U~4Sd|LC)cfGnUMbcqNLFw$cXTH%{oPom zW^*!)KxKvn$s0IhI!#lHek}dSy|%u$|P~^?aExj;5D8 z)*mnzfYKw=1Ze%VD>^ATSE}mk3)<-=D&>}FtaVpbEe`b8f-t?+l#FLX2~wI2H+GVm z>xuMVDpaX>{^7~SsgR$FqLKP{{j_-P_GxIEABU0^3oK8o$q<*0hzsIjG2#r4!XUS> z?;s|{ZL1D6PjrW5Rk)_W>!)6@0xa_g3R_Ln8{Kq_{(? zq=J!U?_bWcgSXeeD?Wp-CuGAQ5Ccj;Aa;jLChn68&cqPc+zkHLD;UC^?vSAW1|j$S z+j@Gh{|!U5e}PEz@V%x0#V)zj3z7j~zCI(TD-~+GzGB^&EDgtT`%hFP>%lm#{E$8w zeIqbP%U`Y<_ch!-+3R)!&?w|-zGjy9c1>ri2oV<=<06}+JE>wmbw3 z^pf#gJojdjA2(6I-TOC(D0p|WoJ`P@@$T(n2{~&wZPAQ>qXD!g^JNsP0>7XKK%vH$ z>`mp-YH3%Y!74c4O{ba^@rnoygqOW{kIDZC_eA!liG4>TDSZ21RNQvLFM~6T9_fYY z_bi~Y)QoV9E2omZp@d%`NPho+s6P<;e<73X%8>M!1i^dd2t=)O)A+~8u6ROBC2 z{>v2$PNfGtrCitLZNbCds201NzU&dF=;l~V(a2MFMU{Ehpe*Cau%~wc zvORg(3z89FK1SHw@_CrcsNrPaVz2eqNfPfYD|-E8` z+JnLGc4O(f@6^-o@TYWf)?;r54^IuA8lFyFvrnJdm52pfyjNFW?jPN%0n0f8N{u4I z?_ZbGaJKHQ!KaHH+ko~0XzhaEG7K$Do#Ky81Fbz^=N@ORnUn2&1k&xM2GbK*V#gA< zf#!_SvT;fSiX!0Rf?D~3mSydtYKO?|VGLKlZ?UyHEV*zpp@%Qsfd;8oQLjb(`a9L? zV&vsPRc~{f$@zC36&CKxV74-92@dyf=qv#4!}V|Aj@01kZ1&4q0QBPb&OIfIROrQo z8dZ$@A~~!6W1g2ss{8yq>rq}p`5`F+nz9V9)VCi*1cy{vMA{sXPA&)QTdeQ;7Tcff|$^1d@0Vto-EVG=Se2>Y|b8E+zlf%i*tf(olGix1jMMVF?t|M^H z&awHCLnn`vDZ3~4=nATbs9-@b-EEjYLgUDD%Ua*mgnqTf;pRklO1?>vA<1^iM!C{C z{v<9uKixTAm2oA{Gf#A%W|@LZV?NT%i++%~Ud%rzA8A|;{RZnTz)`PngI}(a-;-OS zuGli7+n}YZ#}e_chWh@;hLZ6^K;IdH{-0NcX3=bd%o~;VWaRSr#%-g(5#FLPmfsgP zR7$Hf!!5PZezC^IJk~AYo4&8x*35z#mfhP==i%OVUq^={8GF-t##X<{HOcTu_k#K^ z0`5BsxbLoIYg3YI?8;Th9t{BWO>~) zJQCDWo(!_!@8J9&`Yc#lR-Vp2C>Q{@@6;G@CDU3ZBG~(!u)0u@V!#6P*%k7fj;|Hs zysxNooMzQ=XcOmQl{Y<^Kr5Wb?rSSwa`L%9B#w+6&pup{QWGMN^yr198qMM-9_h*o z)eU#VF8vddJmLT7k{x``f9sM=gvJ3FFIyA(l|Oj>9mdi$`F{=L=a`H&f#XN4AQijV zq;I+-F{FreB}j|#dhFKfkC0wUAt`8N#>L^LTVB0 zzwVW~{6h*X!YvLzPO8J|Tg=b<7F(PolKz=(dSH(o{o}ETV2{lO&$gvMvyEz$Cu;9m zi*XUQUOMZqcla=TPF5$HT%6MUmy2jzE+&$jxM6@=+lLM1QHb*9K2K7?EnoNzYG!0W zxy60!Xr(dPHUyIF6^mI8pGl=jrbxh5WAbt^BtFpIgxQ)#jr*l)8X4X0E8&CnCKD}_ ze$i=EwR>Z1zVl_@VzZMB*jF|Grx}KnpKc#8Gr~>%>8kgCcHo`O53U}Nn^7vpner_= z5)nLOyWieaMOJ_Q6$hTD$!GqcgDx!^wdByy;NraDP^N;`L_A(LvJ;(NT3VmZp3i-L zx1?8eavzTR->I2PmUKtOnm2P0Exgw#xw96~VaJPq)Q2qI{^!)w&pn=P z7E$(fwb?t!r_=4Dt0{`@x0K`#>C9=4VZQ*k`2lMEk=dh4cr3AX)VSlIpc?8e>m z!+L#mOw?O8W)HO>c9W++|&4+jtJ2E#l@h)R2+I zkt1Sj>|Y78#0RUYAHCndxi!arT|T#0??HrN4YFn@*%m$;$pmVku|TCK0i2^EqQ7$J^}jYAo;NkM`3n%2+eVnwrP!v ztx$hhjVUK9Ypz5Zd|?tU!ecB-^gmEb7cVc&(w4fQrn`%yZdCSdNYkq|GMamye>xo? z)bu0N1ihQ189=BBd?|ud`H`CM-e&j&EH0Z+T*EHBf2IuDAMq-*t4 zJ`XGND`uwAg6U8F#iuX#-8bpU1}|p6J%4964xc<){O*4_-+H-jeHzY~^D-U$Cd8S1 z^lpVTsMH*~0`YMPN{|RSB>3YoB!^Z zK)LYS^BGgwyA4V*wkA)>DZIUt1nlq5pg7NyUOIj>*G*2~cHVr=Ha_H4GbCr`zR??S zv4GWzcHJ?%v$(5z#t#_A`wTk^Vh+FM5r2RfCK%K1wCcjrXxE%Yfv;QV+NdlI(O5~{ zU@L`~MWJm2F&fJaN)Cc^Kc1zXbu2)s7ATHWsPcSe3z!e29mUOh*Nqhf`GZJ72c(5V z16gV1Ej@q1L9nqlNW5Z>MaM;4JzcGSIq&9bQ}wKkAju2x;6%|s9H<^I5Wv5?oW0Ap z+YO2L21$FZL4Dmd5_LJ)+R4?s<$8|HEKSFoY(@IG_RYGRWz4nBM&|LdlKJuD0o)_h z?P*i))h!+>N$gFL$3sLGokwy3Ev^5WhtXm!&F}ckB`_r8o!Q!1KI#HxCUSJyi1qkWw?GlM zM=-Oo1H`=e_~B@YjcLA-)Z+cH89i8Gq1!@gYuj7ao{Q7x9J=2=9jm<_wT+r~icp{@ zo5kTTABi+D9xriW*`C}ZHsdp%Mlw_C$JCH^~*eXW>%vQ zqYmL&JNg#}_B!0rFJ-G0#V#>z6Egv=37(Hv`y5whcy$E{2t^nhp#?z?tsRt90;b3U7g^JX&ERXS; zH0`Z~1_*!5`E>epmOhc)s9Cjxl+5{nlsxHylsxc7dh?+oja7K}I8?mIA4J>Lk|^ow z-n;r*ups0L)%3Cg_YubtuoEm_m=ca)rEmoyFM4LoE;27hlGB^1-8=hE0^)eSu1XGI zakO9M9LD&zZz4)?JRyFN2cUsH zfb=!U10cX200HuV=zz?l^My>?=I#!$Zs2w>^gjHoo_m)$@@`%?W4bHhCo@Z3vD25i zKKa1=si}JOns!&EOQP}VISs+e=^C!qb4DBQ9V|W>ooS-+NnlPR$tPU>j&MCqU!UG_ z?N##yqJYYmNj~RSm2wd$c$Nm=eKGi*k--@pNe5bX{|upYTt*5m$B@>NS3gk&9XJL^ zM308z9s%OR0mU z5PATR7z%zDKM!Q(8#!A@I5xYW7R75`M#;LvppP0!%ZRmvofE$T1xlW$raU!0PiTuv zvpY%~6w}}0{O)yidRz{HuDDL@B?VB7q809^zO)6iD)zq|yonIHIrt<<>`_|I_&i{R z;573y*=Lz6@U7*soX`Pb7e9$JxFn?*NOm9E8cMppn#$3cOOF^m=!)r1T8>cvH0a&g zIz)HUT$NOGS1>G3!kCl7cDjrAlkQ!85C!gXBT8jJj*||nf&DM;K=;W;rWBS2C!($v za}C@Pyydc2A6Jn)GLK{wB5f9%n64_;=WIf8uuGTx@BDS@$wwmQY&yLEBF`|zFH1>1cs|Q>a{NqZV#sK^+Rn&!wfdQv2hoJk z{>Fb9Ea49+i+;c`sUk8<58^%Q>xQarRQ*tbD-wSDPlF^a=)2zVXu4XC@GPP3!uRxE_X8of9>jV|}_K)mk_o7_jo&UJLM`{6onf0;)3saLr z^IJZF`Nt_j$qLKmq-DJ2hN#W9H&&@>K-2O}Cq+2HWrkM~%1?A$EBK!p;UBMtl3YZ* zfKH}Eba*=LIoM0s`Vz4eJf=f1MspyH1i=`2|0LTjHGiQeeFtkjoZ*rk0{go}tR!ns z3l9WyHL8*RRSEJg@`+XmD+^&QNC%H)KuZ0`)dJVOtN!2R2CD|gC*`ZHYj`e)aHZa( zO|>x$v^SePLe{T1n%fpK49)-!ovOt=0xMPzX_b{S?gF!I+aaIAfW+temEt9Vc2#@e z_pg`LrzfE}$md_j*Q2wCgWf^_;Q2X|>8!Uf{_51u7HA28FGMT$WV_-PcZE0MF@SS$ zy54$P8Lr1bFeR+#(fCF&VvLWjYkX$mD9rkW+;gD#2|%9aZ5Vm|-5%hgf3G-%%E1*2 zfsL16R0NaN(7!o<6WL$>?P6yg^=l?7TJf#qbZ$dGW>%^UO`a6} zNPhTdk5`^OZ$^4>KZpJ9KkQ>;L))UG`EEoSpn|38xcs^saJHDn4jPa{l{e*4n6Pj1 z7e<7hwK9fvXqpyx#ODW&mA}A+u%Y$wZ16_9wmD!QJ8#kFr(vSz&az~D`w71_#S;B- zlI02tiyFark|omfrnBK1`9sb9j;xfjJ_AJQ2E9qM3L^p7IO+?<0S(u{olDR1*EYo!^nq-t(BqL4~~m(7@xBmZ5rQo5}e z&ZE%#D|^!=v>AbQtFA^@Y69#S?$Ip!nJ~Byenep+WH#(liI*9Wu;zrDg<#l$(`*>VaN4g*{R3p0!vxfZ7@S2tIkO@>9kmzO z1iHjpycp_-`Dc;pqNNk+bq6@=P`F&|g!dT`Zb}C@nj@-B)rr3 z#5yByFkEMeN;or$a>gOjXd`!)easJ>v3lNti9ja?Xsj`ML|k^nwoh`wrZa@7rZZ`F zBE1uvjPvyfbLL`~NeeVr6gMqm=xXV~3>|c1DsLiTBZ-an^4=e_!BmXo=8zjp5&Rfr z&ky(ex-C)(_{LI3&TdjgI6z|Vx76^*Y$6Ur(|@gSnemO#(g?MrgkUlCGWSD|FdZ?m zXEmnTD%NAI>3HihOH_0yL3PF(>rxrOCkElJV>m5hFxKea-3^ zSgFm4OAHY+u$K=Tf~}}&&$<2)7s@P%te2zAW7QveJsaE#+o#J}1bo7;L7JTKA9f<2 z+r)35k`>QSz2#xx8Hd@(R3YU;d`;LSA>P1K$+1DXNvpfYjSf3QFa>qqzxUppKTP4JvMzavY_H4HI~&cTOQ3AQ3Ei7YsXjDuD+ zOF$8(((hk~#gNQ#f2F^EOYsXD@rjw=nL1ST6^U^k?&PQL5Cn}_{FaXMAWL-Sw>12U zKbTmpYS9OOS^%n;QF&xLk#|c2nk}%nSm~vj>O^_!xPT?^?X9h3_rblX2VPe zqC-&>)}}HCZBKrZLa1ESH}vG6eB{hr?xMP%t_K+M*>%MAKYdmLC*A)s0iXiQ&*G;N zmac&fGY1F`$u%=34i-hdn^VdGz4`e!;c{}LILS%cZz&*K&(4Q!~}7>1taRH`L6igS3`{wCaGg z)`7Iz;3TUr{Q#KQF*hx(I3y(hC>RKpD=r!b_Rk%Kk$bJLa9aXVQDO#QcvvXv*$>KQM#MdDI}ktofBE%)l-y~H@MS21bg zxA$!rj*V&PD=1!AOx<7ghpiI^D8{QySCeP)&AGn%#q0G`_;+?KzWuMoa8Qv7mc5Wx z^x4Uc>F$U!N)<=>@FC;>KXNLI}(%3x9V09d|{ zbO}6~75^;*Fa_sGQTFae$PSm1;^8eZGoQraE(tI&)p@9DTVEE|ENp(TsBF!h`e-GU z-&+?gTgoj?h&A4HTD*8Kcw9gC9)LTKcT>RfQBRS8NsD9Qf2 z1Ooc?5`Yd;mAJ`lQCpfuNtpohh_~#b|Cym@4_}-1d%n_MtLwW-a@}64Pg5Fr9gl&y zNbgA2TXBdKnDQZUrwZ$^fGzcsGOYiqA9EWJaQ6qw&lA+LCn$9NmlU2e9#b=`J+3c~ zuYWhAY1nC$O8sbKI9)+}nx9<(j!$NvR+hZK!P&kIjpjj*-n;CMH@KF^Y*h1k^{Vk= zmYtTEV=fPenU60hKNl`}}b=0)>8*VY?Uc%C9BNHB&mn5xpt37J23vHv4ha1F-S;6>rjl+i%zYf9lTp^NSa)cYDplY8>ZwUy9ZgZ40Z z$nXMS>U$T*_Dtdt3SH>TfGVAv-IMo$E3t4sYyR)q z0-O6gM}#Mp=r;Qup1JTXbx5jU zuQ}He3vTJ6AmgNP2et*f+4^#u8xYlCoLF75W_#NhV*VEbm1c*T!1@FByf+ilmAVZ) zrQ-}{n4`uQr0+8CzC#%&MX!ddKw6GD{m6RQ!BK{$HR2|_3&R6)WCI>!NtGNX3Q)+V z(NbSdB#FJV;d`bs)h!UbjT3{xBTxexfoTSmY`c=F3L=NANgPAT`<2tGxGR<}FM|u{ zijP}TKIZ)*nKw`Gg?fHBgG7R=NhN`*=%c>8S6*hyxqQ{^5F`zei6-|gue@l>HXyPB z2AvfY2+VeYxbFB`Bq;k7Bcq?ZH%NMPxh(!28j_!QBglODv9Nxw!O?7-aHC=UTm%tq zxI=jykKc=TYRSfCE0p{!#P+O0f5Djt+|-H?ZM1>K=D}kBL6{m}Bp0Wz{1#d>$TwDP zo}UNSB42I}cGqF=$S`gKy(U$H4+249aJa20f~sL0R{K zuOLv=`xHE+nKLW)$CO)}LQoi~>S)G&IieU=>KHd^z$@5{5V-x1kfUhWZm$XR09a>1rFA93={ER#6sIL<2{AF~?}(|M zo*FAU#+@EqJI2DJhdLB=nj$9ZNq!O z9)OmwK-hAQhz1^BDLvD(>=`upkPfxOX_7yUHCekv{TDt=>u zAK;En4ZW^J#YRboeUDXzj|+NBRN}oHnJxw-HM--WA>lM8LIH*n6nQ=BcaeLIj&5Q) zd%CENEdG7HIoh{MoSdA7X)%p>o5eozps_f^hDYK@Lz!xYdc7g+_bXeZNu%SEh^`{G z@9J8bWX>6nP`CC(6gq3H*ra(v3IALY3z)a{Oxd(t4uTU7Sz6cK7suM9`nGsqgKpJC zidd%on-Vg{UZ@H+9i8?={q+RdoU;%nNQD#>*@jP^^_*A{DZ?B4+T618lE0qvu1w^s z^g;Zm79G=x@<8j}oB?xGj1H4wj!G6TI!DI%eRalrluLES@Zd$P?}%E`;Xrs_5XD>x z#ZfBppPu(Z)qVT`2KE`J0w)40WD38oMf$YLwl~Mz+`NO6+=-<}<0*}7<&EJR+&`Y9 z52;g0cgD{t{Tpc^TmtL~ImHX%u*su3l@NnXILLl<@GcYO(|aI4_)_*Ce(;*UceMcr z*%uY4-)M-RtXa3U>JTZh{ZjMa-N1*Ti0`1_KiuGn8U#5=FW7sUM%KSERXV=U>m;iG z2nn7hE~%X%%Csnd_5Se_!GwFGH@LdBw!Kh)yrgQDDg53fe>su<=hd4^61byXHsF1* z0E;yR2gV_IP)4CZgYqf@X)TE2J2iS)s4pf$qYG=41!CYd0-axs4*WFP(;v%G^VzK3 zV^=?|giB0LO!!)e?&^n@-*bWSR%iUj1^yYxlRpE=XP$sI7=S9~usCZZY&Ua5&wm~G z<=Pk(ILHzjY-YQ!>mh2zkRq+#Slm~1w2N$Gx|0MAR=+hMd4Ij?HMm;I^JOJ?i@Dm% zbE~aq2=vl>ld;|FW)vwB9Vv1bPZb;jto@7VR`(;&Ff5ixeaLhhZ@Bd@542H&-sYWW zN+q5%I7_U!z#!{qFXAce$FsQ? zA1{RVWcw@SYUT%9ih`0tV{#I7`@;Y$&l47G)1O;cjR=bGpGi6a zGF*MitzGAsEfBh}^!_=dxw!lF+o=%Bi#@zIuk)^hPw=eteU9PG?-~(0=-VBJ?7m${ z6KPU^fpJfKOjUVa2EEa=uX-WN(z4n*2;mLHE}coO3u&CLwl}vf9t$CSBrCh6-7=;zy%7R3_>m*k5UXk9_2(yPRUTZ~!{(;2hcn1EcTTJc3Ih}Py--iWq zE7l#6Im6+AlFk%(tpF~xDmbUtCMY-j1B9QonSe z(VG%oqR9)Ilb1$5PLILW<|SvUaS+u8%y$B7CdLpgqVj+JY-eoYstf=BT@E9c+PKkw z8(cuJ$S%d0dTo^bZL>?1qcJscT13L6jiCmk~*=LcO)^X!I-Ibr!XJmjUdY{Nql z$W}HZRVSNW+Cp&WWoP%XD9qW>RFBItN?KU1g?HoK6B0xa_S`p_+cqC~*S z=-;K%sMIY0p8}-HiZ}q=sXjKklK+}vtYPyxM8=Y?k4M^u+XX#~i;x`msAZ$LIx!JV z!PO9icoV{@<88VHeHPh~-&53?3NhparH`;ir<;}{oFa8TU8;g5;A;jW$aDn}jFAUT zOlaq2S%E{ELXJt9Rm7*&3?@}X1Lej9O zqtk88&a&SL1~G_03~Lah6vW8yL)EZg{DO|RL`u!VB__K{%Ut_ORM&D6v^y>X?Y3P& zyKSO>cH76_(C2l$V^(F^Lz3Iz&mXud%%j)k%0bRT6poY~0WQW#SyiJc{mY!>M zgV4j$HgpXw@5}_PQQTOKMUn(i!v1$@e(4*fF2#jMb9IOuT^Wy#XG7qoM|JIg&2R+` z%b*A#$=TrI;Og*$_UD?qKPee&T~svz%l*QNnXwN>MTxmx%0yB@`MA+Ya>qnCbK3Px zR9jQpL>#>;s+v~D-!;RLK<37~}i?-Ix@-bRyy zG`-0e=+cI+g%hDG;Zm|f4+Lj`zd1Sd$MdJdnfTEM!qFAq3-`u-4H`i4nV7Un&X|M$ z=ettt>RCby>A5PGKnF)R#P}lQ6d{%Vwm90Et^gq1Ew(HZ8A*S&;Ed)# zYTH#<7S57ETTX)ZW?ta{2yHIfR2D+Y*Ds*NvjfJ+U#^cw)l_N|)!xkntZ4Hd7}>SG zbDs7>YCX@vM`B@#yTVq}pAe7Lev3GjqpqXfh}>+Ox)_1gH8$X0{3@Q<9^sJl3OX2!<$E=~*D zlz{1#gOw}pldKO*pB2`!8`~RANR=Kudn&yH5oW&TkxLbTUlII8H(xD# zy!LB=_1x#^DK6(2r_?%!n4v1a@2Z*+L80*H{i^$vr*c@GWMKMxTZzFLg5u;q-;0 zY8!i7*CzgbNyh+dS!4(_)f{@z7`OtaM&CFfGO5{@Oy=+* z?w_CdVW@JR0*lAlzUk(3W&E*F-6~p?^N)o-F+0d_@;Kfzpt--m+RUqBeDURV=ZX@o z`m9LBWHY&|XgpDnS?H;TxznmG1TD%%Xgc9UC@!6z-Pe1Ru9s~Y!`Xlky!#q}4h~Ww zjF({Fo;OShkAzuFloyFfsg5OU)SWT(gITOn6`5GoE$oCstdc15&uXn!3h2c!p;d~5 zpRX95l`UiS)`0w2acPE@W9xID1l7a(85^DM>zBost80;{Epu8jC1Qd3tEIi5D@;pG zMqd1@{8K97$QVgc6rvu*HA!CppIk4HkUKQ9Ekwzf_=rDej3O%_c;LI12{dFsCB;Kv z;V^->cz$jEaHf}-byC>P1&?DWhgOYo=pC`2v!nZ{%vfzjQ~*ZLV6g?p^{UWa%Q)Q! zftJ`4?Rr9l4|Snmw!UJwZ;HkF1vzvwpMBNr$HlQMHdS&sQ@wh>*k1IijK)+28F8d!6f&8{#K z=iHfr3zSN&sztfU0rD}E$$Nl2NeGwxo366cfSuw5oIK7sLTJ3kG{pghpiw0CotCjq zE0g>Qj~q}A4GBfg{bl$ zM4MDZV(c|VHk1QkF-?#aVMRQ*K#Q;l$^nHes_Rmt^x{Naqx(L{9>ZVbuf$V87(D#gZLqt<33c@N-kOGE_i_v>loOv+eSC5GVe!s zf);((*s@FYjkV5!)gR>0OgYRigY&V`n4<--O%xe)w4lL_c2f2N4ZOMXphoE6ItBiH z#4RGOg2l+>ZNrjMY%E!G6CDDk6F25|t}DMt9h@pURf~d(cn_9IgfQ&?h&1s34C*@a z=u`9<1zQ!TvqYFck^1mkB#`Fx0i=W%2tx!_^jCD7-H26K zg|cE18yK(YHBxdzNm&=}s^LHI2uWcG8Qw%oLZrt&pQi==RN;AiaWLq!K;5yeY5Li*aL z{21X}%vX?zPic>(X$%!^P)f7b`jKOC$|wwgX%{ffBHSRIc4Q)3WrDD*JI5J5{hNRl z+m%_skJ~rDZOJz~Y0+NLbYP)vG7?SJZjX zkbd2o2hHgCveKkbP$88wzbVLuI&UZ~c`*5{Q>@b@U8(5AKf330`vg}P-69~jKtzdas=W4RF{9-NEEKpB!w3^aj^N->2lsBSXCJ1=Q<4i@2t?~5= z;yw0dt)vT*-9r``;RNz+k66vB9S&J9J42UUV-z@ZJ27I|&H>lzEPsb;tDjO&d7XJ$ z!c=Ru;!URuj0-hmRGid0b~M5|goBs|i=)88dA ztv!_~ zSf+qYQ%3SLGNE609uK%e*a@z9wX+K{T*98M2&bGzeOArWx-7$TCF;8^8u2M6^GKB3 zLuX|cJqwKeEA~^?^x3R}xhRrdYi?WoUB&tpi_m447zK{fcBp7JyDAk3k*_Uju9Jcv z>B- zdGpzV^2FMOB_#ciFbl|}M;4`H^f`Aa2a-yW`~%x%8NS^iRN)l+XXXB8B@%IklF(v% z$KOS{gT!$~3<1Xn+>jmYn(c8zyz(rE6#a7}F2wFgIno2*{M%BId#mxSmTgNy^G?j3%9E&+6Lr$OzbgUJ*hpyAQy1bSa_}56b?wo z=;>p_guNv~yfEU+Ak%?iK)}>5D5BUztWwMJC&LKkbP?wT8L8%O&i2PhC)IQ4e~kQ9 zxC#FXz!CamtqTlo7{ssc9YNxfpl%G0e*k*X@GI547=@&8 z41T(sk76B(!s|IqcW9hPIT-yDX|8ntL>klT`J6wI#zt)97lI>?@ViF&(J1>!k@_Eh zf~*C`F~f6BWqI_;MuAq5nSTP^X|2tQRm5`fAs%{|cd-F_$tvd&D4f-hil&zK7QWVn z5z|OEr~rNc7gj-0qPa^BS|M?61$9&ME0?kAv}gTmg=GEYkJ&xTQkVr^AXckE@1*EH z`6K;f5=bBMU)T=u67Y?l{0VNs5m!>P-4M>F4pb+dQt zDdF!bk>qDFu=;127)`$07Vu}uOK51_ZV2OWVW}XgZPp!ed)MJQ2BstO8{TfDTr z{h*k)n&1_b@`~9%yFE11a3i6QIO&xOX~ijb!Vqu2^c2bAlCq}Xl|n`Y>FrCgIkjn~w0 z}Xh)@L% zAnC{rHIvFm>pejKN-1X}hHYhmmpb2E-dkxfM*j;(omT>Y~JX5{vgRTtETi067F*XBZUL@<<_d{yL!xzg# zl_Ifbx7%7)U+JN>kL~?|mhGS9mPyMg)b*x=Sk>D_S{EaX?zTxStaAU0w6~6`BZ=Nc zaZb>KJ3)d5cS&$}hY$$v?hvGLceez0cT0c(!QCYU2@)(2G(dpcWac+B>%M!}TkE|) z4vVtg-Me>vRrT#%)o-v9u~{P=6Qy3H1-ppui<;`d1yW9Zz#0uQZhL1aL+>ErEK4y! zj{GAQ8|TCRM+sYn;8GD9%7m_i!hFV|G{O`ODP|Sf8FXD@EBWfN#4(&z#i9AzFUyIU zE#pQFQno}*l3BvQhlS)>(ppv;R^7xvgfvT!X34BB#l>hf3uf6HLl{cRlMRl5oZm06 zlP)&wJ76w0d?J1tiGGwh{DcCDY(dpU=(vMRHtg(!+O`|d39# zdFK8T%ppPI`*f&g*#@M3myNum{g^t!v*ZS!l=$3Cy`&M~-V(_0^}V<{&<>N*{ZVfn zNu}X=l$1Iz)!Hbnqx(9qXZjQcFI7vYw6<|jETqT{pOJ~VNyW&jDFj6wQJeY8t(5nJ zA(T$wKx}Wg7%ndViCu#0j^Ze6fzV(>W_HTyVcnF{JU?T z$8x63f;rq-2`&qP1^hV!&c)77ppaLVTVF0_EyoG!vdgf=x{3Dgz4&IaTQs@ERC2IqFYxW_X@X9!tQ~ zp+M;o_z$B*#>(S3BZS7`&I4TGpuR6!Kjz4vJN;Nd#s;8nVBpynSJxVLch?LaP2d=; zWAM{~UVO@2=pl?S?1Cypdr&tw-t9GIRRM6%cdlnjY%?c9>sOW;p24n2kK_>6m6yxfsL(hi_Z>F6jGaz{}=3UCeffPGy2Sd45!w(=YpXmNklP z(D-6SZ<805k)2~YsK zHqvT50bUmF?rP5>B<rs0kzn{?X-O{i z%f*@RV~(7GRuaT}n}NQ0@%7w6&z}`?2YnIBR#?jXG!)!u9={TnWaar)g!b!C<00q( z3kt_{0fAaquNZPMR@pa7KhnH}&~NDA84n*o-I6*`;2e8$CRp+PY4F1I)TTEy_Q~`& z`p)%3r(9keZ<3VJRF!1ltbJC+^gNxolHuiBP9^kKvx#yfPt*L_!1LB%ktc(G#QE@L z3>4no~@0^cHou3 zl~E&9?C`Rt^Gr;vYOpV;Ehs#yNoVG|ChrSA0}vXIg5PJ^p(^CufC zLG>=oFc+AXjyoxbT{prH1y$0#N#&6jpJxfPMufM?ObqjAvE#h)5mz6zgK5W^LPL|9=FTOs6$QvVdS1Wl5HD?S3i z6a$rnkQbof19eU!x}nh6&3_P+*v;>JwX%}gM7-keS_YfSm;(BZ<$OW?pqr%2qpv1B z(M7GEAzG~BBjeh%&x2RSBqP%9h3b`X)`A9NDRIEB31c&_hFG21)DG&wN+eue)E=Fe z^pl$a{9lS`^`H298~Nq1kQ_i4NkY1z!`0Y5Ykqg@s@Pj9&gXeOexTrMHX|$#=Fv;fK z!{ziPUW1;>%wv&PjAx4d(W%ISU*{VOJ0Tu)UK1YUg2tCDRi_&5+uNxaotKvfI}<$m z5o&N@6X;qKeq@B^Ne&PfdP~}(nRK5`f74A}Uf(^u>v6pgb{x>baEF0+(Zz@!Cf*o^Zr*i@`&egRS3?Vw zq}H!XuR8QDG|$Zc)cV`W8W+Lkc|iMjjyZ5L-mJqz3lcJb%4mOpMWSc6Mk+kG zjNn+irPy5E>!ZZ4gGGRhpzacD6qVw4<>c1cr?Oso z(ut=+PI>a6H?pL-FT`Fk0+lQao1mSChQ-xhPVf8ISSG$T0sk5YBYMKXDb2e7+Hn76sajOB!KqkklK_upU_3 zXZUIQBoW_ZmW4t*(&Z_JMaj2Il{zCHg=SC!^F#M@Qj`LWYLkfK^2n4xCm%&z> zty8TkeUweeYvBTcsDFIzI+Iv>Fpn`ZU4ftg;4!!WOYa1I0ZY$6G!#hzhvQ1jXRhYS z^vB2Izufe$2m~;Ho32anu*;D8 zI-l<5t-XsWS`>_3H~ydalH)-t6Dqo|P6!15#2?5HBm(UPu9c5w&hLYJ@x}2O`rj46 z0HSentH+K(Chhp&00b<(#}JFhNRu4WPm-l8f38saf9exNJfd|GqY^K?&tU%Vu|>dt zgNr7=zJLJ(OAZ4PziuyOA^&dyH zYSnEf@$ko6BdnL4Yq)ivRGnYT?MMqvW8u7$;P82iVf7@xuZ)I`MvZd;ca>2?RqlPu zGi8~;w@)IqX#&c&rl}%r*&#Fuvqs4;>}08Y7er5$izo=@9zC5*_#q40K$jmkQmb^F z&`R3#hx!|ri)+Gbpd#c;m3y2JP$6_{f3bV1s}^!x=IQ6h$ozDc!HX&X$D5;v+nvjS z4tt`v*Kw6KAKz=k5=w|F8G|1OUkVElv6Oc5<`g0|Aw`5UA_{SlgF=qzhowWJzln(2 zQaf2AAgab~mGpYa4e(I}o8i8(6MU>hFqL$L^PH%7dRG=#|*~Frvv($U5U)eDr;AON)pn-&+bL4^r5XGib9%I_G zB4&wY3+G`Ya4$xmYVjxJMNiw|h!dtVph@EQi7jT(*Hhs4@S>CmBsi9mg)kyx`+G`n z&O(SW=BN`aM45se0Px!LFJizSE^V%X3)**6gUWEt#=?nNA_pLdA5nm+0ifg8{?KL7+&mRQG_*b*Xo(OT z^g_gtLIV?CLDhWgeZdDp*n=1rr_()`^qrUBwj+HNEiP+ zb*ZQd9(^jGYJrL&sF}i-%c>%fBZ~M&X~D<#5>1V-kW_)kcR~Ad*~pNhIe_mXAfOZ( zZif7V4JOzO`bHlHVhjZsVfT}fWtAVhlP#@MiOi-e@uTK`(>_=E|F1aU|Fh=)cg2N1 zQ?hteoHv~*Vq|bF_fO9Pq;GmpV0&}l;zGp)A%sYCLH?jU@a@7sK68lZ1sfZ51rW); z=@yxVhc!|WMuP#hs!aeBNQ|HvU>t$Nje;2JPAIcnK!zARi2*0;-S|gqWECtff66{JZxwed?GL!ac~qm{p+&`BDwt{n(t+LB+$iR^T-fK-N8Es8+4mW z3nGOZ5{b9Hf7P!-WjWwaBe1M$NZ9Q3t1-Z+bO39SIkZNXfUgbOim6gVjn5EcU6(b(Xu2Vk(6OimP$9yJ){|KA6Or2>rt<`V}}2`vm9Hxf|3 zDB(Cgkx z2||OMFy+nnhGVUh-5=8z$6CPqd+eHfkK-F}Lt%g3FaCElA8NdORX#EWSibWpH!W*a z0VD8=-qf=|i{5ZDf`1xmz(3rS&?53?+;Fux5{N_@ya$}BHEKKM@csJ&iFxAzA75&0 z_d#NX3}3TqiFw1(!zlG<02qyD{xl~6493fRsi8VVoA;AfkDE3^Mi(*U5&DOwnF8#N%YfBAqyr2#%eGZ)Zk+WS=%a?hjTx$`QOwa=+e;!W5KqdiY{XMn_-Y~xibrcs*?)iFzU zt?LKib8Q!T)A~=Q5%rfWse@6Wv`l0*r27uyRiiIP>OXH8M|{9D?3w=Q=MTz5`P9HP z;R&{lJ5kgTAm2G~5Z@L6t8IKYj>zuHsddfnJ9ZGKF?%s`8e_zgy13EyL~(+>eM2f1 zfj*u-2}aUXM_8uRp z-%Iry77IFp-wr0v#QZ!_`emTpF`O5Enh*9{#v%2!imOSP^75yQH_rr0DUD24oQSUWff&TqOe&OiH^xp}+7qbs$*qm6gsC`dQ(NJ)U7 zIL%&MuKb*5UC-ra-j%4e%yA%n{dKmPg(~KxSnAC+*48RE6_#Ts#nu34Ma3>%^(Ck&P_}Koy$~3jqAE@+lc{ zeaoV4>a<@%ElX$U=`e9!#yagog>^oiaRm|m=0ES>dO!CTZmHn@8QHEQYAX^e3U++i){Dd4|UEutt3O}(`X$%u{R!}VMzZdE)k|1c=s;Aj2$ia3+|*2 zTlR8Y?K-2r6_g8V9g*z^QVlF0EG5%M7Ky*@G#xN5h@gV5Y4m z?0g1s9AGi>RX_;Xc`<3}iOUxF333e6E5?Fo@dR=`5n}^>;n;_3{9++1Tu`qByL(T{G}@gQ@TDmpcmBkq7|vPr^%CFd|l+E zxZfNF`B#F(4+Ud8oI*t$R~xV4;*iZoMR{0A7Hyv-&sdIYMU+UI;({SdR`sWB9`to8 zJAsuqQXQRplc6rZP7-&X2_=tP{M;BgwDK{uA5shVu-3#hUS=~bBQj0g6$K`VVC9?; z{YJ^%Y1a&j0Yll&B}gwtBlAr1{gD(zMIiHuusNnh`C))g7B}H`QiGhNrp3K&q@2a# z`oJLrPl~K+q*{8iyz1NreOCUo(bHe--e06m&~2!8Y}kfNl2anfl@b?mQ^qB`)=npx|$^bb`TxfmHtpAJp_vgaGp)f`B%)is^zFMP2Qk2!viOq4m z+yi_OV26E~fAOy^O{c@BkkM0wBafSAmft>;(o}8pGIdrunI*)_v&$|<;a^@xX*6M3vc1>o==9*`YQ#YoGXwh?{eC)6s1k=kaPV) zIbVk4sw{gtzqfLE(jLv@(SP%NGM3U`%<5a2d+}J&3di!7Pt!Hssn4I_%8)2$gDt&M zr*@QPBYbZ;5_#SuSuE=@G8K%yqsG2Q*Bj)wdoo7X!o{l;J_{Z5`bWVY=Nr!LC7lpssYj8R8Vtt4RE zekL9c|7pXX!CiYON7j!z3_EzLck_nyx>=4%*&||E7L<`s+$txxYeT^^`vt*sVlJus z$B_raUW|+A3sDiidS6<OW>7 z!pb-PRFWtjbE7tGydJ9+Fr#?1gb<(NF}yR5<=^HyAGZ=k0vdk`@XhR48xh(0aaO*m zg;1=(heLGoW6~0U3?6N{Vau~DBe6A%q8M!>FdZ@UPnv)oOb-tJn}!9`kiXOY&Z>eA zR8ix7OA5OEAC!o&_Qf7Bieu^W$lO@4@YHradV_`R3o+g+3KRw&2nRjSWP(C=k)^v! z4qd2I@at@alIR+NQrVp{X(^d#1`_JN^J^|7F;(@_r@5l(mXuIh1PRS$n5=HvS>wUB zEts78Iiy$g)N=Xz!u$wz4s_F4+CRHv7B-ed?Ug?l9%~%xOAe$%yr;-nUXBxE+yAjL||C}`OZQDpc6L@(Q@i$Dea^7p14>cz4( zUI!{K)z?o2g$`q6vdAqsA5*zj{bVtQg5}3mU^z~Z@{)7wlvn8Rk4U5m*9><}EHicZ zcyZ{h_oIk1u*wKnh2zafcSMCW;OI~Dz(C9PbHOqw-33K=iS4cE-(~r*lTT?F{C-PKLfA^rt86YX_<|`Z@z*>_*LG z>ZK0CaCL!^=9NEumx`k;s~uPI$`bGFMHycGz-OV6Zp5*tT`ByoX#g;BlTO7-+VeW= zN0lohi6#=ahQiu5Z1Od~Mx11wlkh`rvKsB4`1P!FEl zoyxI1+y8F9q}TGwup;@O>fS7*@a=8Qvzv|-)(w&$$!kL4Z;tJIJ3~)w5<=-wlVsI# zXp$}=>aQwf(uW@;dNgQhu#26s6WE&Cqk_xa)Sf9+@lhEux@-58jY?degdoYupxU9O z;V%<{J6YKEbO1{eaHC4UqfuVWMvoXtZ%7DFC@QL5^a}>6Mh>+%mKKE{l=Uo2LAqOm z!6~m!ZBxvps4oObJ_1!S6`O#VnTCb?^*Q>mT9#zUXZ$#Md35}=ONhK38D6Tc07!tl zfCTt9xH9Mmw@ie9TPAL$LKqJEXM%+e-bFrAN)bTGC1P2?MIU0g`7^0*i`O6zsl70C%^$vpH!w;5D1(80)E;0u}$@rCY(D@__JSMRg zwloQ0-G(J$MM;~m_6D6Qt-2WmdV^wHt84pX>B)wU1`DVMUgg7ek$<0kP63MUnWu`*nxUPdzZj1pdH3H7OK-{mJfEfMBBXM}C505Ms&Po^_! zQvy$$`kKb@iX|L1(y{X_GEzw`7?E;GfJ24P=jE&k%HD*Q962p)t-Qy+NLcbs2inU$LrUTlLlo|(zuQdS-gaLj$5 zzHxqL#&tBB+%~HY%9yb7yAd3H^g< zspVdx&CYGX7b+OlmWhHC*-z<_bIPC6A3uG~Qij9$Ea_~I590`KpC62TBaa|6uD|LF zQqhDH3n}Hxg3i%5Lh13~3IRrKe?>!eYxXTYxkvm8^ofU=;!=%gpfG*u&`os^USYNl zzujxEvLV!*j2X)?$G)!K9J(hR^&**${730^`!)L%#2l(wGZWi8P`3wW|u}OR7E>fk3xqJDp z%vUU83&h7%NR*i@ceKzx*(TOs$yMHTGc-}FGLbduEHm)i;q_&(gOsM;%p!TOkZ1e87FLZND3G-L_@oDJ-OQlI(dacrzV*@_~ zV??|$oz=^FMWQLajrQkiG`FjPYV}{3_2gnL>AooN2v1dX-s|l1yK=VTj4EM6%=WJhc|toKbsB4h1$B_}LEN|(r?>j@gzPv-nl2fz6dhDX3+ ze#2>2?T@Fr!z+A%_hg{%r3Y#qwpk+#Xd_fAk4=-WL7Qm|A5Lx)llwOf9ZGI9miQ;l zl{Jyv7X9Y0G)Jm_CjU2nC)%-{&s6hn#Nm^v*Dg$e9aqhvrAu2;G*6RT$;BSqGLh}+ z3;vs!rBjRyK9^Y~MyPw0C}hfQm`-c(JWDFZM$O=A zk^((~+e);GRiqqNj1cYV($&bAn0WkUV#*^d{AC7kSDre!E3XIyI~gYs?7%&MIN-KD zd>|6_IU25ROTP%#|LYFCyqFXVgL5sZVbr7OL;_u68kR=-4x3z z=nK)3j!<_GlNMX)U4qwI+Y6~0yN_g;AR``>ly7)QwzCNA=lYInfQfmdT4lx$AULIm zSu+!45#EF~P%2HqlezX?2w(pYtf6kcBhRNEuqpzvCKE$oleid!ETw30R&DYeM66f{ z2z+U7AYvKK{fk&>AY$1qfrurq1tQiG1QEeWM7)rCz$hKIJ%G*|A!F<~Nh=$-{$>Em`I?Wq* zGu#db#V)?D??EXoGKkIn;>DV*K_JS*jA!>&B=4v}ay|{_@|;R{h1$H9j*g-Y_`Ea{{AUF`I2(#iQH1AfBZ#FJ5NEaAaD8 z_Snvxui%D+@LH%rRf=<0A!FpdWOA8(s3D05&#)y`HiOGhZ8*nVz|;+gbLor%(U=W5 z#J1q67Pm|38pIYx7ngw$SRP5Q{*I-JRa#AIW?Ugd{v{~lNA`RgHN!V6)1A@cL@Hp~ zjX10}wXMM;r?W6-Cxpz7g*SPsSocIX4WnQ+=+oJgnVRow9&tUc9*oNL-7A)H^tmdH zAwz{_5!kYz8G@tkIymaGJi{)oM*&A&A#l`9Q36L@B5>5b$$$M^MbFX{^eM}LKIM!* zKIM0yPg%aCFQi#10=4QLfK9M02pW(td}bG|ap$VujE{UHoeo^&*kFE^ir!Q; z(>A%qnk2oDnIL3r*XeDkxOc|)&-4^qEBcNPsm={TR{qCk-D}^CYc7Y`FPNj%*7R=k zpE94?R(Q4+>{QrOOR#mNiE=rN3B4cnlu&nA*<*6Lxs>PE*8>jBIP~3y5L3vw^n;6W z?WOGLhbgy6A|2apv#M#7)&v+!qHIVMiz`?N^6G<%qo$j_bU^fNK12})TejQYc7atc zAFFsN7R%#zB<#Oo*WbC~&=@X8q6mw3Xz1Cix06ic^Bt+qW~tUMdD8(`JksTk)jsb@ zAS%kG->eV(#4d5*O`ZANL_BAs-R*k4z+&CA4e7gYvTU{`IS0ivFk3_&B$lUD`!7*x zp@+DrHqdymOxP}sqa=ghns3QwY5r94rlZ!p$hb$qsSCKq3dhsnGI6UI;4$+JYR}}T z=4aNdUt^}H8CmTqGF@p4!~R|@a0OF+(~f6V01F)HZ22Np+XUEhiaY|PPa5pzV$LFR z-$}7(@hCTjL~_|1WAAU!O$jwA)MJH==bfMl-CKY;z5kgRs=r*htfuU%6Yj(a-#|1h z9>og)tvTn_!3a1Pq+(RxL16$#Uf$|IBQIqlIJ*o7ZFgef#u)%d-v2?#<)F!%|1(Pd z2P9`oFRWOPgf>Em-hgwaRdg@cgr$p|`d9kza(d5yz4e?87_Qxh_Ps|+F(PQnKKj{+ zT_S^sOy*=oVGw*GU|bOoPMUb^kg};fNL~B3V*n6Th<8yS`QEJ42__|`-3*CiAbjMP z)lthyI}4tEAFO)`g2B>WE;S1$IK!Hw(h`CCT7!pTd&9viC5(fRqV_6=OjRIpB|%y` zR_eFE`c@Y>>-wT?grFg;8Jl}pg3sve+J&4=Wk9acw>|vd2~+BsKcHT`00PRat`Xww zG^?}<4+SdC+}=k;c}X52>xhxQ|4x|fmSMjm*~}ag-Jn(VAJa{L!fKp7eTMU!ius?qZipmp;e^+kyxyzlfjjO zK3rJVCr7KeP0wLv-IgY+{u~_nbc4Z>??p{viC-Wp3>b@UbIQA&UDoX5;oY#Zc6QIG z?L4#;rOe&=24b$wDrEHXqdoLP=gsX!MTLH~e~m+Rn~9~2w-M>s*Ispiuh1`2n@Zjd zn$T;5^NKJ;TDEYu=9tljASF@e#VoP-z16PsW$Sj;JSDrghHzKa=gUJ`(=vYOAhyfN zW+Tnp`E*bB%k!}eY-%^f;iYfwG#7_PZz*`Ttns9jemCbyRxW5^W^fTjFgY23^++1I z_ugK%_A9^i?(Lz_@=gV0UOlt>F%}`FRGToYMJ(e|&Qo=ZviaPK0{hj3?-S;R{-U*| zYPxbBmg>)1Bm`1nizF3xq3K@Mk`B70(-~A2BI}rR;SwuP8J{RH7rM!sGT19FX?2_0 z$pcim?-Q4sTi+D-H4jq5V86<MNfOh=p#p^OoMHR z+x+0Uw5ql5oK%0)9*LCHJ3Px!=B;x!{)OOW2CGj#N&UUtzZE$nJpz-Zqf{TO$zm>^;moi`FEwZ__ehkgl~`sC)!`oZQQ`lUnI#vWE1 z^P4l3i&`7bZ#)Iooa#z1>OcW<@Q`6g+-B6pY z|7gmny9F-Lpzcyu_51*`B6j|m?BuVu+&54KVk;)>R|nBGz{jeMtll!#TJ8Ai#Vge5 zOGdWh4-Lah>P>Yh4C)=;22BW9;`OB{?$aHs#;kPKGqpocBGzb?a=WtmonD%!;xwf! zZ3Vl+C}xW+aJy^@)G*Om2Q>{n+1pI#3otj>>Er&bqcq#>kc2bsz~%&}SUehG!&R4E zI`*BGu26^D34j}m0ka%yvrCyz!K_LSC%7ipoxZslP|&x|p(nC^py<6+ey44ckq7~$ z$*o}1a`5s>gW0XR0oxK}&X&$%YHT=k=_%%Fw&uh2zL zgnkAtXp|A)9w)N?YU8=1!uY5X*(-o|?G@$>(1(?@mfqpwL8h)sIW0<2qQmXTJ1U`m z)nlkn%+!Y{wVY<&<|oJC8ghjzFNFp{A!`${gCJ~CQ8+X^7l%vnHZD^@?tr+4 zy0Q99z?h<^jPuZuTBZ#*IH%$By6JwfD7kDix4OmKJoa*TYcyi0afvRUTd1!I0)zI4 z6PMYkwD#}}YAwQ)8CA{_CxNw5A|7eqyNnBtYk5Qn_;s-O5Jo%)K=Z)kLOYr4!2f1@u~lh!?C9|6DTaQNw+Zk!K# z8I`${aG@IO1r*akbuTHu(L*64^fv=8WCf)ZjRo{23w#*3eLUDDQYz3388CKpsB{39 zlBwXt8eR-qkSjh9MN=Deu7bj=HHn<(G`zHz^|8p1gL_fol-Di>=d)TwKKt3dOtzsr zUF{$bDcI5prOC|m1%M%1PCOFS+}dA1-b!z06+KeItj+2nQvS9L%zRTl%v5@xff8)F z^`vRrItN2W^VW3|e?e$&3BQOr#_qatdtV349B>9R>ohVD=V;Udpht{(&3cMGuw~ZR z7|WZP!2&D!jYW0LIn8>$ZLnqgBk0SUH_nU=xf~GmP@p|ejecYfg(SXQYcZFp8n2jx zIk0rN9uk6@vb`^aF5`A(HHp9CtG0xgB4WuldlV+7-|0S4%F2<2skr;3n(>Uvm1Ry_ zfd}C9;$?JNU1^r3TMf^reCqKHCG4h=1TJXnAc*gdiU;M%5>vBHS~(1dTQv$)tpvki zhSj7X9xyJ$+4CtLFFF_7pCxj*c^QSP#^grDJ2Bh#TTkYl0E$wVLxNOfq0+PE41qLT z)G~Ft{)^7o!&LrKoL4Tz<7VSvyHCy^YX;Ql)YcW=$p?kZmYwasHHa%xde#UwnMEm6 zADF%9B$t0Dr_AZWBZ-$-qb?r&adx#1T?^9}pjtWn?m|?OEaax3dwj}r)8@A3K%^yW z;-r|vhQFC)TK6kk5jSIOkNj}bn_}7lFTB`7*IA+AlUd~n>A5SiS>I2lwe8Y=y{6e0 zX(c?dp%H(mbXPcp0ZPlM-|S}Dqw&E~hIZL$GA70PN~CFKycnUPO~{I*d8{el67&Hp z^zh>6=yc=Dy{rlS0(sed)l!z(s6p14nS64)#Bm^pe#Qs(wTK3Lx?~xX6m=z1?F}xB z5YZ-_Ct1duqyst6i`2y@{Vm^KIBr%7lF&@+6r|t`%vtPnvlwnt&GU)v3dB)cLg>cZ zht#NI@XYz0^xVqce1oH9F^(yqH2{J{ZG#}YW9@6|R59C5{0@3nm2WoTc4Bm1X<$f? zW%Y0PoSCn_WlW%L^5u83)vtN;t+ri*-R-@}MA27jw(vPEJ`cBsUI8x?i~P(N)z2w4 zJ%TG#_UBt8)mP{38nO40YzqPozE;cZ^J2YA_+r1=PHoxpgfZAgPGyWX+|&n1!U#+) z#i@!=cxR&wDLY%SqyQ-5L8JKWTRe{UOKkr)53x?5Y@y7)gjaT%3r&5zKvxXXJR985 zuW}LuW>q?rQH6KUIYMTy?YPPwjXeX8X?1dYXSbGWIaf2sNqge+t?$ia?zm0*`F8e-)NUt^ zFq`SgIc-GG3)sbylL?{ai6W)or{cLN%T+BYRTvQ<`jg2;jV14i;>*QM*vP5}VLqEA zF=*a{LF>#f@|r6#uajjo?Kl9-s0w*kS|uxa6Fnijwo69j!t!jQ#?L7nUYYjSlwaa(^T{r@6TCW)LQ9L6}&m{^GBJrwGDCpsX4GtbI62@M0>K^+*#Q4~3@N zd@gf!|MWjFu?!H$mrvVH;%ldtjRp>za1rj01&O_?1{8B(%NTc%%$!29hZ`aJj3ZRI zEE=gcC1xV!6)F$IQ2x{NgN~IHYP@a6G(O`< zinDCC^#oLJpoW}u;KU#)1q5e@)&JY=cRlEc$Fhk2?eoCz9})#loYenz`L%bJTs9W} zcKEXn95n-Jj8MLRJN)k-!Lth{WjG9uAaHyFZRGFt6T9MZnx=o!>U&l@C?36Br`6!&M&J*2JZ{pmo)0w2Azt6|dws{SV#Qf$MybAIFaq(l z`4CM(naR;>&fh)c+jFDdEN-bYB33-wm8zP=B2Cd;FUe`XSceQ=|KlrQn1=^l{?%YK zRz-NvP$^N8V1`9M+5i%a(n3m=@Xl>rXcO82eL3yeFMry}wxsN3Mcj|wT!^T%46_>$WiAVCB zLri_BFwq#Dqy+gh0M3OmETuxm;^_^i^ zEVKK?(8o1eL6J`JXo?Bd@NXydKWjLf426sez(OMV+Ju zAJ#Gl5L>h=(_>r@p241U-I(T{vlWNzb@046+P#!4a zd;9WxxMCIPr2rn?6lOC`Dr)b(t~6?xek(|ARnYqni#L@??r&eL{LM()H^PT8YSL6l zTT)L<8Ba2V_!kfJD_8=)!8-g3sh?k7sPCM*Jg%YTwYoscPW=ev+gc=(ufa;!<5EOp z*&sjXjjsx>s7-lo{-N-IaE`U&lxvRq8i(TOohERlz7qMkQvYj}Jqyave3bELm0e(E z0s1}OQw{rMX+6H-pIjU+=IXLodjc`yIwN2B%k`J0q|SO`dD2KgkFLIMhPXU(O<4PQ9%DnUBK73ycKv=M-CHfQ+YBzzEYu67EdPNetg5Vk@b7j%Mo3 z4#%)xYHe89{l>Y zz*rSXp53Uj8(r=`lk>g(n?1wn0ok*OT#!98p!hF)rls-3RozZ9prX@Wa{n$DbTiy+ zwByU{B9{l)5U$5O4L1>%2Pv@saj2fXR&}HG|Mnm5?7FJ-Eq{^YCVjzY8;~ZeRRH^= zmc~b_4Zh2uIe)@8Fy_O0SoEMLLUPCl^g`)Mm<{Vu()^na2jPL8>YsGx7|7*FvHq1N zO0!=EziGJ^mH4N(p5RY>!q-+L0&7kgJwYrK3#ZP#-(rNn zhr)|)Uqi6Y1i$z|@vz_m8F`0$=HJ+XeTspN5KS9t7EwQnQZsRgGF*`@A=Wl7J(*AP zi0ylL1qs)V!k&!13^^IU`!!N_{vx4kA0F`|qvo!Q3+;1{laY?CYJx2(RYD?jN{RT_ z4e2-Uub#{avSeyfAWQ!~5H4_W5xO^67s0iTdohtqU41$A52e4@2KM+st4S}GgLEJASI5-}ifrAK`wvB5C(RD5Ctob)Qa9tP>%cahk6%3^9)ooou6)QW-2;|S?8ue-{XiSOI}I&cQJGygB;roT)x4jr~mENHOkHM z=Kmqne0}RRIsW5%JU&P|ngD&15rAXyC`~WeNev8kMh1-_oMv1#W65n_v?MZ)4@Mqe zYGyI`lFJ$MN3Sl-I!R@T6a_(OxWHB2xxM*Awv{AgaAwIx$}Cus_UP`6tKJ>O@?;XY zH@1CIk;-y77}3*}yKQ+G*h0NsSzYbyYVUZsp3*kx`gQl?z+%D!Fc7+Lv4|6Ta0EPj z&(frGK6ZSSeut^;v7r6b9S~mHRjx5uJNo)?a>lYl8S?KiM3y z=-6ewKVf2T?YbFxKk@k8B_1xX(}%5xtLuu27Kf*I3&Qr*_dn0VR?nNkLly^(KHRT_ zoeRqH)_Bys>0G@792YjeJp8`jpE}O*y*@l3P{#1M`F*^gE&Nh#z3|u~n>EMxHjH4+ zNAP4+A;rbxK!Mml)3@G;9x+ULDb-0Y}^uE3k z27WsNTWw?+ooj2~!|v=JcpelD?%b~K-LE$8S+iENR_Dg196Xt}@moH2%HEntx4U$m zA5xG1b*6iVNT-2Q=dH`nC8Mjey#9uT&lVS+?iD7{;ptfyzde4q)x~jocmr#gM(?yk zZHZq|52gUeTGG?YskiLjG{&e($}&D>ScX(ADPWk`?snK2*AO?I__``&2L#F%2!w zs3oWCLx=bE8KI6kZHMx&OQ*Y|qoZ3~6?Gwf-`P80g{!$!@EK;utc?867To25)iq7N zJ!%xXki8k-^!p#@2F@|Qw3rKkehl{)W#KQKA8+mlFdrr^4jx)GCLhx9{a14AFNtrM z^eHRax^_Qii=`DBR_RtU3WRiCZ^~yX&Nkvt?H!F|RbYCN)d=0P+zI@A!&!Ec8si|k zOy1@Lv;tlpagv|#aXN~aoWdQ-2D!}UprG4 zGs-iYNkT7s^h&BvFS0(m`O0*lajqRYDW$Hh6&?8>bQz2}Xnq{V#P_{wf4zRT52R+J z`P{GW@UhIh$;8>Z*C1Uo98HYeZuPQ+J;m?ye-ks~&7tJrwcU{vm+<3cV7JEps-E}isnp5D?aKjCl8LVCmesI|uDjNUuNR$f zj;_Q*!#jqtuBI$TuM*7;yVAmoUINXHMP4p$50^K+zAav9Lce;u0PoB1XLoSUSEoOA z&p%<-)X;4lwIt)e@wwhyQLVC|>$={!-pZNV-;30sz(bnP8i`73*gXHbrCgB8BgVWP zvOlQ1{BUMVq`QpL)VST~y1y{(ewOw0{R^-AttD&8l}TQMmk;h&HMb;w*A76zR@e&f zRY!~P*Dk=zw?(MySx8*@GESbgGT$5$(R%r<#c_Vc*?AXcbrFm2R?{p^vh?)y_uT6) z%;Vx!LFHgw0WG$bm5HRevm{exWvvRFW&OBv8d0_pUE`ov*{O*wMF7k6!|Tvg1SbZY zy>KThmtV(1fM;iXt1IK|Gy_ml6k{OoO`{*LyFt(w#i{nIl<_4_`FXVZ-h9n;)Y|Xb zRVH!fU9qNY7wadd1El3z2faWVb`wjmZu@#8ZgQy*;P>;l@dIWVS%87P>_$QPrCRCo zNI}`O&`KIn_pBz@%0uAt=Y7uHeb-Re zRR+n?H|e=VijFZ|dQOj<6rh{Fdx=2GQBVV@SRR1v189njPfyVqK-)A11WIL#_ z@ow{)V6ST;N7$==Rp?cCDgu_UO+?HuQQc+I`yuY{<_zR?-;GR}>t04vFq<7kKUAjW z(TK`ZgBN3tDa`a$z5cw>L#f?&r>0pl9HS;zKKAL^>9Z08;RZ{F)tjX><<7S^hkHM=9G(KVhgqr?6G=$q!go0x zg~PzBD(wT{<|fO*<6+_3xkE*7*WJ$whfc+u${7N!hh($&Lf-Ya-xelL2^M5+e>FZ> z{@w(4uGTzQJpe~^56cGnX@j@kRhUpOHsyyCrqz3xpPM5?AE!%{!NKQnsHLL>xY@Y| zN0sfFVBu?Pm@WQ*U-`_J@*;=ebB^~k1 zE>3?-3OptwFZ(^W=*Qix^wrdM&rTD}`Ko_WQFmtz*uMKPv^eeEgRmy}f%{O~xrZWSN4Kf^^*xp#HP`ln)ll~5xS_u)x2V^ zi@znRp8&is;*7+T;iyJ)k1wbfg75C)^x;>Pc{Ygot|cvVZ()t~;7jsVPS`DT{lN2I z{})x?7+hNuv>V$_PHfw@ZQDMvcWm3XZJ+SOwrwXTzInf|>ejtKrt6t!_u6~S%&t|v zrhB>{$t%kLxqY$b2ri-O(a}0ZLiVj`R|5B4QUM^-J*!>sI+vc-t9`TPoID@K$c%=R&zySh~%lIQu3@d$JnN+|q_;KKCW$ zC(5`FS?VB)^j>sPuZY;viQ(FHlMmxmml$2GyLMd^5MR1DZI6@5Ih3nU`Vts516 zXChyN34FezPXEewE1+f%gH~3nJbH=Z{M-ettAzFXoh_!8G$i3h$s?(j6;vzP+Fco& z4)f?u(^F~sPNigIp$v=La(tnAqLC+ORmZta;kT{FP=DtF=YTKqSX^B$AxJEQ$FYK4{i;PC@6lgK`pAZ5c-%&akF0PWL^=Rm+N{GPbEAY8HZcDZ!%;xqOeS zkFOeH)>$g4*$Mpjl=nxLye z%FW4@Ypu$|6iuemMF(~l+|V7m546CUVk{>~Q#F~>l;m^qpRC?rJO1W%Kh5LI`*_!# zN!O@~yxP24b8G2jZIDIeQ_uoTKRP~uHpwb%$ZJhMs$K;((avv!s<1!#-v7I(`#u;N ze7RdFo9W&5eXlbRct1AidlUIudW#)yb>u>J2rDZc)hWxi@QQv4uy`pp_Pmd1q>W4m zRcVcXJ+=GSoVm52VCh!Ycf!hl!St&7Z)kLVhO@^>X-1Q;PG#hP>zE2)vSxLhZjQcH zYMxX(CEfR0SG!!gj-vpk&_c$8iM#Oa<74XjT5ZEy&bE|x*{-f=y|coCIKXScNh=hEc7DrzC=p(ar2Wuo3)18_jcSuWMRZr^tD4!DoL`JPMS?dtAk zOfrSzjy%N7krMbKE{S8oryY>8j}yLsd^}|R4kp1kg zpn`c|A9L(TYUSSW#w5UammdvY|IbnlRk>U`8ReVG^>KKM(}o@Nw4*i>p$xFog{0b> zZJ$;M%}gfLyQ89eoYFmX?#+4kM{w-qGI-T23#Gs3+5yaemTQ%j{s));LnHL}uZcQc zz|{*v=!Xwv1e(x>IS7t~c?_>V5lT-__Dh7fVdc))ZxRr#SyyYB3KFZ)zt0W;&Y#C_ zX|z#hD2rl}uh&u+EeV12fo3Wnj~^~A^JgZb*cSQH@(MT^^Ffuxh_WjjThNVP$xC}X zm;k5VNVU1ryDxcdu};}$4J80=mBt(sqUjvnjaWswK-5yzX?j`x^ywC%y2QoAYb%!x z-qT5)7v8wMe$GGFWbRdqxL;Z3)tSeeq;*S+eXC^}NteU?LJd3>RG%V^W@=`hRzYfN zzG=l8Db+kErb-nYPP(O8k!KCsggr`+-vHbg*PXZYkM~3!d<%;c8=(aM2HBcestNEm zPFz|Q8zL|2^R zNfTnbFI4TN+GycoBPA}@Y~JncgObnt6PcEa9T|qzkaV?g6f?a zO-Wpug9g2t&syf$!O;e~Ji0+E!0XN9)s0Mb*nQkJIr>IW$_X_VEt zaHPGTyF7e8h{5qM#U3V(;PvGLR5B|>fGvzxQnEKC9M zO5u4amWhw=&o9~%0dc^)QZ8`Oufb&g<*cTKrimDN0)hqX`d8I?@8Nd>{cDZJPvMsL ziKQv~kG7Amo&Eir$f)eN?0+e%bjk{+sIuK43|2_#k?52&93WJTQRN0hR7@Ii5=E>5J}zt;5$ zoZP}FJ@_hA^?QB0-oK8f48rJKA1fUl_#}fcOUqsq*we~%dN1v5h{|R?CklV(?DTll z^LqPsa__)wPxMnEYOu{hUU#203h1M5E7@!olG8tOuLP*s z%XCYJxn^KJ7N<&!?8tEf6fki} zcc@NYCBMVQbod?FXEAXYe434HK0O<^pkIgy#Qj{ThGvA>+yuYS`8g2fOQN0`sKU$yKFgl1BP49 zR#L8h=5O{twgm1ggX-RVg~#d9T$g|n@BX)skNd?vU;x^USrf*{cULplEi$h9w(avm zxlvLOh8#IRV~zUEeO1cRVR0?jPfhy_ZUH_X)Nb{TmsZ(IZ<_awjg1$ok>k(G`=UQK z8wT5bn_In}4|~)C+B*U@T0)mO_wMMTZ%~4l0%-gj*hzn;UI;@p(r)y@%bnwhS1c#3 zR!F+TCjkZX_FH2zVR|KDYUTs5Q(nHFt=yI3D()k7a~YkS1SR4sGXFtsj{hLRfAEd% z2QEDH_{B6Lf_(?FX66z;+qewG;Sxv{0mFXoYrG~sTvZ%XtmJe)(%wZG?8H^e%gOST!h3MtrP-PL(AJrW zO1fQGt3ZzWs1+T+KVHa8uv8PlT<)xg>t16>M}U}g8pOOT%v1+|nMIEs*@q-i?hVxi zH)9`r1Ao5V59l@kgh-q^bk<3w;k^9VcAp**%f<>E;2GR6GDQ=FyoCSv-&}B*agvqufpPN(_ zu>4Rt3sS>OXrAlphYi~f4Q&)}(Tn>j9?REZA^C)dcZHRvqJU)KPqn*|EaJKF}T|dQxpYd^|{?h%2#(;H+&!RXdN(=T0DUJ z8b?e~v4_rEIlFl^&Sj7MdSrEhf#e&{45IMkJ9@nEIT|wp#8p*JXOLHN|5H#=Lp zFXalOOmDRMv!7Awy!Dz;@i@I{`plZu?)9?PWHIydz0{Ph+t9c;_@I1i z=M;GHxB<^8fV>Ebm=lsw{EpI|QLb#T25U8RlPyQk4#>^R&8=0Zc+7?-|FA^p7rn@b z-YLUY$P>W+cBXZcy+tr?^Xm3;`{&@b8XM~~a2bmVn0N`!O^o(X>D^2m95^==_LOZZ zzVpDWi;5~b+b^t%%9nIs8~%V+M)6R(iPS)$B&lF+ru8J}*={pXs>TrhPo0u2+e z{wR~PF#pEV(6VeAW)zed{BVNlNk=+9Q^-{}@I+x`vos#t4?%|ddb;+N_js@&hpw#c zxUK+*Y*7!q{AV5i>9Y7MS0dphQxKq!ZZ?AtP}P&1oC`aL%34FwHi>J5u%Z*gP2{X= zc{mu&^6SHR^%>}Dy=aq94S)ak`uyvvOWwZ))9?U%bsf0VK-KXy zAN2oe-hVnoAn|_kaQ|=9g{-LK>vdGWfGTMg=j@Xa{?$V+%$>pU_M$JlQ|D3q3;JpV zKvLlQ>Ok5o@*N`|>sq9;7qc6hD^%OS{8BN&aea95%MNhO^55ZwRHZU|!91n9j%Y76 z1ds`~Ray3vZ<3L!zU%FsQlOT#o;AI#*>zi(>#}|7nLb%JxN+U$Lb9EooF8vS4F5Qy zZXxN5s>KkWdnwjpG>P0>{>POeD_(WToq`VQ%3gp^VJ;1ymK@H(t3q7MW(rr7;2|?~ zG@sfH&Bh5RBiuZOA0(73t^>U-H z(vV9H3Da%T62C%X@7*`JE2lCBu40Ro_*JiT95usJ#jD}3N4JDff8rVD7K{NY0;=e+ zQY*%&WU9$>w&?5$eG{vqB}fo$TyYp=6+9rsi>M)q_wLwaIXLOy)MupHQd>qU8Ht^s z<=u&J$m!C;$=v6wlZ7NkVAI^0G$5l`C@7Of$)s>nT2hJe#k$&sDQNhK%$1C!W@J*r z$}L|^?R(Oh6r?%S$;o{alv03>b}^a?&VMi8O)s@m2^(XXw=bXQcwe_($5B%{Tk_p^ z;-~6Ou?Ghk4SXkjzk2jTwJTfE)nziNTQ>N9z3-#}jZrg4bOV7Ysg9Gi>By-Edf=0y zWJJIQC9t{^N&(V{#>={hRDk(OT`^K1NUZh*6Tj$15{n>_GK;70iwpszba;~UJ7$vi z*jt^Urbto~N|M@K@x^_=Qc0uIcqe!}U*Spp7!W4Jo;1aHoC#=DB3JI?^hj;hkCtGoB|J^h zN~58x8*Wks0?-6VbxAr_{jnl#{vHDUUiwr;cX zKg_Z2Fw_=dt{G1w1bTF!KaJ07hDu9u7$DvaTP;@u2Q zy-G&ZDyc+MV?1vLvBWC%L|}Nz349R*6mby6yi$Z*h2;{Mhw;t|zWZ@Ebw+8tr(kKq zKZY4JQE=S>&@Dm2nK;H&G3tU6USg44Avae{B16@*S+qPr+u9df^BG8oML|8YOD;v4~`OvevC6HdrBgQzt z(l82FWp=J3vl(xsfTG@fCz3ha*<;$q4v`Dpu03~&fG2Zkq z&{2Nat@t9@1~QnmC2|tb@%qE0{Kr^A21}eL<*R`Wa;2;#)T*T7Atf%7!VN#Rs38$JUn>>(}zCerr|^v`dMhl1)$A;q(rZ5XBziRUjcF*l|B z6AcWG8~P2Zy_s0(NCu0AbO(c6e52G)g|rPJDgz2yC-g9<5GfA=HRgiNRERT zpImVvl1igDTvl0u!j5Lb?(u2k_hpA8*2h^} z;gx)RmY)*Vg^d8YL2L5vCG7sALkIt%1TVZBXPgPhf9E%T$|rX@C&I1ex|(wX`yEb& zlB1#FQXBwTE%o@~FPGp3$Bd|%w#!#;W3>xxBl(42Pn8%X>e>H?a48F=GkwzAgj9rg z-eihK>#`h)*tUx#`}g9+Vizaa#T?UvRE7hJ#ELf80Z?z`0MT#t^5@%uy(?s_-@C)V za8Wn__)LYVvivj$#-dba$;j;WBo2kBldfFBx!Hm<{LBjNd;yE9anVx|5u4aGkbk+t56xVCw5Rpbi z8+9zzkwD)FkWBV4`1fCHlzVi3iBNR@h8PNfL@+k2S_Jp6zq@}yiI9H*c03r?Sau^l zs+AW^a9dDaNkCAY5EQNt92i?t#CSX?FN&6u=>Yy(#V?crB`_Wa?Q6*(M-fz7C=Ny> zQpX`dkD;B?^WJ{Mh))C$S3Mtpkf(DJnX%?Ho50$rzF5w^CE#>opr~Q2I>m86;a40bAAH zPye5-jC7LKV>otG*22HN-VyR=p15g;vkO*sqmzbo{hi1_@l}mv8!*vy)e(g@S%x<7QpzDB;9(x3w3o_P4aYC1o#^ez? z>J5n~O2RK5m>w_fjv~n{+`Ie=3Iwfs*@1&tlKIs#bj=i;q3OMvaf(m}dk(G)<5!Tc z@)Q!bvI$byc2kOw_H0?o(nT^|jqP@+Y%}w`F{x2FoD|kP9o1lcXh92f^CS|$j#wLx z)XY~~wg+Z?-uPH-C~=NO z79^(wgx!y$&Li=YciD7o9bQwt%)&72AVFAD1r*x@Ay2XT?P}|@)TeX%O8ZIF6tBmv z23b>vs1{<4B0t)75DeALMa6}Lq)N+BYfF5D7lcq1JHS1!N}^?Fx3UJnQ(KO4vGS#V z@v>ZJ*JTB#Ae=Gzb?Cfdszpo+DfMc~o1CmLE+S=X+?#e~LCYd^ZB%TZX4L3oZL1C) zzl^t&miyRXsy$tDF@0GS`>00B*9^k5ZN zh(Zn32J3Co4iq8vYmRGAB7yC{qI9)u0VIh0iQ-$*iJ*pTZV>i)9%8-Hyk2Da@D2ul zM`KAQqyw>`yB>M_&VYBIly1OZeXJfRUFNLt+!*xA$0WHxPem<^qx7F%FMFxi@81m_ z$0*AFQMB-%G5N8-7x&=5Nhd`RzDcd}IX|Q{vys1~=3V#yo z!sTDt%^(1XGN>pQK<<1#n~Rpf#$YJ;#Hc_o6Jl9P4|$tRv<`V$CW#jpyLkH+bXU)D zC{4%|DB&#ZnWph9492yrM;mEv8WGL746TlW^CDCq(CQ0d+#q!)nMwXFOdl2Sr1e*ltw z5_;&8;v$_QxogF?ulW+$00JxLP`J8{WGHKK+|#B1QZF%LYCUzV5u>^sX1!zOb-9Wo z9`;dnY3p%16R6o$e)kK_z|xlU^I3Z8_m)8lCgKW$CO5}x%>2G#!DuxO0rFrbUY zW`Hjcy4NiD8FhF%~O!Jj1rvDadfVGOdEsQQY6bQq~Mn{!%aCEUn6{N#-m-Zdp5Mf(iQ~ zq{myt%%)L4R~pPZ{C6eBvq{@4_!ksF*lrm40sJ5>Rv^$tRo0v`>6+%vuEdgj>aX7= zd*5K5F$(sEB=%%0agH}-(!Cu}*o&x|lc_OeE&9Fz`V!qnm9YE`OG3{B48Ndeo%qo; z{<@rLV8C0ZyWm=KMW#Fse5+ZplA(?`&S%RHF!%viEoSwUh@IKlUA9{JfT(l%GOdyx zNb2G3FDwZ(eRDiT-kT_{B=0%%(dQ$M!&E!wKtUgzt7fAjYGRR&$@J)B{0R%-j8N^r z1w*EkE&l4d#m4Cu;xSNI@`MX>GSyrDVcTP;?EQ{E0}h@j*5MnDH$w2j)|Ii017F}& zR1Zphbn0KF_3Ay~tXmTYG(*BY3}Mav{6A>y@?{C38Jd8L`vr}HlqLj~PR!b|C~Kw0 zrX#S3n9;S!OebtV%W)^tWe>k&k`*L50+eE$xjWi)O{J|r@y%ZcGiV!p2`X--Cnn@XAluG-Jf zGPV9f6}e>O3MOJMt`V~3u(Cnokwr*xq`=7sMirT);F2X|K12#l*L6yFWbH_{5XBOH zsb*^SaBaoXH*XpOfQW>fQD}$G-X;G5?Mc4t=Vl`ou_iZm9FvDP&1W~kOGf@PeM*Cf`Be4 z&a8^$Hsy-|iBms6xz#^$nH|$#YlN+8G@`H&&W34LRFCfuSfy;_U>Six1r0S(w0NL` zEdu&&FG+xyKokZl8hUvt+Y0ZEW*jvpAO16+z$l<9c$n)9wLj6nKn;1xQ)F;q@5yA% zNF^DS!D(w$(gAydWlH7xaV>0QL9Se)p^Jz^o$+r0|46-Nh1Svj_S^4Mg<9prK?XLQ zp9aMD0G|y24w2%Toe2 z%9{kw%aq*81>(Hp7vhG za@3K@&E{zJIX7?l6sy8uZFpeP$l`=|*Q3~Zbl7kJanw3(?TzTI*JZBd^nFQdbK#cH z!4S~u5KMh=Zg+og@Rw-acEP$a?M*_R>PNygXY`db2lhWsYI`O)Qg&M^&D*l8HIUI< zZ&|<*6au#u`ZVW~+D8QuP5LYbexKCENNy2|XtVxWO$=EM-+IP>&<7glSb1^30rFkk zH#oHctRJ?#1UgbCP%LnPcrxcv#Zx%LgLqPBBAqJsv2dTy3PFOThicWa(`zERx`443 zF$%^&*z;mo_`BJP^E%D2qk+W1peZYz)8u#W7}nZeu#-F;55gzVBi^m?Mh6=6xQu}TIPXG9e7TT?7t-_%=WFaBXuVWz3ul#sY ziFLuE!o7%ZO%<3N6cs1&(a@Zsz)NI>`y{I6y@dt_63Q=QdE5~sK^V4#Y#mncez%Gp zF~5sab$R|uG1V}E6%DbrKt-p{l~XPEV*d$;X^nv4aph4VGi{|KHf{cCiRm=S;ZT4K z5){{VNxUlV1&G6;O<*pj073al;&@aQ=tJFC7iJ@Nf*JygPRSP%ILx!&pfh4RjMhAs z^Fvu;v40q|*{a<62=Bzaus=S$)yvH8nO%_JEffa9Re?K@V7w)DfAxJL+;k8KM?)B_ z{s1aNAjnrm1X*BEPDXIJ-F`H}6F~s{_f^x@Ce*1kB1&ph>$VtS+r||p7U#H!sVukz ze3)On;!&u8WO?Qv0#enzJwz04svq=d?L&YjakPJ`gKHL5Wc7P-QfZX7%!&IPQ9n?X z;})g(DmIPKPNf^n%6pGYa-+~%5AWZi6TYzW$i}N{T0;{FMVwowlW+kZCt!FY04=LL z9w)0@5!S9y_^BxZ=4qNdl6tf4dmFtKJTZj6kfWuUVTfEs!LGS)=fCG9H&wXPeC`Sjz*wQi40%~!nz1FK3itWS$X9Q}q%zNEr>05dnLyGwt_{{? zhkh&WS7%V2>BM$AoA=X_*Ob0E!)g$nJr!mKZkrDaYM>(QnqGA}-MgSgK|UQs7(bIM z37dp2$0Wh&k{mB7?;HMKSEOKt4H=h-=SoE>`hdd9VEn(}1ccQqKN)?|jt(Ts!2kAw z2DYk+GNL{+r7MH`!JWs4`ob;yf(k&my8PjXaE=T(e&-hxC|C)I(4UP)SLoBdVNbca zQ~c`oqWgF${J5L@nqDT9!RNA4nmm=F$XMA%n5Queo?q#)BQ zT~PTBGZs=Z*|uiq4yAh1$_)6^+;3{aDPdPH$b>{9@|#;|NQWKEj++;S zkEv4~fsJ&&Wa$WQcqR2af*dv{_f=AgeQfrGK~|YM!1E8y0g9uIWAH(R$?>Y?NTj@sk&ZA0JSghkis}pPrY{AixOqhv z>}WBFDsM!DFr0vb)N%m~3YBGwx~+{2tw%DN#nF;cR`Rj+Rn*^7BWt3=O38B~fd*CcW!SAjimultp& zt^wvzfZ>Xfgt4w6H+Ii?7NoHxod)oGQy=K+EVO(K0&^|SY=ty)&xUBtUIU_C0y_gDAyv`HADkwL+W}B z0Ke7r`%&#a=Wh2E!<25`#zfK8-|MQ{w3L;O(6&fA?g&>T>)H5mlCF7KqX(*Jtr8ug;DO)Fuu&Xm+)$jFQ=cB@7H_F{fDRA$xX+>;f#%sYW(z_U%trFD z48&3nojEno@ zG)i|ZXr5PaR+e>E)|f(OBeZl4g9v^Tj*p_1ygG8K@>mgH($(5BNXi44Hi+Y=YiOAX|pfS00?W*`zO_VBm`?lx+ zHM%8N)UL_}2VMer=)8{EMO}xb_$kwymO@gAL|wa_Q4yBdv`8vDo3G#0G_pGa0?B1W z)G%?r?19H(IZ8YCd1295xzH;+T{Ql%J2E|?mx<-)O9jCFyQ`*U@XogA=4WzUYlw;b!TP%t(K_O$>5Zw3!D1SgQGh>P3VqzfCdfRyUfbu%3%5Lt> zBbpCb2_l@h49Ey*(OQu4XnM$>@H70Nxki!yhy~M8&EOw`>xVe_3tE)X&}y=nbPyQw z2P9hLt%!4zh$(Y-G*)S+h-t84{tYez&}4;<9wvn)IeZ^RpO5z37L~e|B~6wHkntP5CQ#j< z*`Aq=4BIkYLYORc;_nX0B@#2bPLNPq4L?#sJLU~2yv&Xg-C*cL{Yf@}Jim+qK%QUt z0kaqbp{l}QzY6@vyq!_1E~tY`eaUVZ$V5w+6|T0j3yX}$KuAYT{Xu+YTG-;kAJGcF z5PTvPk0ANQR%IZ5M8kgqv&#yj+GcgC2)}N9$KiAPt%c=&=~x)Pw8@&W=KGA8Qn#t{aAso(a;)_txG;HQl?z~*-d~9G*4N2nK z+Mb2rI<4b6{dp0+23kCg@v>epEmk!h0?1*y2wYs-*XLRET&{eW?3+%n5k9wtrVw?t z@4@3&qH(AGm2C41%)VWVgiv>b%yDT;ctB$<>Pl5-c12rAb_4<-C_B9jWQ?*A+?ro! z=ARxN{VJ%H_huHW0}mJHwb5M zHoKUPC<|4-6mexx2uG=2kP*g1${+^FJ~&PMu^YGsIhzBB%^0br*sbFJiiS^!Fq7`0i90tt=nz#QYRSIqkf< zf8#E>4HFk2wYyWZwP&SA)t?L^F($knlq8m=0EJY=ffW@ovZw2w3NA}4{{Lg(|a zsw#EQ>R&4K45dzj;cG+>598d&fJ1-%sm>IRcw;gR)NdP=Tbo>VdO&YztP zoJzn+5_I80ZZvCki5{~NdPoM8L|It04ekW7h~;T+C@L$NMjmY84> z>U_FLFI++TOxAya^j&h9cF&~|1oCM+GRnZXSQK9Q(%p<|p*C{xAjKM^xKhQAb%eBL zHwLmvM+<@`D}PqoT=?h9z3UaU()A$BdH`u}6N1wgV|(XvJFlWT^engZqXib9H z9bpipS(TC5)w$JwJVfJ;$FM*o`VlxZ`vREN3DBy{9me=(NiYJ1aug?L29O~v1g%d{ zvbj1@k_9gJ(ApJ#y+kTCjocPK6gSYi1Pb5BN)@B7#;*PP3tqbP`;csk2=1Mfyc$4o zH6EJKo1Gs9LbNIpG82doeylZTtceM(%#%ic&e@wppP`_oN%Aveq1CZw3G&>OGS|f_ zf!Rq26fiZQN%2x0Z3uecQkJ_|{}S!1e^fDl(?+?Noc&%@fN?g#l~80(*j2$ORn4cR z2#b}6Ayjz8`rb;zWc!nsn7hOS1z1eoU3P83-nIe-rd45J2n27Oi0Tc?`KL(P`r99A z;29H{cvaa?7)*ysA>1%a2MXENAtJ=x!j4l@x(3EtMCYV13%?o6hRjmWhz-bA_B8#s zG<<(=aBMai$==_n=`7a-M=2Hr=u&Gml&GY$Xi=_rJ<{y58KMC%ppc=&7{G7w!q!aJ z1WtR-3!(vT@{l2i3oXoub^m3t{dV}8Ft8>}G!(vUS4cMr((^fX)WX_#?S#$^cj$Q$ z7z>#{?D3>cvT zkxPt1V@`!{B{-zSr7N!@(mZEM|5E;7XX3Me%m|o)B8*IclZ%%2=&+tJKIx~F#{{Nt zK*KfTX5mqhR5&C1v$Gbi^h(^RYRhldHBrgm-Avt#~lQczJBS0 zOoYQVhL(8Q*mZ5kvMVv4`7e6MFVV>9zbQIMY*1vp3ZC=*#DAuc!}^xD6~!dU_7Ohe zn+{7SIrA@W%%w^l`^S4BHmaA5GZLI%SvHZPDoOUx5e13#s5svXy)AqN#;Hm3^zgV| zZShQaP7dlLh=n~pH!s*Iujqr)9L##>ms>Dc=aG5?KI_*ij^&o}7rG7>r}@s55k-0? zY7dn9g5Q?d$N=ozpJ#uL|8z|)6TgxJ>50dAr|F@08VG~J{sM#2+;3Awsc&@^XrmH# zbIQLL1?m8NkvN_n9nsLVNAud^cQN$8S^?ZMx}<-TZU!>V@z42m?R{rV9*g* z&p9m?9s#Pwf}C4&l%MOm>rKOh$yjf?^QDoRbFIEeu!nKkBDrkPhF4=v7n~MLkE-Q@ zoXhY#MN*Me-D6ELoEK@2tF_QfqKuX@b12;rIoh&*nawl;Cj3Csa%inSCBBm>6r=MxiAly3i!jaE=s&=Q17b;5IA35}L;ILTkoGuis}R;W zqjR2|K(x)!o2+`j(Ce&{V-OZuv#k)fSv3vO+pV%K68`XNbYTZ%j?6J&BLKl(fN;b- zr~E>E-8E z&HEL7@}OtfFDy!Oy=j#^-(4EaB6>}D+7mLcWh+iP!#)xoQ!!pP{4N^4iw6@Il0;7J z@LD0JjWR_L^Z&&E>1Me7bYcJebOANc|Faz{2uzxGyGrbh{&W0AG0T{0pcWOhtKn|$ z%79TX>Y*Fd>Z8EMDAzq7l1U*zZ=w|T5SX;F7CYY{AxBspY>mqFlUnd*xurjm4MEJ1 z;wxYXURgAKdrjtR-7n=u9*PiZQGU`1jo7SBFd0970`(WTCC((Foy5)!0r0wK(PsHi8i&EVxM-fD34DSX0tX~6gyIH3!stikV$ z{69bb8K`Qlgc%{aoy zA`dF6s}VvO{42=*o_Su_t)GDadr3ZO)G=(%kzeIt5-bfF3@{s_>1_US3`kGVu@@$v zLZNpA|4@|m{q}>vKNM0tqcB&t5%!%EBwhV4iCL#8S75Se#cgR#@opbz*vpZq`c30c zNNAEpMa)#>mj>IF9d-+d#z%??x-_Lp;#&CDBW{Np;E7Fa#&vlkd=APg*Gs!kHyc&o z0?Oe1#1BA7W3tteDHRErFBPSXtA>243yF~7Jg!@zSuh2!jsj__E2*YhkuR`U7``#x zO3tW;CWI9Et-7cw2sS2j0c|x+g=2Je)FAx%Nj`0w-}EWpk_Ncf>Gj5{v{G!$QD>6| z=mg=$=pCncqp#zq=|-3mQzK14E4nM0Zpy#fp;G|LQVLk-;WhfYv=V*65%&#Zpe`_N zkS$bxSYc@YsC_R{|1Ptn`&~ffl3u)BP_ojNLO$X(e?tx05t2^r!*>0FmM`gOJ}qW! z2ERll^nI$iG98(NvS2QSR;thKktuFcFB7?Xfmt4qyt4Pnx-XgU8wHN6cfdQx_uHq@!~2QenqO~V*z(E3^H-ZUy0k{> z(broO)$!}Y!tsu=Dksa&+vsty$EO?Y2It*S{&=N5I+K z;yS_yx5rDBtw``_)TSwiLuo))cT(?RE#bm`|rvLpeFT|+M;~T`7Lu6o; zjXOVX=}Yin{x0v|C!#=Z+&7wY?xleHNaeBv+-9Y3v57#(TxH+I@9&!@Ab`g?$Ic$& zCmRWvap@S=6%vomZvo$=Y`dH=*oZ9v?Tj1>h!P{5@pbERb^=D!9Wb0JH*6!a`dZH3Oggy@E+e4;btA^@T zSBtF2cj$0zoOVkd%|fNc+7hRe_lu6(zmG{V16I7^e_)3u4qA}moZ-n#+eoOPm$qot-NXcqk*Spr|J0NYN>)y)yW$*g+x^3!u24L^(Lir%TKp)Qhq<6yXz`waH z-rHGU!~G@u(6+HX6K?T&``LE(5$Ttmb5psid~vMr`gJmz2vyhjrRlfo(@rI@oiY=r zaP)NJZlLj9JMw+$n4eA`}g*zOXTBYNkWJB6TlXNueRvW`+hts z^0e@p)^s)O1v-+|Zg_9dJAXE3skj``V*10inol%JZWOpxx2CewK0F{GE_lcwdGvhpD<8o z8py1eqF`eB3N0^}fdija}b;I(vTKy`H_YfiCK@D>{d^!5guB$<<#*sV_Cj)dh_2zz|SSE)!M@l1DWWy6j17PO@W3}C{?InRm(_1_2!?m zun;ytXI#WbfUG^mK`vryz29y}35`eyjChh-tddJ*f<({|y1e($qY}OzH}>9nsNLDp zUhu%N_nU~4Jr`Yo5@EOj0Osnw7;GqcfmFnbon6 zDd$TsQVB`syz5>{A7j-LYHpq#!(k7YMGyhXxb%eN?PljbuvYn z8&Q_0W|5~-qXnF=Es0Y&%Qu%&reyyXG#) zRpmWui`I5T+v9)f0IlS#pnzEi%P!%fVzSj2wPpgJ=99rcOEOAc1%d6)eIX&@ShD6m z{}j+>nlWG@G|AD2xPK60vj5!*%R^W2;l^DU>Z;rEPy4wCj|_EDi9DCMMKhz_@uS{N zOov-i&g&jP^=Kb5fNKTOQBs|2LHXx9O9eIxOez^gA@16`0;z~ix4bAsIHGe!*Z;zb zh`zFc1jGJP4lLs|kTPaXDDCF?)FKeaB~(;Yka8M|Ni&=dL|0L_^5m!IR;5V&$$T=` zh18M4r|$9A`ghP12b~M%w6_m2!pigz%r+;_0wHzI8!f ztxB(aZ!lhVm>7XQ%g}T;SYO7i%m-^Oz_T_s`cyA@_^OQtQSXQ9b9ocQ#@tW3Tyqc- zw@O*S)S7%pu7}fyoWhIxitwgrqonH0F%R-%uk#A5+84y zy}%(yQ?ba93zDI}SG={tnGdR$tuG=G)fH8eaNiIkYMblp|1vV^LV(HU03BJvN6g=h z1aH@V0&pqJC65dc$;a@B+^OWrtG`j%z8yBG)rnPYi=Hm*eUx;1R@SCFPrMs|UOr0x zqQ6S+u{p%KUPh($vv6j66+o}N(WNt-q>%pI>2-Z*4=i}j{)%F%cZwnp?q1`4sRsUz z>R$O`&^`w|UCg};IH0(o<_r2sQmlc3vx|brfX9tC*^R%=FK}Z;+IFRxVVoY{$X-O& z)Ji`JmoBReeilz;FD%fysD1y6*mFpyN?HS=&uxp2$V4wG8|O|T#y^3UbKgbfL#{3 z$Da^On{ln4>^+cT5PispU~D608rc4voVB0-n;wER+v0Eu=HTbp3rOmO|jZoCWe zoZ8EWh(@sQr2&61R4^9}qe_iP_$y-UuSqqb`+aL9c`BkT&(}sudkp}gP*Fz}k8-8D z5=44b&_d%Nv^8=t@V2nkn$y-^!20|*-WIYww$`YKnU#@Xm+Nf=yvcN=e6A&&HQ z<=~%O?FG_JJ?V7z0`mZ%;U8;dm-Z&!Mv?2a;9Hwo4cLmIf}kP6Ty!08zOQD+Lw`HV z(hiC($^xd%<)SUhdAqlq<9Unb18FUh^1iB^cNKN|2Z`CM(<80m2RO#SuLU8-z@@7z z4vU!0zp`*dWvH|vA|+Isa4DZB&CFCNx_=00;paB`us8YQb~*u&tf#=D<*J_swUS1x zC320;L)*>sy}E&-8Vu(cm0eVFS4M3lvgn5QE!fOK$}i-XB)ke$tm6U30ebwsJiHsJ z&^6L~{1CD-wr~l2I_~6oEXr)c02z4wb)R3#a?rDE%{f$G|pt z;a%n-v1{mZ*l%KG;a3wGJu4R%>r1!UH zC*y~n@J>7QL7;i1KS#hSss^`u&9mch&o>&A@G?l`<;rRh(KQY@4!0-iAPG;3%ygm! zt#uz;c;W9R8DHk>DE#STlU)eA{)Rvv;;9mad$`1GoTktp_WLu=Kjg_upS{i!ou2?twB`+AbdRq)lA8^aNY#ck{Y! zN^G94^b_Ch5{6jNin}q+ZgXqi_l3mnw})!YX>;<(it8_H>|~;x-;go_5?eUj53rlM z*FJrAY}mS+@MG^0^Asr4;QR+)79$AlN40;i+5(SI%Mo2AwIwm#bR$z)mzJIBfe($R+ zO8}&NFxBf~DNu_)_0)t0FWAU!VU#uC2C1%g$MR9jugeaY2QL!ID=SisL3{A3U^ivn zW$$c3$?NJd+jpQQUNid^Pj!{PwF)wuf1GtPMcjtOMiKd6nBdSzk>!kEFYUK{t~sAt z_Dgz*mA8PyBI%}~r^_=4b2~1IBiD)}ZUDkst3u4|k=~;L;cM#|1a_G9znu(vbmp^u z2$LDobz|)De^c^h*lMB-8_!lSh}h*Anj6?0Mw$zFQ)n`rNQf!?B(-T8{z!xQC>9}_ z6QdJbnzb%40fU{{CN$WtC#(a;F}n9dzbG}~7!IrOMC(fTl1QXuD10aQHh91LS5sP6S_27LU~THC$#`V8u|~CAWGF1GB-#k; z9wGq^DZ>Kgzi60(H) zZN&e1cWG+CL9&^xg!t>Z_zA4LB>d9U8+2Cx`l_!IJp(wDVS9EP7?SeE(v)0S z$pfOH0(oQL99?mjuq~Y>(@0eW>pb09(6U~iEKS9ptj8?djOmcr)b}_P-hUzjMxo$M z)TcN#w-HLOh7lB8ut+KBMWh8l{mZH!cmWY3zYvp5PLH20Rjn(0oTlvn$`E z$*uIv!GoHs0e3~iHaP{_k1;9iOzB=z7U(2IV}&!I;c%)h`eChT>;#Ziyt`~|{Ar-w zV)MbeUi=nr@(K5hH;p)JUEQVNValul zh=i1=XyWR3?;jlBzIhxu!c#Z;7gAn5^e+PGr9*?z+5F#j_p##|2mJk7pY4$rTU$vY zKimELY^OPjm+IBI2~3sqy}LDYhQ`%+ffYS{@8VPm8r1zN_A<}$Qz=qBAwrwCOLZY1 zP*#$`;0re%Rgq1)KVvX{jHn94JoZnhl9FyWEdb}jmTAF(zOXR>c4;mbj~GmvE(kU> zCvTCJ|5zz0%UCJls5x7{bvH|`$65K+GtK9lhMq-Ax5IL_0loB|v)(y{I3R?JuX$Ps z$9zAv|L~MVD{<5xTPoEbKj$YDle=cxws)6@y^t|V--|0Rj&!dmbVa<7QSqwEY+6h) z1mEg8R25|*+Rfsy5Est<`yosd-^foD!SPFY+fs=xI0m0an0E zr)OI5t1J<|7iv1|&7P~0A0WWScXx7EZ{&ZiTEPD^YhAtXqq+;FMwY9)XX-uaDAjp- zcQEGqJ?Z+bZGE`axY?$qxcdz|HET{CdIvo-y$QX&AN_x}tIkhvi$$eSKZ4bxX@yVI zQ`_^!$&3BBles&Y;%(;o6F%+xjtb(Qx5H542M?FzmdhG@PWyW_z}2MFbIs1quk2xC zV@vJBqublZ1GEghKDGda1Cz@aKI?V*HSDBtm1-y?gXQ8;l>ytwCqAlwi@4CbZ zd2RyHAOGAtoV+WNr1>%wh%eo}bbzE#chqab7suRY>&W^0=2w?0cKfs8O}m_m8yQ!) z5T0OAZpSroxxy~MRk+3P=h0qsf>yzCS|Yfu@uQqIx-O@DBT!*|IP~UlS?!;4UUzCaH2C0- zs)pL*ZD8k)&fCh8iGMkD_9Lp$ZE>e5vG?+j zS#=XovF)NS)p5crz{OO-+tPiPe4t}*0m{|KVRYu`8JJ_8HXvZMHbYa8xf!!eXQXVL zNU4cV2@Xpcv?(y7%s0FHq*mbJr=)n^&smi{0j+Zt3^ga>$xNuBlx_Gdh$fz1#qdp= z+>|lSEGYIdby06_Ak`tuJyc?VX|c{40raR)FgrAoqg`y~f=tGbzhap@+@*#QWx(Fk zHM#JkN_x5BR{Wl%NQQ-)s@;c<(XZWmElJ^l*!>_hQ+nFXOaQ&eR_*0p<9t1N&?~bX zD0;(^IDQppomO)6_3qd_iz>FT_LPr}UgFv^Z%7q9l+f$cw*sRcE;IuNRtpf)y?mW?=eE7<{&Y}bK`Y=dAcZiv&sHAqo`+-CbaxswfvFx@ z?#^)2-Oauq^{*e3E0wr?_hC zt{nY7&}Cm{@f%=jiOqGn^j+|VLal>w@&?=vM;2`bgp_n}babkR{2Ez|v{#!m*N10w zA1?NqmF=*0*4?h6Z$`Slr0JDfpH&-#q~dn`bm{eLiKx#n6;>I&pa+?v0@-l~ja^9k z2>qEICBt-7oV-QGV$Ry%lc72B_REPo79Jh{QM^Qq{$2?mT9Al; z?kVSPe?P1K!+`j*&gx$9-d@v9C`Oy(-|V6mu7}oz>(tS?Uy>2E*~n4mB!B zB!N%Khe^vvzyF(B@$v;~mcydoRVT_Pbkw0&`{frczH^FC`mLv=t1Ld0G#ok#Rh728 zgHTHZ1xR6Z@+O>?@RQz$;r*4;>P99Pbtn34@=f+;#bq7$s>9{#O zHJ5f({5A8|ptm{*1m@Gr=X+2-dheN)TrBqwV0&{npD>u_E~CxbKeSgrNoxp%bCF-=bnj?>(Fk-Qnlu=yqcFWa$~i~Mbl%|LbMpV()D^NN%)09^VB;q}Ev z@kFCbx#uF4?lS$N@CnrTJvClG%52 zZ!C+NIU7VvxSQYWc9Dv7IsP>Q`2ZzTP3ko|ia0>`r^Oz`1(frLoZsCyv|o*%>xqwT zhISlvY;$%9nJn#PUUolS8^#@MLUw|$kde6-LGbPzI5LZlA`{vwI8zQ1&9sY z|NqVX2N$w1i%hPXY~lw|1+0~Ri@CQWm8GB@TJ=Lh`auWvInN@)$U%IwUmDem@m8u9 zvMTJ~xbYpG{;}3BN6jueV5xNircpIwqLac zg;JjF?*voy(vz*8u9Tq3PYj|X?LYo%yfA$oTwcz-VuwC-(*k#V2IBkU% zJ2tBuCbe$L0#iC{nu5r$-$Qpju%nS6qf7jP#gli7nyo8=3Gn%hsC+x1bqZVc+3?OW z3A2*e&M(6YI5*&*DeN9W9o!DZi`ZPG_7PGUC{2_+Gk_W>tck*PeN2Cg^iMlGz?fg{ zEE}RBoZ@!-dvbz+8pq@Lfu4B*Av9x#(-2BS@CX;g6`FTm=`xkpVVxOD4co~M(eFQB%(csK&$xVXjr zzs(Kae@Wcida=aD6$#8Nh(*F?0m-7+7GYp@u!QE7b@;g1|3(gor z)pBpv0(f^R#+XZ=OCM1d;7h~{6>Ws8G$Q1%6m!0*n4}7s;5e;T}e?`(x?Zy+?mK8Hdt0P$|U0y$&VLj{ep69$Cr^rPP1(@&u`|x74 zLk#1;)n$bWZM1Xhj<9;!HK{<{?S~WgwzdBEcK6hMX7y5S=wo0fvmbA*Ae*N^gmnm7 zyS9Stxx%%v)GyW}cY1|9CVASDmHPyP#k&QN=$^JXiyt1hNSjW6uQWMe%joYx)tB=% z>+61!jiI(q9~?b$!;3OzPW(3@|~`ADbkD^DhT0Y>i;crt4*zQ%B*3 z>c*Eo3$4wtM_p}XE?dK2%UKHNQceN#P48{*Le@(@Sv#U!Ix{(?oHjnn?G>rqQd#?JK_*kg**2+ zdG}ucuChSIwg~TL+pCe}kpNH#!iiPgZR_g!XnI{1x5d;@80ReZmxEtgQQU(QfbbaG2L!o>^f@d}}JzHNGdUGu=CjHLKYTqDp3cT&j>L zu*L01)%bekSS3+lyIVw+%x0ua6H#Ed+gO#%_PBHxvPFJ&;3+FQ8x9bf`Fb74q_~fW zek07QIBmh1Yl8HW5zZ@MW%NrIBXMGNcjx@8w0T2=gCAwyKek3fXH)d@w6KBSC zbl82S%p~S~t3D-YDms${wwt;~@8!(Xctht38zwynPOQ_9l;v(C=M+ca^$dTskV0*z z7;wLwd04-LjU|!VZoEt_(stYjqI22#;#>#md6E7VC`Q$(16ENn%bWXE=UxkNEyh>p zQ1@IIThUY$ROoH75v!{a7(JMsf8aU>@HiU`=qMF87kVl$5rDIs)uWT-eXzM;awGh7 z%|M$ODu$D&C0-of^m{C92ZMC&LuKxzdg-GWGaZ14d1@L?|Fjy2i*%8m3$HNxaH--2~703F5)_*&HJ+=5fUT(Puy;-Y9=mK54 zGpk?VcMaQpIiXu`wWM$`%SoZMh|)61O?zR~Cx=?&3dq)ycq)ELy*j_HUEw0-wN`YDXU4px6LA zgg;sfF|nJo1p@`K+4i$=jdJ*V_IWn#re!AK_ww)Bt~pG|ks%xAIH3IGKBV%6VOHRF z1~>^pb1|NtV`&<06BRhIZO|vn(H?bnBsgkSwFVXgrYtdbT9|mbAL27Ch%S-4|2~aj zDI^6W9!K6BTXo{<+Rcf2oAkD4eh*D%&m{?m7FZ-q4n|RQWe_W+CkkktS*rmfs&^$Y z`ZJxvU)X|8Gci4s*dXeTPZVG~d)xfE0mKY~v1bhM8Vl@RPH2+J58`d?=;OVW))R}5 zqOuREm3-T;%h-1+A9I}_LAJB#G!>~V?lh52KIy*R+5YvdhdRN_n@K(4RV7)Mxs%wx zaBz(+J4XEi-Nw@2jVwE?{zE+fA^88$>f0wO^Zi7g{~;;gK)`Jb?>~6#^GW<%5-mHz z|3g~;A=>}Ylea`;EOE{SS5NA4OUwGCox5iAY}Ys47<3v*Tlu86PGds$C}<1f@u6Q% zaz7brFRVzgmnJQY-g!|FtrN4Nq1^&?)8cskXdK>bJ+by>5ca0mHq%{zZ{Lm>72* z(DjCT5WaalppfVPBiQMDd2c|xE&C)Ro83Sa{bg=<<`I9Ze;5_3{B(WO=oqDwT(=ja zG$7OeuKd~5IW~-AQUfG@X90%wQp9r=;}9TELWfT@U0>mvVs@b_>6&enpF992TWb|1 z4F2lhB(3$;sD#4wsMZ*M3%yzgp-@CTA3y*-ge@fiMt$WX8Sv_X5XfasN<2S^=a3qe z4gJA6;jzO48kGaJ?s%{vp~r;(u%Ma$A-_Pc`$6%*o#D&<^QFk=Q?ZBv3Aqz)NcnVf zC^|ed83EmwtVjx0yc1`NNb6RT+h1x?!r0y_#rTMgB9OYS6chiG)xV15K z83CF=LBt8{xM{#Cn2k>CUY^x+rD#HNEKvf)oV(w^H+E6HG)E*T*3u!CiW2JBkpV(8 zdr7`66o`$ zpso%KeI?wuU-x}|TbnKOdiuEj5F03Aw!PPRsJW!QFCPOhez^bc{e-RsiDBBidK5Zq zPy^mS{meS+m{wR?f}X;J?MyLTC|3b~nd-wwJXsn%arFuH^xWOkIB+UBKHFNhpg{-T zBvdJ{xlYu!-p?I!$YUJQGmV*X6RoOp0m9xQ>KKHAQPh067dsw;$knzvv-*Bd?8%n~ zQ`ThIxm$Wil3|yP?`YH*DCKki$`cG-2V?%E&xfOek;O6w}y0!Dk zM(QiO)x_JsS$#aWRt5q_CpjI@&dk$zx{I)$fIH-2#{QF+EG!|gP=?`^VZ?u#Pwv}f`!;;Xu-a727#*Sa^G&p8Cwj^93 z2U{(F5Pr^k2|6K`xWK;$+Zkj4V)=B|sHVPtv;4c_KpKa#xM}(E`Iv6g2F`!H-i?yr z+&Q46G2M5S<+6SzOUvmlP|}^pNu3us9FmEpEHn!j$-(fkLX-917670-UL~O;3B018 zGAe7j1Ngu3i)fTVj)%sNaArD*bH%@ienTkz#yKhsb>*E>g;I=s3)tz>>2v-A={Q>| zo}tPcPdFUUp}Rt@hJ<%kC{4nd)TBuNx?q?2&t{L$Rb z=S@g?>cw-6s7lH0d4IL z$6)x+T)hX*p4E#m0z1&44~Olgk|@N6BdlcFRda_wMQ<12?jHni--{>-u@U?qRlU=n zqH+6Tw&%^Qo#_cq1i}bY9OgP~C$y%LG-`JN*$DS43_YtI90~F(i=PUYk5MoA5Bn1s z1-NdJdk<${1Hmo9)XeQ%*TU$2griHURIh-I_GdmNfUiI4xdU#D`|_6C9+;Y%HYu2} zN(x9Y2PWx^F^PzwurHW2aLf;?CTyu+niGxHDaJR@m)siG`71QqO1ZGaPy4*u){@^p zTIrW1D;;_3#{d*Bp4ixCx8L&W7N9|=uBW--okbRIF!Cev*6y@G>NcvfSs!+@?>pnUjChX*wzP?|x zF3?PBS9aw}VAYS7n;737;4mu}A|iX-kxPt}Ov~~tl-YYZh=1anA__u07~W|;abJr3 z1N>!#)?7xGe4&a(m3Fblp?-@VWUYCcJJ^qfA1Yq0r<=n8eP#clw*SzmIjs*IWA$em zae+!>G9nzF{#)Fwb((Zp@w{ufbAhjEQRADi62rGYLepts6_d>^jyFi#X2m)6G(l?3 zU!X#;y^GG{rxdh&+TY}UtE8e(1HxTk3+Z518dTRhS#6Mh>0CHe%70$y*H=Md)GzKM z4Xu8#H>TYNY0x{)8>^Y$ydAO~Lc2;Uf-+SLo*1qjEqnyEPfcEYYAZ}2uV=-+XlPh3 z--qeLOiS2g+vdhvR+yGqMw}b2-lP##HuW|u7*-xMnfm?w3)PIhd=DSh1T z`@($SBS8bPHh#J@Ny}85_+`d$U9X~tqq8HF;Jo8+@2^4ez3H7>2NtOu2P$3P`w}kR zJmUyq6pfOB>OjUhf*p*h8JRE=1cMU;_d{}W`NJOdO+N@vYoNMG< zd(+FWmI})$p@wv1(pQm|_k zjgpbz`rt_Qe7z-vhM{0vDAoTV&HqsOe@GEAV0%j)d~DuLrMsu+y$#d$9?tYh^{;A| zbsyfUvHt!snKKOMs^%cPqFU3r`NKGiwoTVP_qI))WD4RRWd*)g?$KD@=pNwZH=E?K zhczURUK4=XtZTxvn3DfL8^yP~{$hTn-5%oY%q@?HPmk!&thjy)+m;5tuaCBVZS&M+ zfe_sgAMf~>k7_l&HGI$sDZIK0JRRH}iW1ShAUy^g@jBiwn^uL z9Gjk&?IyvYObHh=V{f5$DyE@dX%=(ZXk2ocfaz4G*ozrRGi;kYpL7@47D@mYBjP{8 z{jjjqtX@!Yrr!6*^5dLOQF;#lfzVGd{R#9xt9(%hD~i4GGVJcpv1&3d)IRlcnRc4D zi@pQ^tpS*;zeOKkW6^T*`CQ;?^p784H)%FMN&M=&;tCNE?U?zHwh&ML+4Se)0bre2@oG|3f)X8@Q3t zr}|G1+5ZY9>Z^Fb`}p5>-C_S%sdh)aq}E5fwZVd#>rs%%hv*YM+nC>)qzgx1;K)HqWkSOd8Jjxa3t2>V=i`@CHzq zv+Zfx5zeZxy!(;2a^WbDC3IE8LXs?}2>WYe>n$bue-1Kk{wD~;w~0rh`mU&E=kpT9 zF=*@C;=0oZ%8uM#mYV0e?+%8+VY!DR+zG_1*N!5S!NZ7MeV_y>kMLyKn#CbKgTf!* z2V|VJzm7(p?RWOR9orTGDO0adiS851H4P6Ej9w=oA49uDT2a>p}I z^`0CgRTf&h*S5bi(#a03DfA=A)I*g;sa&y!{5;ESxxW zyx&_5P4bPoaZWJ3Ij-^^J;k*r6?f4`8!~d=s-!Y=wQ{iO6+j@XKrLcd2}>V{<4K<6 z#@#!rX7ITMt;OOtHmfQvibzkY+$>L^Wm6#avgYz){OGhqkEbFUx%J(Rs-E2_g#KZ4 zfL(_XhgmXvTSxtvvfT#ToNrEB-|%H~ zn@`UD`kGPp+1dZB@ygA+-t9%rR;{+9?M*~ol4eYMiiN2xO{lS8bi&uvby+t}^qV~G z+I9GDlzX&ywtX~p0zN*;ex(=gwK;~42!Nu;foN2L>}JZF3Tm*$l@sino-o@H72_&S4i0eK6Zb)`t_9AVwqC6W_<(Ymhvze zus-UKU$2FTzw&h<_gdE!^&+E52W4Ya-6^_%v+%ZBYx`hKkD-gN6Va<`%bw@GoJr#% zE5xCnh%W^8#*5toC$)9CpI~(L(caaCa>ME$S5SiqkmiF>oy9+UQY$O=2q(r>K|mB1 z)4o*ar&sozm3YZ0fbDLFt>%m~p5Gh_kR7Zm#PD%NmbaNOo?P=iHZqh^txYCa=aXwG z^G{QftoaJ;go$+!%%!=huKHV1CGo#1$DDIgH7<{@oQp5TcVVCCXRb52fG`A2-mm25YhM$pp08%>qL?Z(cd z_o2wf>24k+AWRikF1IYSFu<4<9_flZv6#G8Emi5!2S=c_m@*YrN8Jvk%28xGX< z-r#}8&uG^S_<>=Fd%=&AfSb-!A}q=_41@oBVvlvcQ!bjN1WfcZgCcGKZig<#=)vDF zxdgkgNm7(;?3?mN;h%3HneT2tlf9H8X{Q7dn8?|VFmo&qjf1Jno=QnH0U#@#fRTT8 zfG3zbgPW5dUMy>=^`yZ3WN~|HAiB~?-YCL623ZASbtqEzje&YNO~oT0&!j)mnA^l! z{2%X7ouN~623yX)t+>FIRGa(ho$as0p2=N>n1Mm3I3_IT)X-QqNAilS#6SkV3tBX1 zHJav$lySOJ?s-5Vnul5f&|(|r9ov;95JbC@zp<8XgOqDZOsp)6IiSd8O0}kUt*1fo zWjb9PGLaIQ=wG%xEzFq6*9^MDJRnci;&DRyXjm4E`)EEZnntR^FOr$$ZKHwK*mJObhuY2WgX&mSRm z?ySQwZ?uuRIr`BmTu3r4BMhf3-&0zM)zT-b z!Cy^$lYKV8_WK?w#k|hY9HG&XBoP58lyS9_IfuzO$XQ@pfa7pu4~!EDZa`N&BjfMP zJmJY@8OzbdOvAemOBRnCL;_cI2o|2)gMCa=C$b+n!QnL=9hnxk~gyOYPo@Lcx zr>V^F!o$z0#KUux!L!7Z8pN!4oTNIdB#u-?>(a@ung7ajIX+NrXl$6T8 zQRg`-{GKqU;zSHpQ_(>CbQj`)YG&5t`aP(l;t?1L;v0m_u;=^=XG&O1AZhhCi{D#L zmtp$dPz}SC?$7>nYobNXXJ=NqPI-}C6K~EqoaJ-6%wz7(AX!pX(F>mo1pjA{_e*UK zjd@NmVyHeDV%p7PTo?LHXTw!CpdncVBZ$K-N*LM?(#0VF|rcRL~mc1>YO1rNvCqv$tjXUr*7jfdl1Z5j-ce2il$_tvuzCrDx}=VO&s|O<;K)Yj1Rgw2PmEaKMK@=tbcyXilJlX~38h+pE ziknNxFAMFTsy~8a2OCuwY|~<}kXq^=#>0!Qklp2XYjkAwC;HmA$FWy6A)D3}DKOgXgF7`jRb;N#KGpw*}pWbSbFdk<^T*sA(m{_JM>e z5^KMDIr5mcL;{Fe{4EMq-s(mi>F^-TVFI}*Y%*=Mz*2LNPUwEYVlgz7WyBA1?z8}D z^9rz?R2Nppz_219UesFTTpUAxzwnwYchyYHle=DR#O}8~+(;z11?(u$oDUbv57VY- zVMH#pP&h}?!`eQB-5AEJ>&=;+%5-C$Ndd@G$!npk%OIlGPq@K7r0k)`Vx_yHVQZMWm@7hO&RZ_yFu3)vO7 zcL~YuiOJpC^{npoK)r@c3ZF(zGM#&qSCe)!pGtC%dO3ncdBn2x;zWA+s!fsgh3w*M zlX8VsD?p}pN0Xo6hf+HDbDV{+X*pc zcGxMgh7#DRmGpVxEXrW7iltpP+9@$-nNL!9kzy7lody7;mwk9UV)LI?(#mYMo70_S z3_6TWoS-*lLOk&bp|)i7+0F%+dKxTI44;gjJ{fO52Qt~kOr+!Mn5Fk0p4yjWbLcY6 z+ae{HhGz>%%})5Uk@TG=3?K`>*v&QAb&J(;cqP5zRWQb%f?hK-^zyXaIa*FP*Eu zTl*Aibxnimp;skWL`k$MR#|0q(XE!@VKE)zs)g3pr}(pmeY&%7+MI>593nj-%Ds0H zty|St$BPQSqaJaefCS`us*4BckP?E=|``?Yus9dpH4uQsHE!i(xB#F<@jWr{%mrUwTL$G z(&_PH{Ceh|kYzSozm5GGp6pNY1#;3#RjP}AFdcljvoaX017<)O{5+#P)=7nltP^Ig z4-hstBhf}^d{@;LCgx%$gKSF%cXJ|}c{Xi=6n)DZd9w9pd6!{iY{XJu`+Khcc?)kf zo6J)A2Vr19dUAkehvLcf;NWxLsca7z(nJ+fZHH9EE>fvf`N7~|o?ReftmJB+v{t1r zg|z_CP%SjJ=7$I=Ll1f87suSe1N$?=0BEzBaKJG$D~jAi`cfKO1`<@9ffyPRI_ywL zUo0{b$PnK1G>bn@{S7LdjA6;q=^|NzM=(us0dw&y)`uz-lIXQ}wfO7FcG8fRjzt%A z6BW9BGBI#tb}N&2FC-BjTvd&9IttZi5Gri7H_x+2%s-*InV_jZDz3~^7XfiF3B2?Y zZ@n%lr4X6+KT_aTU%ndFxd@TCqUlVQH=*d%H(3QV79CTJnbL9H<31Ywoj^mQcU&q& znqEHAIAQo@!fdDkt`6Im1sUO;Y#TZXx?ZNz{`X6;?02NAG$ieWSC`~M?f`|%Mt>R@ zk}&K%Gun7RyPsOZgfhpJ*M0^hGXSP1+b^t3++Zx+g(uh^YlZ7CUu;B@l4|8pA!M<5 z<>=~)1e2F(g&THgL3P-hdpOO4>!0%y6;`oxo4 z#`^V!_lD`lQNyX7q=3VyY!;djXKWH^LB5dafMX;%+{`Jsg<*UJ5M71e5}y1P%_E!6 zFS5w=JZ8V*8|I3S>M#xM=rCd`8YFPrUu3f1=?62jE}4S80X$=a&)Z^rjj*WV)YK6Q zn(ufaQS)eK2slPe+6sV^{n!SBte+ty5)&ggJ{ zO5%*=4W&Jc#1@bJe9=wQwR%)h7V$fXA{gZRIe!F){|8@V2+Mb2zvhZVrt9p}n&cB}JCzdthANlw~ z1mQ6FhRH>$-Ov%49QaxRI$27R<3D$8zOwl&PPN_-<49bMBKzTX<3p@mam20BEMSF* zSnpk=Lg!6Zb5#O=G5f9NLtz+U?-~!3Xac7ye>0?TRjAieoQ{wENdNqX!JZpJ0yI&o zB7D^Vol@>c?xmq}h&pz|F4fJ$E5{)rik#W2s&nFsCoEHr?>2W20YaTmM%ZF|v8hlj zxsipfBe8+RL(ZT5oQCe}QOyON48MNyJZKq0C1;P$jRs~QqSH_BRi`m}DBnOPhl_~&(hSyk?jnn<_2I|j5ge2-u!M#-{+sa&o5-%5u>V&`0quUU z)47n4RaA*hX-4hiasMnlk#Z-j^-Z*vDR{W7>VXscgXFK^J&UdN%^bLXASI&WwCT;a z$lb@Pr38+Y0EjjUA-;|gc%h_A_OGX>A&D?5FrOn~hNftha@wCuz7smzGc^6P&>>aHHPXjjoM_Kw96J5Kl86&U-hpj>{*!f2-hjFeJyD9EV^Ea2qJ zs%M}X3_st64cVSLZI?xA@_(3m$M8zJCR{ky#C9^VZD(Rz6B`rTJGO0`6FZsMPA0Z( z>+E^nbFT0Ex9YB{yK1fObocJ`s;bNX76KhoBYD${Ve6~aUT`JCny;2cs1@u_o5)8s#t&hBc|(=Y2`tN>oSf45I-(LJ@*VFmM?P7RyE1Fo3W zuego7j+pqNV$+rh(R?}r^T$uE%itW| z6Rq6kA|Qwu-J8Psp6s|9Jnv4!0N5E#LO&Z6tg>pCUfLwMu!Me9U3yQo-}&Q|3ATC( zl7Oot@y8zIFZqgJ*bE4~_c0l85>#El4EW(1O(zxulu1Fr)v@@^b?=4X7Z{Fy5)9S) zasyMY)m|~l@HgTDKZF3;4KnaOC*H*8BQaIy)Rjw{PYT9$Ozx5mQ`;Z~khHWPdC)!a z+4w97%mZ(yF4K$J$puWmk>nPRZn-GtPwkJY`U$2CwnfP8m@wUcn(&QP1In04)i7u zWr-7FeF2}V)01@HPj}b$DN(;(Z{I3+p6402+V*rQBKa~+KiyUEHt&;e9(_u2SLdx(nzTQxJGW`3 zOQ3s#P)ivN-_5WEc+s1U^F8zrE@xmtS!tQQj6PIBEtEf0f!CZgFM*I}*-qomXs(3Q z7`hfnrzv1A_zjIIsKVZIRIZndQy;TnCQW5Q9b@<^&8q2%i zY`qYa`Xp1BIBHG;aPxu^n}ho8vrUf11sT9 z>*!-YnP`;4#qqa_%DhsAHPA~j9(TOb+#^bhUPoz_mZOI1aN}E2C=M`y5^#1!D&I8F z`R`dmD(Pg*eOn9QhxMY_^PC28W4i1S0E&GoGCb_95&zarnoD8KqtUcoz|1~}eEgsf zZ6OJ7ydSmMUd)n_QAZ?+Y0e%WZ^<$s!|~|0mz@!77IQ&Ry3**VVwqb( zA9e{MOKsY^y1p*-2xvQf4l^y2_S_a}4^fohx9M_gQ)qiftN8t76EXThE9Tu6Ge?ya z1LoZ}kjOA|yT4(t$UofcTB30RB+LNMI<^9Q`~U{5QvAuMS1?_R3%T{wuxh zbnys=c!E#Ih%cRzcig$smJSb}dmmlg;QDV45i~BNS-!Ol4zi~G=_LAlL5B3|Cso_2 zY6gnY>dtxf(##HJNSO);9kFX0cST6^3@I;GTIh5cB|C%y6`)Tat_^R1VqE8knR~Ib z)iu#3!Zqg;`__NOlc;pMd9n7gi);&NniPw-DEgH##K&)1MCD>CCVnLQx_pySOH(q6 z=?RHCqUjAM45YOY0M%Iat=9?m3`~EC`pW1hJe>&0@CkOsMi{k6z(KIJ^&jtM?7&9U zXq$98UG$zmM+**ScwGc2Y(af1L}mB?`^r`LzbZ+-W}wsEU#$4gh_A=nN0`@jn@3v4 zw%2=~uu#6gHyP=Ujk_mRy0*l97AkS}3iW@^G+f=n?-{g(qKM37Bxyibw{z^IDl({7 zvSD>LLW@*x3d7FIKVc+^%vQK@{Air_G2K&aJS7N4zHrue031g=-WGNE8eg5|Jh2w`RBOPEMuRdqKxbxdm46n>uJlpu_52P=+280lZ8C*d8u zO)($FroemJ1bCYF$$!$x9ENXy)U!DroN8amF7F@(>a>0Q?hXv?)NYi1P8Ymj^Sy!NU>QdaSxNwo8?d?JP^k0Lcr3$RA5=F5~PF%B(%LX$%^a?2!jW@`?Zd zff_y0KO?!W%EXK=D@t}J7v%;``BSzN$Nvq;P8{W2yH0g9E>Gu<>bzg2Vj|lb90%uW zEawhRiwih}$5F0I z6UnW*ZreN_*r~RDuQom;X_cqEO4Btm%3ztj-h=glQO66;UO~Hd5gFYn>_$e^Ibw1EfAAd@CEJLV`Krb}JWReWJz`!2h_mtX zi97cm^A#T^%ix&(Y^;R!&wz zRb(qoC{xu(<(aD!FiJy@0qB{QuAcvx)h+r-F4 zg;|cQEK9CIvfELu4i(w&xklX&=!uD?X`{U9jZ6RiQx`BCdUUbp19?_s()Ykr%ZYpI z$mhbuP7x=6}3)^I?UrlyVThy$&5_ z(Py(CAxUJ6(vr_&t~qzdT~$`Ht~qGL;GXLMdG?swar?119J+S07QSM{u04i(=2y|) z>~n}=9|UgPsYk}ET_iJ_Sw@ddxo&GD`(Fzmz`@7fWNqf!?dzv6pAX;L4#3+@$rtdD z$_em%dUo$=^L4)~J-Qf1pt=6TKJ&GIJLA2+*1B-Ca|Jf9CJgm=^!Ngn z-#=wFSntiEx!qCd1Ak_2T%16>c5A3%!3y|hkRIw-r2vf9`+#@(H&idn^lNlGB#fG z6XOX1GR8CpuCQt%Vj(nnGy}LvRvA;pk(u!$702;+XI!;Qj; zl>DeB5MbNP*q*vYAy_`Xuc+B%&V%pFZeKK&SG)rnz};jlFP7F{q<>w_b550hborAd z?)QYeCIt!IMAasKZc7GG{f;TF|^tSct=g*kT|2PmqkzrUt}a?JZoFDq+wYr_qfLX0`~ zq)QnzYTD)(1#_|*&dOmqoQUD(HJxZ9aQfV3w&U!O3qEY_R4=cm9^SUp3x;bW&RgT$ z+oRl2?E!3T@NM}}wZu*@O=sR7-t^CZ96r^zb;J@`Z+*gfYh$ZJ;KC*vg-oyCk{Y5I zk~PNvEp#WUS?=B_)WjTw7<(Zf=jeSXnF@7T+ ze!L(wu3x51Gg7y%PHj!!$6(DxpQMSa_gRx?#RKRE!;YnblSK2mC_KjY+wBw$1xrSQ zyS%$J%l`zF7}2mB8$~ZfiOr3ebOtjJqL-`sU97oAEW-;E7fY*c>d?Pe?&+Ej=_HbI zz?}z`v;EWdEuRaO^@`HdD)6IPkXHPi2rtJORwZ7v`ukP0G@78};sG&qC?7S8w*__z zNO{?AA4DulpH|Y?o9(nO@aUbzmt_8xQ5jq3sbXY(GO(OrT!lTA7Ll`<#5FeeO?fOm z(Ty|mWP_b1U5$vvCYCuxUEaAJ-TK>8p6vOi<(TFZL!5d=axs-$r@A~vNhYu5flg5} zs6>%=lt-tR4<+fc_?lOze^flq00bj#jQ3$6 z8dC6vWH~3&59RuTN3ow_gBaICe)I1<*;kezNS&Nk;u<-}AAsv!-AT<@u|NF74AGzz zw?=IcSiy7_a09OS?7MgO>SxF^PbUi+G^(D5-VZy!vOT_nJhzLNX=VdQEY}P}t|`Gg zUJ<7IrPMLRr>ORLBnI$^IJJLx9+Tu3EPG@+_g{ zU&Xy^*%}!h&bukD#actE83&#?<}OJHUiy}7kDAg>RfvUkPaC+={LR|N0)q}*ROk4= ze^G-w7^p+%TrI)!I5AB$&7)78qyLU1i`+pkveHMzK)m5#{S>N{%3PB&UXp?$vDtQP zTy&7sUY0V};13x^8U_$%fICkXXEV9Z8mDy{_K5+%qb8R*NMRYf%8o zMTxTYRA+nmP8QMng)2=_KgJXFkeKlse?HFuK@3D7SPcIUY+wR{S^q#a7$A56^$*ne z2MYZIlfn9h887luOTpX0N~ZHgH2`sBa2=l!@_#gYw)8~iUIe66TB%5-rb0wo(#Ed#c$G|*4`4IgD%&`}at{0Bk#)fOWM5p7#4k=}}Hb`+)aX>?ENMaGm( zzh0dZ2vIJ@1%`&BF3Yph2@PnHP-5dBZQ15dG^fldDe-ozGa0yRqZ~hf>b9Juf|Z8= zVCwyUh|{9Ie2sdo9ZAOE*Zw=jF0n@EqYjGqtmmze@m0sCDTdHa%#ZRsMNt&N{-q z!8d^Jzh`Ub%_d*2v}8T-_oswMcrcSBw`(xv3dGmm#m!-8>E2r?(UqNYBM1^o#FgC@ z^p5QoQK%*%B#UN$=t84+ud=+5XNiXM_LkW%PPe$Q6#p$~SxG5yb0o>{0?H@zfF(#! zBHU8LiBbP!2RtG6k_U3%Vfi8mnZdAS2zqG7N7XIK4|`I_9TjVY3B(=h0U>B22YGo= zu;1&4<}a3=LX>^w`EKIGnF(W=ljgY}hnbgdnRZ)TQak!M&Jqow?~@d5`uLi!JHto4 zQ&&VWX1?+5V^=Li@5$1HURSZNU< zoWp*Cmno;Gsm6Blf|j8KLz}j1p|&fet(5NO;RyNDxbNe*-hS%GajH+aK5AjUR<1xl z-+y3y8_3Kyl)5U`>AWQj4hD9DnYFVbqFi*GME1ulKV>W8m}PYP$9kHh8}gqO#VGW2tOQ>Vx(67sRa~Zl6L~7szm$1rQF}UPeZOUTI}qMat@{p+4yc!T_!0@x zClv4DLw_cBF>N7DU1vlp)F*^{PiRLN`IoLngT7w)`>oaec;$a_D&c!NaX)S={$=<@ z)6ZBd-qT3~T$T-|WRw`j$mDQI_%CM-iorebYW~m+R2z@)c>)*&T@w7Eh!Iy1@Nopo= zNYPj(0QW$vvrAd3?FC+LKe;1m&x;k;Maj8j&NR$WE#+#ni!mN`scZ@avWiXhJqRU# zUAm+d%Wdq(#c{;5n^_Ka{5H3oTm)-qEFXtJouhCZ1<+Nye|^wZb7Kg~Wb#1g0__Ze zb`q3kmXpHQTB{4QS3!;8ovbpnH6%btr3L7kYJ)b1YDpfauA@CMr`I;8M_v^C<~3CO zTsn`moKg@Br~8Lfb!4b29j@vRqi7w!At=-Ct{GIxjk0;-SoXCA3{pl}!h(3Mkd#9^ z;A32s`a?`v^?gts4Iq_*Vt)iZy~(BqFC-bPb1x)1|Ht5IdU!bYDD-Ww1CYgVr7(Aa zOBa-kz&xji#0$j(PMpF7t5@=EF|!sVL0ieA!HXxlh_|9+(@iX{WzWmJ93-m+u6ScAJmo_RxQ7>2 z7oUEf(m@hbR}rD+^-WM!CLNp=UA!4KF{Qm!O0l#4LozhsI1yQdy1Ne^*N8YQMk>zsis^V?CKZyumROF4e(%i6?N; z?{z1C%ynhE{dvQ6-(L7eZ~U_x5Jio`IF_bt*c?X9tPqAcA1yoE9d_xF>tJM+`rS6|ntSDg18IBOR_V@hUNxs=t3h@l)enRVf8E zR}(T!nz4uKp``&wGVul@mmFN^ry%84RSDyL4=^mA+r6=00P{UT@O!@;=h4V%1 z2%D0XH^MtNi&E}H96k+@-acw~Psp7fSfXc(+Z`vdcJt>rX#AR*K+9Ooy@mI&8BS1H zB8RxG47K=CKb4t0B9f$JVd|BoBmwu{5uKA#0|9bj?_%%d+5Ks6{-&Q70A(G9oa$q{ zx#e^DmEFcDw;vtOAwem}mUgeJ$bnOK5e@0K^i;Y>M0bB_9`69~?BkkmH<1>3S@n$A z(=;ezl2OA`V4#bdpJ0|24ce-JY}b79S~xiLj9v$Q?_w*qvt^3yUJGto^0V~R}~ zgfE#oWP>&=2|?FNFA?A!XGVJ$n8EG$Upa2v>#yF4@74!&cqdsyeC3r zx&3UpL7m?K?Vw56t_|N+Vsd%S-kqQ9Q--DqD19&WIDJblO(iYM2!!0SK-#@FKr-4{ zNs9AbAvPRHjxSwEshY6+hGe;eF1fxtKec~P`sr_IT!JrxG=Y73SwtJ14=oSR-)Ref z5pJoOlZ|-FeE%mrKXfTNpP#vF9OxH9$i;kr-OdbXWE@+Be!%wJ9+>Gr8=C2+(jZze zKE_}TY3Fs60S+ib^umqHMi5P14wF5jI(G*~XdR-G43+mYHBOnYBhbKW2bdK@q?EGV ziwXB?xR@`c@%K#9&=>tRR++0dma&@^27mvJrVM7bwqPab4}q#2VzOe6HiZt%c!sx& zFb431;K*75vBiYw@aH1?2;+Z11+easlx1M}GoR!C2WXiCfd!|Cy;cYEU@0ps859cg z`7tQ8jf@ckBhJnuCaDWw>b@eA&1HiEZ8RL&37ju7ioS*~7Fp>-EO?4`_W0g`b+Wht zQTKj7_{4-4@W60KIl#j8kV|!Dq|C2^5EV3bmtO@WOV$e_n*G$_8SUgs@#Y+-C==FI zdqT<$Bs4hhc#=urX>H~a;8K$TWhUo##7Z&x^sK&Zddj#x6XV~cSQ~erO@)>+1?egd zv>!m09Km#G5$%ylpS41?l&ZHXB>tqJfoeNI1Y!41vrI$`fdQ&L!>qZ#*JFfAhM~OE z^;cO`%~TA}#Behn8mZ%oOm^@{@wxy{Clxo=QnrO0&ND9NMz0O*jCM|*;{3;Sn#GA{mhv5IsN@t^dDQKd> zu6lQ+CB^BnIyu(|Z6&{KUqx`$j94nw`?k=AL^6Vg=OOVIRD!b@rC=LHKv9{2ieMU0 zjb(isXbDuGGCw$()8DOYRk~kR9c1zT(tiQ0Ybn8&`Cd*4rNr3PdS6zRfvF0*nGwqj z_h<;XsjGri;*gb}J!^6$PrtNr<^&LPz(?SNDT#DpZF$Su<^XSfxu@!!liX*z>aa0ZtA-j;?gF^qejk9%2k- zS%d2*2Vt`toE$tSU)mQsBA6%9t(x4H6ozl~#%~lwj2VlA3?=~w$%;4!8Eg1@wQX`z z-R8v&5ef4?-Mn{>Y3He#mecmIWE4X?~|@i@I}6#qr7@XL@n z*or=SvU}`6YeEHwK9Vmv*afAcp3UZsCY6#*!L+gOMin!KD`#xOtjsgo4y#-zN1HEs z)@V>gOS3wUCY8I{HsjA3qJpX6&Q4`kCsJZ*(=+`P6>n$QbrPmJN)BMo$Lrjto@Q3_ zJ;yvL+lPRr#^H33DrU$G$vS-QK!r4C?og|&b*{X$pg@`^MmzdkM__t~+GZ;-;#ame zX+)uGd36&lvpBW7a-^J9X89;;Q?d;6+pEftiv%ODR!8o?MQ3#-MZ_|l z1AX&aZG@~(_9e~?edBjqP`hOzTV)WesGveUjL@?Cmd z`dvv@y=)N0@k4oOC_!+ev78pE*b5v5HFQwr-FR@~F@cq9@A}!h(U6@)IkjB$ElWgX zmB;@l>1!f_C|!?^1G@{7j-?9T_>J5QwvqQC9hp_U0`lCeSA-?=Pk##i@v#HVFWCym zN+3W+ANvgn(YdZCg#34v1-Ynl01*Z*-U1Yqu^S6HD7gh5;so_K_z#bJZr+`!iO@SD z4~OrhU~Sbq%p*3^xWU`fSnqT!k!bhT18{M7W6d?W7FDMoQI9fYAS<$Lm+*2_8I5E; zVv5!+$&e?$$*N!0ix>6X1*;IM_A+>3Z@#T-QGfx0XhSLJ-PmJV-7n0&p&yoHXOQiNu>6*0JG~ zWMGyLCaZkR4%x#)1B9a`Tj{}RtKLu&w!mrZ(lAVJ6ixx zH(vYAMt^^y;obAc^xe++(9g&b8OP>F`1s@`BPnjbMWtOHOG65Ri?SfNbl}r0F@>4! zT^k|1hS6ti6bZO%`4o7hNw=s53V%6+WwaJ{CIccUuac{^G;iASnpO?S7@>fMwyctE z<|O;6LHty@^Ka|aSU_l=bu)8MvXdNi^Eo0Wf`Uk=gyVlJ$NA#E@GJ*URftM)zJHxnS$+=lX z{vQ2z!C}q<0;&iR=OR@y!ui-}1;=6J&-y_(mx3QiuGCb(K(&U!GuRo%v+M*aEUCB; zRGgzXh0Sv(D4PLGw6*_^6I7GV*&u?xK|t9~Jl|LofRK2xPH)o!jVT=}Edc2!&#sh zSnxs>;NqKqAeqvK=s=>fb|U(J@F+V-UN{Sg;ZBz~8xx3%lfLo_Rb%Rl$a-uWsu=xYhbb$oH<|0|lQ6qq78W<*{jv_Nk6h+I40Mr-Tn@NsN=>TO<%aHNDu>KZSTf ze?Z)0!!TbLh{wzfMrDC?nfUQLUP~;I9>0j3LBOE2W5d`hPO__mbtWi1jPw#I$8I0Q z0!sJB2KYF59K#3hkKL2u84qt0_erRv2pDhCC}?rsV)5VozbxwCl>lHr=1k~dq)xel zn2(KP68ySNwgrIn7o_))BCd!*WG?s-CXE!wsK_bsoxr5Zyn>hjBLo^Di1_%&Xo^Wu z*%FAER zPf+qO6)@=;e#oRhnUF~at7LNNCqn6)i6=bizKJJn>4b?V4C&pdZs^Z$sUZ8G)pred zg+xPy0(_gKAOD=YtOxVfStM^w2&skXflA-3%|1FjgXRZJ(^^E=Pi z@gDJB;PHpZ5z+8%X7Q<10HBB%ep6|dPVPQ@TLQUeW)$R4#N=;)_{>xv24TlXfNoMg zfqEPDs$o1{GXbXSZhWf+LkblE=B#_4G0KDTAFvzcT}%z^H~ujttAfce0Cac3(Y9~~ zbc{yAnM;>=@4nJ-B$-Xk(tx#HS4ewbrrle85K>xi6_T}n!fRUyV6QF=H|9t{sh_;V zt8Hg&PS60@JFT$pbk8#A+jatK!s%r#{1 z_bItI9dg6wWZ{V*D!~oJEV|TCwnIddVB2sd)!!m zddjkaAv2*Qh$Iyc_yRrHcH7t0)DxEUWeoPlmLG4w+GwM)0Nu3Y{glIqgE`1e;KOlB z8b+*nI@D`uMlCcB{P|SPZ&gBmPyG4BW2^{Lsd%y?^*CjCbEjf_(Y@?NdQi6^Ue~EB zfnC5R2#D5DNd$i1UtE9dgrguVA-;$Rd5!w-1gnBxUOCc$q;8IXUNY9Reoc^3o=p#M zJ8VWl^-6;n0t6%a2kjL~%~hsx6yju{$0R|8BF>VJ~HiURB26vsbEtpfAI|2>vf6OlPYE=rbE|NuzUeiD z{-YuF>({t&w~sLCgS1kxg%-OQtVW*3NlI)+GT2&2a|Y=A8IU^brfiPxtsW(#{)rNU zBeam<0FDy)ApHoLKV^AJxnK_TV^pUHsNzbw2}}{MNkK|A3Xo9+ABbefV^h?OP~aRT z$Ux)B|BU~y#X>1rRDl47;edT`8YQITfR6I1$}K9Bf$^B&vuXQ%qVr)|AG0|AHhCTK z=^BuED+S2h9HcbSQY@gR#|~JXq`a27NlE+{KSGreKR3bouuMS;Tg*qgkLpwfRXjt0 ze9Of6aASHe6PIY}!qK;U<$NeKEyIoxzyePokoz@&UyT1L$|4ZnXj0iL$$HX<+)4sq z&ky&+#OK!A+VC~+;t_|85$)=Bc{kV8J@i@LWd)>S7JihlfKP_2Uj&Ipysb+KBsM?I;2O#9mWRsy##9G)-a3;Yk*#E!JLN{$ zdXpx)bOw@FbjO(34^DC^s$t6e5ja)%M;YVE!>(7viAKi`t>*t?#GZxDht6J>YbX%s zm)mdg=Q8dAYMvG2gvZX!jTbpxsl&Zuokh%YL#tKk;9=yt?mi!ViFfIq-hnd49fjZhv{hj!(~iM8&fckGIt79nfif&##BsMv;dd;FS+r z-%u7Vb_l@VKo<6gc6X0KCBPbhu{n_rb`!#ew=Iu?IR4E{bD+2V7*keGowq$%?CUUA zv^yLOV2-P7i2l1(CnI0r0V$A-I>F1N4G)`+2{}c~Jc`Ybb1pkIxe=FrQFMSznmgsD z-92S!Di(%V62u=Df1-S3ek9@e*^EOO$hm1^pU8{1jz2l{{VMam=KBqPkf8RDo$N{1 ziQlR)6cKW{X%e*P<@qITkf`@+vS2>tHD{v*R?C!q4lF>f2K8^SV} zs!8-G4Mc9eC93z!U+CP>m8b1M#*KSoFu}FEy;1s18@@J-)g;H{^V0?@;ZaVuXTAvl z##eVK8RIjf%(ZH+AFSTKpXs2mYgCf{($$^c8eGPHcfg#0FgGK!#}t@ghv1+WfMd=5 zit%o9-S#JkZ(CBqc<}Xg>Mq{SckuBKm|=F-v;F78U)zFve18PxHBksCJ$XLj6b8CL zymQdn--ahoE?M6GB4|O=t!R46T%%OM?`tZO!S%w zQCVuWkzmuYYC?l1XqQBOSUG6~F)iV?4UII3)lfUq$Nz!}C)j48Y~k&tSI}u6UX$sp zORVk_FNONrgwcM`0FkWdc~xDgvY*fe=haDwd>fvco{H6rdA+vvv$Xgn?Ky7_kjlxl zu(rw+AZx&>stL)GC782L5kbRAmnPYB7Pr~9D!`}{kw)aNQzzz$4Lt`hD zgQw*VeqNQY0beBrst=3H08E4&al6B)cQC(kDR;n!!M|US#~fk;Yj(#QiYLr6?M++u z|G7v&MTUVt#0MzBCXIMA4F&8MNY#^2&j#7Y3!(M!!m%-TkW$F4W@I4u0|Ad{_t^n! z_B1vrTNbL>AQ&Hiid)>suijSk2kunAE5~ppw zU4@yK6*?wWVm9Csk8_LD1x;XyWd*0lLB&^v{Ya&OP7&wY4b^nLYD-n_ZGlfUPu6wQ zZhc@&b@F`ys!=HYd||7#t*1QWy*!fu%(T7%uvhX`V*6>1NY%R~mE`cvuf!Je557u3 z4;s~cJBE;U6Ih;lBe-cjt>52jkktwdkS0oEMT!nEi!Q*9?*^P7-sJyPwPS#AW|(S# zRBMAas?ma2MIh58>Vh=dg3hSZgOqWrmdUt&+HJgVsZV|_MDQ<=^M170zS>om0IX!d zfzn%x?W0|#*;fqCXni8!jN+@=>h>zlpN{v*&Gz!D;?VcBOAknKdbPf=r6%`5z#0QE zHUFHNeAiu^P`EhqZ#SX*oXR|{&3G;Ci&g}8Sm2l@O5^lzDn z8J*EMG=D~{M=Ea+R{`(1gkQ%H=eG@jowz{QNaRNo=O}kCbb)Oj9pvqNWr1_p=l#-- z@6c%B-@Qlu@ckL#MD$^yUbxS$Pbn!w3VV`79h3Z{kB;pIl=$~a!Y{rzku3x*{M{e0 z_m`66hKJ$#?o!nPRtg(_w<|C;EDE^i+{H(Bq-IrsF95!m+Pf`--K(Dw8xOpnb<2Pu5VG1|F^Vo^jeg1|exDhexoN0*a-ranFL~%5AF2E1!`2Z1Vl7@2 zkO@;Zh(GcdLm~wUv)v*O&d6f{FkupQYsKTGM#7#5Lmh|-D-|g4%|`z?HdXb~OMxXE z{A%_#AWY@ZQ+Oex?#6IE1LZ6Hl>i$JA_3JImT{yU&l}NraNEnjQ{5my5mO}0av@F1 zXoiB9;()JiM|Z&kb!eho$s3Va*X%F3EVi;wYyd%;%qXmp3KF^aXjudUprQT|Qz7$) zQ9n%igRSY8)B8anS6imm7PA(Yxm7E(d%BLi4Q)32=4fP5IYwqQ1%I*S$b5rc?1zBN z^g_U0L8-fkvF+f{nv{ZN-ot%o)&qq#xD)~V%FkDR&4OYC(ZrNFn0-rb0%USe#v+$$ zDTq8pg`0wr^@tUC=Cky506fb4d>f~q=9vF}O+`3x&rOB)jb3;gMuUctI(wT2Ny(}6 zuzzP@iFzv*|KJ$2)c#LJ6bu8c;WRg!Abn_^;Fub(sJGF zrVqMc1&S;0%40d2T1oUYB_#tgnXn1GVW>V~Oy{AEjK<^_#d7My!2uMJ^)JOq{EQ9%MIl|SBFtPp z?WD@omsq)Di>#+{QB5%|&sNB0dF`l;w3&&Un$!fUi%+sl5U$fUa4NLlB}_!TT}Y z3747riYp@G4eci?;><4DvSb=9g=c4qTkjcTgrYz1=_|@hZftURW$q^#E6)v!#kLCS ztsKn@gaR6C>LCR3OJ3NM`NtY9eyXYf&&w)KYv@^TX$kwq)}^cyyHK zHlh`U&R~oYpnd;vTGCx+n}LS(?=-uhy*YY6Eq`(o#ZXglQluac-LLUEktaH)?fGFl zyHr{5T0mn(2pX3l;wYgH5PnM5XK5)rTU7EOO~Lp3B2+Rnc8ml7SkpqY@`YnsJDws$HA6 zYK5+P%aUGg?n~YDAYEQ9O`Xj7GN9X)v=!Sz1&p>YnUQ;A~|x7PWe1zgPeA zoo#%GD6!F+b%y=Jt(#m!3vLQrr)mvmn@E}x?%IR^;6&F_a&}-ACK=P3^?DoWY0|Qa zM2ci2f!*Y^dIL;-7aJccN^F!C_lpQTT5KSvvh;Z_I_E4kRCy+M($Il__J50cz4L5+ zA)D@K%5;?=*)_h3w&T{D7^KiGGWCC4bfQ{msQv)9liPIR?cIz3&g2L~sRK9@7F+cp z8;H|G4dv)AyZ%nzcJC$&IxfF8{0(Az>$y<-9YEWym`lNNkQGuN7TqF;L<=4g808x? zJyQcR8yHsF7%w_6OxAfs;~&wwNEn^p&a3W6+R*-q+2NRJFj#4SUN9{72vi9m6FbyT#Y)PGs>$xY8`az(`XQoHMm~fM zOaQ`c$);+Daj&S3fHs>kk`p>noTx{ss?l!5PH|jXED~g9@=e&`cjE;^f~uCc62e1! z46%6Wk;;S<9*|P8ydQ=p45OZ#JL05H!kc?~u1q63zgHKZq0yr!$jf7iSm9EtaKDoB z2(q-!P!RPc}s|-ZV4!s-W0c~Vo9?+jr6OcG@UKo);GjPwNN1(_Z=XF0d zqLTpLwdW>&7(h3&p=1e+6tKz71B^tt&yWG+y3KRLw~gcWA!ZjBg`G za#Yy)pO$M9NCEw#rYnVeACra?FEdBnQ$-785oRWJ6vo`w1FW)y=_EjO&FhKp2at{M zM{)v1V5wtmpol-RVZ#j+!Ma#AfFk;ct{k2y!>He89W~GyYJZcO9jFEAN>l)9Ax8L_ z`GDeA=e$hd$jO}yN<8F)PWTA%M{z8%nCM}u6XeSDX2ynXr-#)_^2#@ywDQfO(h5K? zU6+T!aYt^h!f?mg+x!jEWAFE;hGQubtJP}TLQ%7TXy5A;){;UqE*pz$x!FGe3$$hG zlVawRx4;!*V=Uva<8Py4VajR-I4Z8(ozxk%%YMbda-KH%C2j#7+#d{H2ca znW*x?85Ma#T{@3fX*60Y!{})WB71;2k)J39>mwA#(xMFLM*$U{;C}-+P6qR^r|wEH zbUY<#1B1$(#?tD~y5we^m!YZ*Z02M%nX|I@W<*1S_DNLJ{G|pG!+5D?Ai>iRG~L&V zG&U(C8!R+~jz?$Sdm77)l&!3`N23CKz&Ij8 zG)d0#TxqZ?Z2yp)QFM*{#9%Q)_4^+I87Q9Eg=nb7f-0oQJ%Xn0W>ISDuL39r9?yJn zrypCLPw1s$7phE*bv%d+?vzM7T6b1%z4;7E2hvRf0s5wJN(@+WE`r9pZ5Ffp* zu`>m%UMX9l?t*d2Ss<+!V)e#r?E5O2v@xPDdi7Efj2mQR17D_`b-X2M1CsF8O=KZK z%Pj1XIF7Bcd7X`fc-^#A{e!uej>c*wqYj*n z9&mlY>4hV4DlGgEIl67IdAE#q{|{|%9Tr#f{0kz1;O-hUxVr{-w?J?U!QJ6t!QGwU z5ZqmY26wmM?ry_Q-tW7+clY_-XYbwJ`v=qA)g_;*K7D2mr@MM0C3|ZNJh0iL3|Fe#MVCmzx?Oc-D-qRjp|2VzMz5UH0(8Z^39g^`il9RgsgA*DM`fHyg!TAPUhLcBs_JM<;@g zuE_Obttl`;%u4>?WypWsK<#WsZWulH@g4&&_j+)tqpN$lctPu1?%^>fOWJivW=Gfb zVlghkx3ovMG`zHHDT5By(wxr-wYdO;JC>PPDhlFNE`w*{ju;?O^p)ZFq$;BR3Q-@^ z;)mtH{;2GlMs)NqiP(r-a(9N=U0>y|rTTDS;sQEC1XDTB@e}sYYrqF68qyn}QvdK8 zcwuL3{8MO1;VNT$^VP{~z|>aUTE&%N@#B18%UCvRgG>Zt^WI9RUi^pGw9agRbqW{# z3r95VqUda3T3t4)gJcAw`|aO;%Gbb3pCu73ZA#jqc#!r&=Sg{42_>yeJjelDZ~j{! ziUWl$bRP7T{pq+CIf}^mK!;#0H$WLpaAJYf8-%riD}*MNm~3r60NcpS4^3b8B3l4ERC9n=UUD%q5yj6B zb2jdGj*|w%{s+9s&pRa>vZ|Hlo{rw1SI&P1M>>G3`nK`|!O08$o1W)D7oG0tF7G1T z3xieS=gz>WJT7w02{gjp!OpC%o*KC-d-d-Ta3U^*RDgYOlqXku4)MS@bi!S!r>w5L zI=QL?aCZv`fzUt4EDGbeu3Dv@6#W-|jf$^6e`@=@mt0#&d7tYA<_cNX;wRM*p56)a z)i5<~Nav7=C+|0*2am1TaZFAPYDI1%I^5<6QdiW&>Mv?$BV)T2;6h@#fdG@zq7)gG^yo z#|zv!)GY~@5{egwnY1qnNPPQBWkfHWkAdzABu8+3oOZ za+Sf}v-`^PyNUf16&S?y%Wu7EkqZb{F`}I|vLDG8b@EoeCrpdR?hqNYC|6@x%5o3d zMVx?zMzz!>#GNtGju-2HxIhO#4HLE@t#`q?96vFFbK95itP8*QDD-*za%*@ zDerwX;@*c180h~9T7gML@2kVWB=Nr_2^}?h-q3j%evMNRL4#whim?(2c0m3(ei@um z%PH1w>t774?S?rgkk(Lqzquhr{sR?Hzk2Krf(xB^CnNMkCo1mY9{QF00>JlpO_@oPWGA&YgLuC8_b1DBD9B;kq{s#yy6|k<7AIZQ)owQXo z3I1Gb0oOIR5tvy09|Qgsa+Y-{v*vow={jmDV&pn0iRQ$*-QH`{k-|x;s%b7i*pBrZ z*z#>#q!O8ENBNXfU8;0aRnFl*$fnGi5tod#YE_Jsls%vo$_>||B$}<2C7aC_hJ;R2 zX=uOyS$n2Y#&)FXBn}+L=aoZCXy5;oz;^)z@|xyiJCD+`4IA)8`i!M`E|?j1GT-~C=D5E}NZR1`f36jux%6HN4m$?z z)9miK2N7CPeO_D(iol`WE^e@>t+zmEV8Oo2llTIZOJo#Ed@1=(n}*A%3ge^`!6X+^ zsf?%w&8$;E_op7mCmF{l7pJI1uU{1%;DM42e#0QsLkIFN&@e1;EI z@3au`bW@;Jp=DAwRiJ5rdK3%!r&a#Lx}l-0u_=X21;zRHjxL`@c6idN5YoOS%y_$D zQKPHI!2jOGKrEc6Rh>Vp-mc zIc7xkJAo2lPo2AlUnCT9tYU0&topT2HhZ_%4s!;Zp=K&Z?8Vn zkDH{5$BPF~rI^*ukC;~QBp6}HsNzc+B#-DYr!;Ko2Fp;&nB#SfnQxg5%DjI@3I3uM zuKz1FtbIMz7ZQ34U^{Sha=VY&2Hp%!qMGw=!bZTaUncwVBI-$Dx1{-uKIzUw^H;rh z-6FvYr8xA3bkN>7Ztq+Od)EIDU9b()XU1u|KNqW~h>U+kUh=_btM;h|oRy5J*cZtK zT|Gv~LHl&kqroIajX$^*j!r74x!m@oy|reflu%6XokqSApsUFqJu%IrEqg;VChzQA zr~V{E5c-?yd6{m}f<{I)wvj<9ig}J9UD^pNQ8GU7gN=4D!A5{KN1UV!O%!E`m=57g zosYBC`GTXjbH;Z5mkFt+79r2K?U3!k>(lGQLw6_7l{EABZ0y;z#K4z0XX-6WgklW?w&OJs^+d$mI$6fQgaPpK`f3BY|t= z4d0I*lpNd4@H3J-h^ZAWUzR5N!;hdovov2+uQj1!VBxewzbWO8FaND=|9TBBxL^cw zxE9oS|FojNyn)7;nuV;Kd|ThKFmpGZ9c=OI#N4m8Jm$1Rs4?Y^RUFa$J#?ZOd;DFt zPLo@hZD|&GSd%*QaSV;X8*97vXJ+o>D|h3QZp$tQmLziH- z3nB~y6DNvH+S&#L=K*>LERZ zKfABM#*5*nZ!xFWVom}ZtFO*(-hfp-`y^6Vr*9`o@4LF3OP=(PCb{sB%~5U;<9x-s zECyfAH+CF2?4EHBtk3_XIxFgT$5l8Dj=mR&-V;p2$Qq3Dz|H!eapjJ&jMcMRHMk8} z=-1Otd8|$WQ`*hBIUjT9(psi+2B+EuHGk9z-tZh|3S6dEI8EsCZ#kSe;U+TiwK=p^ z;WT;8HLs=gpZaEgYdc`bwAhxha4}bGzvQ`ViTIH}-sVuxden}I!sU)ZkmWY&02i~( z4!jativQAregEb=huG&lRxibz_(%5eX`>PXs6l$vF~@V#hK&jh}XkIL{+vc{vlcy`K*lt~nBG*ouSMRMJj6o}kkDQ$ohslweAe zcr{`jO-Z0I;@*kX^e+J8#w%*D35%s-utYWd{)=0P0$*!R{R3o9W<3H@7uN=KpDefE zx3Py;{mJZaJXQvC0xl&bi~Q`D%=Xrg@%NN{SVmoRI35%|@ z78v{uSbgXjrzF}lpES*%L4O#WuWm)6XT=NAWc6aPUdunn<6v$#emZ)o=V5xC5KW;R z=<{Pm;}d3%wz{vIq)KCDCB=fv%E2RKW~V0Ih=9*Z4MhkV2b3bBQg9RO_8o%uTBUqd z>ti+;MNMaa%zU(l`M9%1je>!_en|?_7mqwG7Hq)1{AL(@Ayw+U9s62(i9BZQbuD7;i5knhk-!QY zKN8ue`wsj}i^FSNR9B7tdA!nzKSOT+yzh=sYjmH_=_Rw0qsx+9GZS_RYngSqRIYwU zUORC$?PDPkjihhdMR+sm57!q6BzQ>KM%<2g2CpLK;`qVQGmm*BBhX3Pxir3U*7qOv2>pP8;DO8Kra6H)rma zFP7f#lk$zRa?LYe*yxjGgrZ0thnUHtrH)|eS!Lv6u*uc+G#{v1#X5Wa8H?CL>Z(Hd zP*Qv)01k8ZVntJ^Kt3Yy@g`Jmu;t=O1RuK?$ZO2pe4>{}L=x)vJPlYiQm9DK8|r*2 zVHRNEeQXJim4s3VPQ}J1GnaRHqqb{#cdm&DRv3aNSP8*mhdbFBwf)Y5N$#ffqK+R{ zhVFUoIV2C9W(3A|oJ!LON{DuyWYDQ7Db_a^iPZz9lT1SH-bHHv``B{o7sX(YUe4h zg`!x6x30X>^RCyIDf@;TocND+a*R}ByOWXWn3?8ERAk}t6HfH_^=hBT4k_7fvKPne zIcIF9=srjt(-N{YxVFjZ7mlb;QpLT$0l1VJ+np(JV+8Op$;c$0Ba|pY@&DAfgV$A3 z;IkJoqN|Nc+l2cK=e`Qnz2~7)L3zw9kdDpYQIzPXyvNYMN%BT7DV$LZ3g@dyJ$Ly- z@-1y4D&!QmN-n!8 zRDu?rG$&NS^3*i^k>B1ek($cK{le$ri>Je~f#l7weV4!!)r|y?IM7aQBjPa@%EDS` z^5AmC!&(31T>_JFPxB>YA;ehKlXO07mrRC;zC4+{yaKjwmH=5cix^hiXLXD;5p+3^ zFqU=QJ9nFnZ`E_ZVt3k^ses2Ziu%&AXUWVt_qn(5udhc|9 zW3&OB!&lD2Y~PC({$OaJnqp^rE^_vKXQ;`LN7OdP{T}ZhGNc->>dsJeJRqmN99lMv z(dJj5SeO)_8u4kst=TEVospT`=)FI>rVif~N3>f8L$c4TSMBEk9|553Blt7IY?bW# z^8gWsNtl(#-_)2 z8`M>|@IGHRyQPqZjbr1P17o~}vXK|fkL)}hVSJefzvVV;!#$WeV)^TT6qq*Ac$9yS zlJDjGdr~Yk?weP;E8JsVI7YUvej; zvnSP8A_COxaNB^aJ2w9_pG1rxnw@Y)|Ps! zG8saul{S2%OA^hnOrzeTQ<fr)59y_OusFW zhcNV)x+H7+LmDJJ)wK{v=ngZr;GhX{#4rb%?oZ^L0)XKM5%maiyzVh&9hS&BcIaSr zi7`L_#SYp)5pOrfkctleEEqA%1Z||?zO`>`M12dJj*I$5cKAF6=FYr4sqO`k-yh=j zP*(C=c0*t!j-E(Su=QuDpu1kc$n?3Be^J1J*-&UE2{7L&Rl#(mIQ!U?sB%>WpyHhVqeES*8aI z%?xL0IS9d7NN#RKo>t?KBKZo7e7OAIOUl&n`UAOf;ycVA9TubQSTAB}Xt}eF|ENPr zW`)lPU35d|7wpNeMv;rP+DiTI=K0>&P*%IrVJuj5~Ebjx$z{gj**scCdE6nxtiKr*uHmJLxb$T1heM~Ra3Sug2#{r1_$Vi-87@6!w} zb=XZ6T9oUm9wGl_C9)LoL@f~q!VZ{>ZqqaQxL&z=ptK=MIo&0CvV>?H^QHV-AIUa0 z!^u5W&Bv>7T+$bxq(620usJ?57hwY4WKcguZAO%KmUsfNs_13D;fKeNU@&|Sf zT{M6^#y#Ky2(Z4?@5TCRr&s=9a5fdW~awB6Xs_9i&nP(rXI1i;)(Xb32{ z8y$5%x*I(vp3y%nM6}T2;2eQy%FqUpXo_YDH`O;(7atSMfQs@qX<`Ieu znPcI?=V~!$Ms^Wo!3=*oZpB#XUoZh?D_&4F@;QPxz*^lnp;M*Wr&4 zDXCnJy}=oLDI1P7*H!+ulggZ)15_DGHCnDv)D1q+mg)gn{CLi?4G8-`|C-QBs+)@f z^Z(RZM?Xf7&l8)!_Cd0&DbTzf=C|WUh0GT^`%~8=qz2qpue=8O zk##zXG&hI&<9$R53hWiS=$OR|G}ngtQ~$PYv(6nRui|vf=+A@hz#41kS|&w`tt&Jd zuExK`gqCE^YL0L=gln$*pCXb|Nt8LY1V+cGmuQ1u%Jc3X-;b4%3+$iB-i@afCHKA|bX5cBplc zcfiTp^J&}6+S=E**NNvDVZfKK)h1ofsQ~G2w=C}Aaer{;>)YLKPUp*088g!f@H}&R zxc!yZ_*yFYLCFAg3f6pUS0|}?aQ%GjRy<)&RiPrpzd=;BA-Dl@=F1j-J{>!KJQ%YfyqeYde0liR((!1} z$?=x6`EYURi?+|b$8EatGSum^1Fwjo>M0;Zl#~6o9_{nn13(&fU6}9<1bHey@z_|u z-f)m&eT(K6CbF|r43sRgddaGgY+QM{97BBAoBS>3>_3HTOLE5O$~=`9M!!#(L85eT z>tyg+Dr%*+;NIy=m%5mTRBLxh$&lX3AsbuS5LSF3Ih6Lg0^HO>pa2?f;1c z{NLGLF2{rOqlMSgz*xYAQR#M!+kD~wvKsI|ty*7%xK7C9_4@K`fWuom8fF8=s+!eb zv;G}_>BB-*g(mR&q^8x!04d}HUdQd>oZ#^KQn%q()s=SM|6+>W!Sh4=t$rjsp;7G6 za9rCPxMsY2FL}PVGNQmGFc;y4YK}hofw|Vy3vzeukSA8%F3OBP5cckUU4{O;RPg?* zrVZk^XJ=o$SdW*>$5PJ-cAg^M8M&C=k}Yp1Pqr_jC)u%(aU*B3$2m%;e(|qzYR&w> z?}*FyfMgl);SlpTBB)wlCc>*a zU*4X%Zdk&y_{1V*CvWQ9$px`kWdIT6z+bQ=uU=L97_!mng$H^7J$~DKS@}kI4xXCD z+}k9obsG1e8*eu&-PsdqPJ_h_lwU{QKAY6dmUpuT?6;-y7ROa@Oa?ahM zo6~92x{mx&z~`x1NcMFq^c*l`R`--qM!KFuHuEj+r*n^ z+r(5DmU_Um_mzJ_^Aiy(len7i{ zfvvpHxA*b|ot~vO@)lyAUf0juE8H2}#;+|ng}3J{uWh*VZ}+`jHg#*A0U*I5;7#<2 z6l4gx4iT2;X%7H>k$*cyJlxO&osM7x}sv3zlq7dHR`^J_;?a(Qx=RPb@Rmo%?O6u`WU3uBcM1MLpuW(5~t9u^{6!P!r@X$qfQ+tx_it?=`8va*%V@t(C32fk*2PFECi^5<=x{xCF%HDEkz*3Z_y zkvlDZd#h*;LS_xK5u7B{K=!-26K;^w==40+%T95(e?AP#C|m1P3dx||6-RmdQOt4r zhsyEgyBsd@P}ARsVmVYlc#zCLaq=eA43q1&@_75e6Grt;qQE?NbCRj&*RwBT)wQ19Hs1x%a3e7f+Nga`e8rxK)erhhS54^z_-)nvn(v{h4g-)NeYh`kt4F z9m>%$DTM#Ad-WIPzjaYNo!SK#@e9#&omSn=8rk-nEnH8`s(V32mPJO3w0Tv*fp z9c0;D^d9;)*xs(?Mr0L!6~aU7XmI1 zT;aH$K1Ua)mY35J1_#>&|Ba7W+5d-+qNK; zwkJx3_34Ft+Uzp281xG-Y6C?yw|X<>KFrr3s$-T!Q%Y;X~-w4dO{)=ToE* z9Gt3qhd8PH$0<-ar7tq@Lz@^6?LWHpT(oLgJrO>tV8HxG_vb`$ND+jpl!NXnf9y6? zUksW04ZH8xLU@XxUmZN+n;Vt5#E-PXL|K=FcRX2tjRc_6WpNoeoP?R+!rQ(3rPJ+; z+>Y^z3wHAMXV$~y{mNBMYZ+JB=m)qwp2IA$oEHGR&TX(F0H_&84*2kWMJ|!>uzO)9 zg{&QR@+A622K=aTTDj00Civ$gSfax)z>sJ67}?n21h2z@=gWnvVHd1^x#G(Z(i3Wi z?H8c~w>ca$lg%G*Dd|y5fxtf+O}7`i!`EYOH@_JlIe znLm(;f*om~w#gTn z&4zWlm;zBTWyWc=TJH6+t5nnMkfT)7qIMPk&vTD%dxoQV+eUr%==bx-P8dX_y&f7e z#o&z&K6-q8pLRld9@E#9z0CRhl$X=MLt|1R$arj$n(*2FpE^Gyp)n0(i`bQc3(x4# z$iK{O5lA{k#dLWzu5ltGo;elDr1hVOKDwo^OQGUZ+Gn!u8^+zc9;XRgO{vC zO)~AQlm>0gbg0_)a8%Ku2~|tT4N~J|!D=m8VVml?e3X-z~HHu&s=Sw9}QDGD||IW|lW3@EpCldy{8q)_6K@01NFd)UzR zvHZPKz@gSNdd5?PR7g$Ud|Iz!WfX&BU%SARp%c!ztuF^h*!b6f>eivT^*i8eXKs__BlGsZygsIrwfjGsV~}+2%KBA& zCZQZMSHDf1PNEH#%AErXYlRJE;s}3l3D38luQjmIiuM_nf??dj;JGWYdlMi=;~<_1 zp1UP_HX(SjfyUC{=^*w^06eDPNe7Jso^;)RC!OKnNe7+$chbFx*E)W;d`4e`Bmad) zX22Od{4DK_R6I^2Piv3bH>?(Z2PG73R7C1aepw9=^-5uO*;eLMee5U9XAj2(67LhM2GYzF8@<<{UcW_a&jYyAco|sW=dhG3F32w&9i3=Z3rYH3ADSMKmy@$`d#ckOh-z<>-9<( z@f$EW`6mbDlk4s2{^s5OeEE3!bhmo@K0XyzX9XX3=X2Kl<2BZ#Y?kZW-RTPUX5UHu zc#f2V=bR$=_jTD7oAHZ4a?*(+;y;Ru^<-*ql9cZFFZOB66QA@rnmYs(BYoe4J7>!7 z_-!KJ4Pm@^VC5T_c4788T(2o>t!r}{hsrI;upU?fn+BD*X}y)SUyACUqI1%E zMYbmL@m`HR35vXDlP1A_-~I&EpTowe_JyLig#C@f!%QVnzFybE%ndU%yG@s|xII`T zwd1D&@$y(>J;wb<_a)!kvhqIGU3}2Zka}ucna`3jxv~ygaS-+%GrJnxpzx5opkv*!4dl25gUWh4O#F$g)9mYb=v27C2)# zknijj`FfS*lCMVMCJFW|K@{xb@qha4eg60QNkDTQ#ncjv!`J^QnBzjB{9YJYK4@-t zf6W2^WA;Mu-E8d?-4=vN&uyc*QSU#t4MogL*)jk5@_ar_Fgu*KhC)@`K;usfxm=bCV9 z@`@{sw=FA5KaAXaV{1AX?yw(2`CU7mXuw1#Xdq0ou_jD<%2cu0bvL$nSGUn^0GKox zMcMUGPei!x;t|0fgG7)-y%r5@RvO`g_Mk)q11EJqN!#LTUSD439JYxGC-Vp6B|Hz3 z=2S2v_4hvR-8h4fCVlV#f{Y&=UJhsVU;03|5TpC!27u7p?QZd9m0Bn*@jF%vn`dbb zaN;muISe%x?o*A8Q&-hgLiEwGVZ$@-m84$pw+n9pA9rui;{*84vE*v9y;S5D(nYYE zI#XwGjKgBv7=B>88a3HrbXe&GXDoG;?V4Wk5{(J-M~9#}8731@R9t<{R`;#=?ZV+m zjM&mi#LGUg^a{F{_%j7v5dKFYN&2Bot33*4jI-XjvME%q+Ro_R9u|@swDeKtD)zVM4r)gV zC}657|MqqZ$cqrmHT!zLA!55vv^&plGVHc|HxtHI`&>#w8m%+|*u{`eoL8Q=lcT?m zrCar#Dmt&g{>))SpV}yI5jC~+?c@dDfv4r~ldDuS8kYb&UT$8V<@&Puy`M`3&-wWZ zP3ATzdi2-zzH`eb`~%oC(OfH>R!(!yk^F?jHk#$~8zhykFP!vsqHbPqw)h+JPA?a@ z^l6)4)w8uISz!p8cql|NaNhr67RoC0*MhLo!Sm~S2ug;zqjcb$Es}tIK-`P>o0hLk z8}hy0JIw&Jrn?Gnl*Uc(cb}{n!rBA2<$EztaOp|1Zq_RlFoPAS^ZwZU^^=Wi`<{IPe$_P8xkOqwm3 zlX3j*La;7#p4PE78@%DFZ0AeVlTFtOo1{7xV6MiC%(|^Hg33@% zQ!<@>cZ^MoNm4}T;0KNKI|Mxhn5xa(uRWyB<)8m{;ap1n6zi$IL0xsNz(j>BGQ0d3lwVpdoM#%wzjaO&D z?d5F$t6JEqK!F^6gT>=>AiiA2+gNz=>)qsSZr*&FJA~JGPC<8eAuwq0^yZpwt%ci+b$LC+4}qpK{GY|AT`m}krD zxr8Q>OPj<;#Vau3a&E8eqg}+-3f*-UIJwdBR@s=A(mG0iliD8tP}$Hzzg9+7cDrD> zUDc>tyjDV0L=~5Tphpu;=NGfig!XiAJ@1CcqoFpj%Qf2nMgg!c7=Eswc1~GEZGdZF z(}{l-P#mSWu-}&Rs`n?#sPwmz|JuWt#p9z26KD3gm;s%DRK)T1Z2ZhdbXm9JhCrWJ z9Gw8?m{>b*%E-){C0+zfXFBUNX2Yf=eLojTic}{3H%Oh|cr6GzB{A`YYc5|d+BC;- zEDt8%C1;;}z!L)yzoa^s%mf_E-RhL&2a-NnH7RR_c)E5!1!^MNP)fm>3-;N_d(K++ zHb{i?I zLdsEtvebKq&UvfcN|f6ZzuWf}IIeL-WU2kQO{|A1WylKzoGT?i1}R8#z?@nkvdIvP z7RS{w57wX~x%PjI!2v%PMD>Vu{}#l=)!tggFvN)rG?rH1A)AcNnbP|sSp9(fo-33K zT0MLz=D9y5O+p7eKeAO`FccH={}u{?`Bn)zmFKpFM88aEGXA9HMzz$pXRcw7ATxpY5JdA7U-GEYjAf#Z@9!A3HB9Ow(37 z)uwnPsq5cffe>!?kvsdfd~5jyP!>zG|T6z4&8ioKpO31tB)|6KvwxCjOqB0fzYm5 zfK|(CvXnU=d<}(X;2BbJrf|mPEWHN)GX;^^KsW|B&47C3Z*u{e^5I2Ncv^PN#AXTB zqPt#G_H0T`vy+(AM0|SL)m%KaXZl)vC5$q*J1NcKNNlPzmB`ZDC+mTPzMqk==&5Wb z5@Ag=z`!hlJ=eC3vBm&MS|P0_ua6(g#8Qwo5ho7gBSUNKP>3fq%u>m78a9xXfaud(zNz!r?3V{eBe=A!watb+QKv4GHbk3`dU zMr-5gJXOp}DGlqqc$~%H!f*xk`Mf;FYTw*>al#?|cx?;^iUjI80tZIbhB$(BfvuXK z#+XSBC1%KzL9IA?eJ)h&x_Oei3LJ!F?f>-Hcl<5tyV(p2seeMuQTUixinFl;w3dBy z;&teQI}JAw3iA3IrD3=b!>5G93WkMWz>F5GBvm~EvTQjF{}(`?>nj$;%^2yHp^kAa z6;8-`#cB~g*qTlk=ulKj{Nz#Q3BxH(YTVv(a=EJ2}egQKz2ZV^Q1XviUo&C5!V zyM<|lx?EQgg5eYe{^LiG9X+K^S#XtzgY(EuLB^CEk%0HWN|D2BKMBJGg(%$%cRlnx z^Z(6%<+b<_W5-nk-4ER1Z>$T09=rjL{6?~nOglv-X!70(lOR%GB7m=94_jMzI#;mM zbP#EYOQM#zWf~dBhPralZ62PgHd-}}grzkhONwQVgO+IegTd_Gw3;!wZ-f6h_kHzB z{=G$+*vvD>nTJ#S0W$}(vwgN^QR%kNs`mN?VPPbW8WYulbEXhAIl`Vd3MtTtmozQd zVMih`9?yw8e=`TE5|^6?<*<_2JUK`fNEB)mgZ+CYWheqah2ANL{+f%{3Dc@Ye6K>< z{Nu(z9}LOp_}pi#h&5j_H14MD{`44Qq-C5T!D_Z$8F|gm^UcolWX%$vKmD%gh7z@n zB0y8UrG+h1`Y7Vs3N>J2LYD!^g+50;N7!*~D0YQzpae={ZlL(bGQda;4JRGb?4B@V z3>z6qDe$_&7A6q}3g%_LN{F^FQGHP1q{cScht#QIrT7rOWI%}((Lzc7!GP7Z_7gTc z1yOw!r;g89W9(*hzUl6;k?G5^~=KL(g_A}01&X((o=Onp!Sl+ElNu@lxgm+*gD01u*)q+cU9PMBlZcxG)tQf||uoP!9B+#%+%McZtS#xbXeeos#2j@OcVMB)*BmJ=>o) zjexjrifW(=3a-bEAw^dXq>acbkt#H7Cco^l{s zM(0~*O%8~jZP%BTGF?r}-|m34GC9|i1h^O3DoGod4h_JjN|AO`{0t{ z+43TTO;a%CWO#nd3fEAF?Vc?nxn(-m`dBA3%Hl=HSR^V|N#&aAdnNwxLtC;oUHFl9 z3d_%9y@KTcFxXQhlneBL4;o2lDenKQ0Rg{Ri5^yOfBjwougu7J=3Q(oYx00*M^RDi z&JH-G*KfGa?ZW$m^I%E}#Li?nHcDgh>>+sZ4`ke}kZi8ba(#yI_#;xEECP>MhfL$3 z5bfIGP-tGUhA9#FNhIJr%U6I@%4rBB&vKg1clF8&Kmg0!eyQ+rK9EC$7BPy%*3636z8o%uW#o<9wd@rJL7&Jz$Ih^VtA;U8t z_#2%KLkCyJLQhe28%8N|x;Ner1|u9w?b8Ud{E+elW#XOaC#I3`4^Z~gNFO!}@yiE4 z=5x)b;5l%JNSf(>8i-=t`9y~P#hBvR;#V>yP~a&M$)e*1DVj9-ZoVojCyUg^fNq~6xcI!0&KcGx?=wkD zj8fx>XO&ahGh3P|XIg%PPW#Io@uphq! zAnE)`8i$(gr{DpO?SceXTU?M)L}JP3zHFWPZ0Y_JQ)%cG_mYdg>z@~B^u7G%$IC7a zpzo7iZgX>CdV@rE!v=V;%d0~ zKWwcE_K(@v@bA$M-N=1*pQjfA1>MT&1fFei@?d3hv{~)5$b{6SxDiLm6wNt&+#6{K zr>r=ZNSuOk!8qNo&N6*yvhM=;Mlz?c>)0HPzXsJ?jvwSpL8Wd-qW4;e(nUrxh2e>Y zeNz6~!ag4vS^0x^{4*8X(?J}>9v_8KDw{N6IYubINg%d><#;H9xNS}nz^3XfnfP`P zxjo=b8DW6FnOL{Beq4%e4iVkS+IZd_yvrb@O@b|{RkwSU&Ubpyc&7OL;nwCDj)wr7A;El z7g=C>&D>vPkLI4Pm?{3z&?ej{W$(7e7mZ+I(%JK%e)Vz?eHF*2<)at|oChvBa9_$@)wzg&4@R}{U!em2|GuLCqC&z{J$aIPi#~vlox*(WdK0+1H@=w8 z;|S&^?BM9~(?hq|<~G^o*hotg1)?cc1DJPEU9vscnBVuio9m+te--YOC+nNS=ywQ; zK?p+bDp?Ryh~B)toO+7>B(hku!gNr|}OpSK** z;m{N&Scy$>k{4suzy8z8*v=HPM_C4nc#nsMqb|EQYU4wp`{=QBUeGbC7t=b#F3q>1 z7;q+5(;*~S9;JT6>gumjz#N=P57*&O_Gv$oSdF}+2V~W!0)O#Pj88?ctkV#Xl!2w& zI}FL9E9!K=E(Yb^HOBFJB&ZW~r#d@in_aZ}8`GYIGZf+P&7X#Yw8UVWP8GT%S!egS zX3y5O_QqYp`8FZ`oaRt2GH;E`lUk zFq(O)qR>-u>%_80_-7q<3YjKkNeY=rS&K3LH_qNVDz2d09>jtMC%8j!cXxLP5Zv7% zXpjrR9fG^NySux4a0%{inNGg<=DpvVHEYe9Ke}(#?tQCv)jid#yXsV(`DoecjOV2J zZBb12b$%N}(W3s9Sj^*=*16?m*|5v5YIl-XO23os3(;$l$2v$v1w6@gR5OUiXJHBp z2=-jU{`cX}V*}vfqYOwNB&MmI9hXJau!19{bpZUFNU}+6ekd0LHBl@cZc<*hJm_J> zRJK!9?4=PrwrGxobbPGkY|##4Vi%|OK-8aDy;;Bas4hQJ!k{-A^5(=*j)_Bqc2@5D zh>F1R=S8i{QPQ7sQgFBHbfx6VbokT>so(%BLSrzQg5xr6Tr3bOXPg3KG;f0hO=>5c zQh<~38e+~u!`g5&{BX$Wop2^yDr!1d_-GFtd_?va>~sSJHUBul8LbH5Z4c~2WjJuR z2ZlEW$AV;w?`Ro=gG2e_qj3sx4128o=#F|nE8FQJ>D)nKi~8(0EtCE3+l)q)&71%Z zY-MCsDNp2uL0CB#Ub*esVWcle9@M*&gmRcTzwNnZ$J6@Qz3ch91=V8Lve}o zHlgIReUyi+axVkstTZOf(|nVMW{KK9L>}qWo zLn%?NsB>=IL(3%O~1;`l#1bL^o>K*$%K159Yw@&|MeXC(7 z$L`$Pa8W;0XLw!wMx})TLt`{7NsHYOS=OUr4UfjA?o4Fp&+9M;5 zeuzBtw1O&>Lx46mi3iE6he8y@l*2UzoYdCdh*NA2TMT=T%BsB-RgU?5P1;d@;M*X1 zaMB6q7uAv_drT>sT}C{Mr|z3zO-n3E2_ZO2b0$O+`D(fo&hzF9tuEo8cx!gBw!L;o zZ%D%JUlfn?H~wEtbPdoja{{B&LjihuKV4O2;u{!q3lD+@7Xu;-*{)cO;YUdb%`$Qr zD5OIiT-P;{zebvo|NAs10TQ%-CgB2w(-!61LhlD=R%z^c`A88=8Fw2q8^{+mla zb7S5vsF!i5c@5GWoHTpQYQ_&a{pO4H=W9}___pie%4&%3S4tB#1;(t@J!>?6?@8Az z;I9TOh{FS(Y9NKg^aEFM+y-*Gh+wo_*-Q|mo&1aaJ)u@BRYuXn6o9e%<&N72(z z0jP54iPm8hL{|Fv2Pj{Xm?G@7Y&APYP7-X)%cr~v8~XiDl;*}CBpCG&;7oqiwMtyK z74EvzsW=4gS=z**elU}q!Xw*>M_gh-=C)^RGw+Iu#|_xn&bzYP0(;>>kjch*2Jd=hl;Cs;6?^yj69R^xn<#DX z*~|A7)>V(CeQXQN$<8w8p+LtxaF>YN&O>pRfD#K0%8!XXq_{}g%M!-uOk^ZW0|+(& zq$M_aBhP~p6AXrVB}6!Q>}{(YT4H_Rs@1WUB4ZLrKf^ej@``Je*CcKB%*c&_yv8a+ zvSd1%W!T98>t$Ht5*xB6B3mRlyp}hMZ^gEGGHhQ$nGikoG7I&Y03&Ijwi4$EZ7`N|qvGxik_df4GM|roIcSiQ|YJr4&NQA)4 zk)m0%sO_v#_Fr-fj|2&^nWtKEBZy_8(H>#T`5z4cNJJe-L-{`qX5L`lGY(*^wosBX zxEv6mGKNp2lM;AmCG-?!%2yKBiX{X^<}wo#>OED6#AY}4$lE(l{L|;t((`pT@1)wb z1NulX)<(Km?5!YLYUK?+V}P0=f6u9Ib@^;pGdrIYk$}7Z%mC$piU`9rC*klz3HYx^ zLRM0v93E{hiPyqCvRtf2IQ7hNE#3z{UZae1QU4vSTV+K?PqBAt3X9f*U)tmCm)kde zHvDdUT5!qfV`(tyPk6TeT&?Wfa8>7x!V0UYAU*4W532N_4h^$q;{azxbNUq1EkX5j zlq0p=-e!JhVcqDTc>oQg3%VA0;%xXrAMjEr>i=V zlFU|Nqkgj4K*gvw#;!%h!h>>(<%7m~mdY1CI?YMkw_(eyUY{M+j}J)~PYjkA7DHYvNmZ?O5{D_}0e0^%ww z5^;Btky`DY+BR%=gGEuCxV)OocDa_Cy>PSvXQKk=k(6?^~7Ogw*HTP(s%WBum= z=I0xd`R3O~{>q%+D*GM&_excj8O%{S6FpsDWLi+3>C7#Bf9~Ag0YrhrFLa;?{I_pJ z;q7*pE9p;wM;SUQ*N`q10RaM8Q70wLjkDnRvxz_DGZQ9tz}8deUl$15K7a2i&Z45- z3FN*hvfb^WtD-M>+px?;+^IJ(E%-f#yn~vOTLTCKDL{-JNvlV*U`pfZ%1nr~Ff7|lE?T_CH2N#|Up%DDx7n9qd?FY%Vy46%Vjg2tY;2S_eO%5M%od5TxQOvLKN zi6nT-@v<4CskK`Vz>=8W^L>B@=aFcb1^?xE>|(K3ULX=a4nR9tAMv;Z!)B@`UA&Yip0fiO)# zTqj~0jaSkthfH+a2v{m)@cj3Nm(XqAi1%OjNAE%obVr7ADKx^i84+-4*bjv44IS~4^uT7kfwR4imGPX`9%GOIW)pjPB&y!bEp#ush}|=iG*X?FQkx1^ zCzwe7`tk@Ww1-U`qyMja6jE|u2;3Zr?@~EMY@k!bNBKWn9oH9$(I-+wYtaGRQn~nu zD5PhJX}AG(B43)MzclR^CN75|yRHs1SK~B>J;6??6MJB+mddV{(l)8dS!&eY*nWVG zbv16>@k4a7C0X7jX1c~YQYHpVi&kHi*|)f|MrfPe{$=eNMV+B14Y$lLL6}H`ZwJmA zYE~$tvd9o)ZU#;B+t(?sLINF_10S4-{oeW-b^KJbI%ei{Ah&NSPwTQmNPZJ&f)<#B zr4mpRU{9+h(`F)M<3-C5U$cf_8`m#pBasBlt1+8m<|ZX?{DW{?rB7lvDw>yAd-~SP zdOi=fDOzSU^V^5Xw0I0IwU+$OHP+7^do9KJH6O9Q?NAxArT4?`5+Er7*Z!jA(Xu}v zIdA=jyIBN7;A`xXS^i+N4bm&$Yi$<8WRC>M8O67&Vj!4MPail*JF4PskuB8cR2m#u zvf9;3Tl-7!ncCuO!q;yvL+>40t?sQ9ntmN_j{E$~d1`Kml1pkE!GvpR9B?ItK=l;M zp>85UMt_NDmoKhMBiw%tP;TOd=}4PO{RH(2C}61&b}X)J`>t3SQ(XUQ;wo9pXE5RN z$RJIKLO+do+edsurES_&ti+RKdQLhReCG*?&;M$6(ghl zl!^UhdkzJA1nXcPv%Ftg<&l*uR5jNViA!rb z1{qE;(R1X|3-jCx5XRdQ#_LYwiw>dynGw2~5#H1ImUeuyPNU$ns3?i9_kLey;s}2B z#ec73NGs9rSCQ*U=LojcdSm-CBu6J^dGS#<^>>DMrkkBVa1gLDQnHP072FX}bk9-9 zk;i&yi9$Lu*BvuZG%Z9wG0`QAC%00#PHDFGeD=oMd}sD676GuV6z_Ot={$j=f_xHt zc<{=|ZqT}Rt|c;lyd>dNEPGLUus^jv{&SI$ zyec@Ko!I}66AbXr3kG!CvE8uF|LONqaLzUMU#x#5PY`J^^M6QY!{HN;k7+fyeJZh8 z5N!^}a&hKPrYghV>YD`=>A72Y!TY@ ze*`@@7k>@L=D&1y{m2O>mdm_(a)zFC|VeI`OL zLYWJV?t)4{yv%sF00aMM5#|F-zBNWmK;E9z5|vY^M9)k;I#HjpN6NI6r^jl@It%_( zB|<#ZX@9bKf0S68TpvT8;gY3fw?cmu5JC{@+7hIz&{sJZ<(m;gfXa(@Hi+wbstO6- zroao~O6n?hDpgO*b_$Q{n&Ap{Ds~#O9BZ-Ep0C}n07$!`{4bKV9yzU1QI`})h;9)9 zI4>$MsVn&^J0l-P&78hFcE26=xOg3U4Vh8!Ql08Rd7w$sh!nGNDL*)+sc~Claw| zWfL&et{8U zA_O%zu18Lbl2{*rBh$M|2vH_le3-EXJVG}5K_sKI?MYl>nje76&Qf5L&YyIjeILy?4-~_7{@fYl!0&kYSJq>MHgA zjfoS3NgLkoYNywCgV=esU@ z32F})%*2CBE5u1fo{~T7tXlO-ni@NCU#*>cxp|6jMh^ugy6_R<_NIJjGNYr~EhT4~ zG6s!ABL(Qp&XW{brKRE~6?oGo6__P5GMvgL6*|nQfhNN%y6rCJup7zMUV~#hX=^lL z^R(;m{JKr`48|s1^Wy=Lu?7f)uZyf!zGA@_Ju0pTOkSh7M057o0dlwaWOK+q@vluN zxQ`rdsO2+4fg9bb%PtT`B_`C6l22u^je+H9M!`vR3jHgxPV$0}n~`Px8vfMKo&A?4 ziyg+Jz%mO4;k}XO9P<0a$g{WPn)p#5CDJ$9>~5pVOact$uLqi<%H~xe>~Fq4tmQq} zkow3%VDk+E$JSC?6^&Gd%R%wcVWATtU6!-HG}>NGY-INtb%N^@?I149^5<)VUK+VM>QT;`#W3&nJ{)x z0Lcd;r~b5@0651VIZ(!?_%clW9inhuyjG}V2*~jzEjaXYHOXl~XavVlqHu$wT=53Q z=pR3`0aqT}A)n!|Vp=E0FxDcTg>tRMe)#<@K&o0IpGeBR2Rwkrzm#yQo3s*{oTncS z8Z9Ehk+=ut0g3z-PIK;8u)At8sR%ycLtG?)(g_=wshJHz zCE(jI4A^wbw?Oy-dic3uc}Qep^JKxO1hlr;GdT)O5lokNU{FH3CwZMgP-gHLUu;B2 zA>nFp$Y9Vw6yh0nvNIuw#gOiIi~EnH{dZ+EJpKRu5y?4$6tQHZ^wx}h%JEic`7^J& z*1~3wsP3KrmLD`YzV~L`8T7T7 z=LKP1R7X=e{c*fyt#dxgj9h^05B|%*hLTGT6pY_XfD__*ap`h zB7lzuJ*h2e<{08&NycejcUX95kkMqby0?GeVG2#%u5o4noAYG%deV3n1ry*mNJI5X zaj;;;E%RB7*eDgGfn8>LU|ncm?L!OadR*O7>W_qk3u<;F26vWX-4R2A>ug}dAT%DB z+PYE)Uf)p+{tgB`X}8NQTFax-IIDRAH>J+O`6+m6#*BoEcD1^r{@{y_9SYoOyk7YL zyl%W+Z|BCFaRHvSGYrt%1O~irnxfZIC6(%Qnsd2{68!m!)cz`KI`#nAkN|UjcOx{> z^ZcQ25#-$@r2vH&rhrVxLnno1r3N)2wv?Olm$VkXdr0% zcFnMP{evA0-g3R`V-O1yuMMZ&)7jRL@ct;2o#Yqhh!-X0ZL7e{Vq6G`4?r<8hFnC_ z5g1vJ1l}jcV*r`NU-L%uT)y7xpYjH}4S8%1cGon<~JcZM!P1P5_b7K+9Jmdx4$Mip`cMwpT?W&5%x1y_j&2nnZNSZ!yg(sOmBeo6E z|D$U5sSQH^3HQrX@eL49mqoSd@dfUXRCMi8bprZ(a=q?F5z28Qmxb<#ulYTS$Zz_f zFe03WzyZjFdEd&Bg!fnbUP$(vyY)Atj<@fZ=eLh-$G3;_F4xB|dH(hsYj;j}S6c&T z*T!mgm?!&2U*6Q`8+COn%3c)p)6Bd{LeZPw-p)og%bJELB^S`rcAF&SuFiILjl7vA zDa1D(n3IU0pgsY;o5f=EK2JHT8|$3?YgG^7{ufaSQ3}!eO{ZN?wk?lNTV!U)jyrLA zH%&w4zdOWrj_&RT_II*5e{w>9I~&t4Lzp~lL;~l-tQK;(oLF$`X#T};p$>E5!}Djm z$WL4JY`~l^C0eWqPk=pF({pL33NwipZCO0zPR-@z>A?!9Yqs>RXt`H?Yb7|zU$km? z>|KAq?sR#(CFR6wdRW*w-u(ebs1~k9;2ww2p|8H=(q2(%*Lhw&VO{c3hr)q!8cAR< zvGTY)w!yMN9(R0!u50`CY-t8%rPHgmP1EbK{Yh}F><_K=`ycF~HpVioJFHVI>-d*Q zEasoF>LLrkLEDse^=eP|MwOsVDKt0I>f7%$L~YDp!f70+_t)q5?`AdYep8Lt#}2Or z_k{$*Wp5YnW6ySKML)#bGTR|bevL9(zZ3T9CXcAReRp(RFd4tSZVX?0S;JMueAFmu zHx6|fmSwCV;5+Sa-4BaobNz+Y=Dsm(+5q0wQ~z=Tj0~O*{@4!_)@=K@9AH3z#lSXHd8wDwiy zKrQ5l)~5(-z2^&^L#KutCmrka@#$Z$9n#2!%68LKfKucjbmSm%sj}g8vAa?v1#~0@ za_P)GkUeuN%ua)dn*hp-2JcC zHJxP)O(&D!L8U6s1)HB6w9f*-xHzG@pA^`DR*fpgPWW(_vG1^#+c$T2M!Mdbb&3n? zzikI71CuM!8#8GI?q)CNUq&929(G57OCR>k-U)XxNT(0jOHw73x*39kE>t>$3wiw? zZN4-oxf-rFUyeOot~^le^uCbwcGR^_e)5_KAn37<+WMvIxQnC*E7%e5Bcg%-i^d)q zaElR1TpBy!&8)R9b0xm88@jeaaf=N##de!9HzhvVc6JJt85Stty*3l*bihj0;=M-vMPx$_R)|4m^3I@Vd>&lh!8Pd zS!0M2ucM*I@S(8nn*!fm>k;caw%e>V zA^j?18WvQm$4;x~Om9R<){P=w`&dQXv2K9LjifW9$+!%{mGw&7=nh^$NCz%v{Pt!4 zEw{DnslCLG@u?(tz^t!fbcLDkC^YFB{o>}-V;_MN|CEB96MujRHY(zimI7C;xw;AW z_OOSHLr?4yB&X|%XT{U0X781$vdhy9nD_&&wyo__Yu15E6LQd4cqBsbyE(MjB3P`T zBC(HcZ5nfAy& z5&5b=j}`A)6lL4(iJM?XI#EWa_rvk!@2~dAdc%NVS^uK#xXA=CYa?Qkv}?WDnZPQik?QgK750#AC*^YjNq0~YA2sax9w+~_OTb*wlYAyom#27h7|3;q;W zR1#$^2MUnR^RUuARMnCV6=toT*c%>57`N;Byw=;=VV5VY+%C~M9YzYKPENF_dIiw; zQsAa2R#hbzoKfDVw%!L$o#~j|Kq4FjNp69OHN|Gz9em~V6gxifak-JqKVPmoe?%?O zeq5lZAdGEA?`n+$f%8@8w;=F&fu1O~RrFU!XLAd4DQ~~-Rcx?wQb_|O6Y)8UTg5Sw z!A_;xI?1^}d#h8&T4&-4rrUrdp+pi%Bcw(WO(P^P;M>|4KD+3}^0F0pJMkr} zv$I9x>H)l_OqIKF-K*oik2Se0X~TE^8IAM)jj=FG=>VEH)%Ht+mn(DZ;Vlc#DOTgj zhZQ^9ZONw}!Y;wCDxW-Lof&)3TYo&ip`XJ&s3n>&sA##nln_Q9-OJuoHm&WKwrXxL zq^X;>iEsQd0$wY;O|6aYTF1gPo4PcqXlJC!U46?jvzkuA(~j?V+`wZZGVY3nnctrU z#xl$MT+D9ddN(;fyU_2SQ2wfW#;@ymRPvmE8z}Uy+BYcQE~2z?*bKzvTzLCw%dfP- zzh6t%jCZx&<>D zHwe@Lfm#2+rN7|WKT!8Cv+6JN_h0btAE@`2`44;m{-SUHP<{5HF2ZaObrDAO+v~}P zzu=n?2+aHkV*LdX|AE4PnK^%%lz+ib|3HzyOc1zrf`|0&^<{jM5CAGeA0 zq53}{C0#^%tiPGhqQ6dDT_i1yd&s@~{#*ac(Le6@zg*9MT#&#nBK^PIslVK%f82+^ z)Te(`pTAV#9~Gn;0R4&i&76U(G;x)MH4Q5E_umHmhGPRMc!B^?Js?3;=XX$B07Buv zRK>s4uOR9raD!l8?ZUX(aVaJ9#rDvFt`TL*nchL;RtwIU1U+2-r zzh__;mY+eJZ+J~Wmh;`0KES+dzQ!UrELCB=&P&O&`lyKK{K6!qz9qQqoXkyMq8;Ii z-td|LkcOh=RI7gYUfpC(*nTL`!MN6DD*NQ5nZywdRR1o=S~?jxKo2(wzxz;^ecWkP z>B{4tBY3UiV*=xot%tATNpiyNH8#KRy;Sq~2^h>O|Jv7F?=3dbu(DBswGgy&JvB&L zjM@`}pzX=Iwl}MH^{}{6wIWcpZyzr2)EpZOb}MTONP6`| z8_{ccw}aQ8zAN^(cBW7$?e}FAh*q}=LYus_3 z@`-%gvP%AA(2#h!EIVi1)}e7}b+vZwq+EjzqsB4%%u=kra5;xA@FhnK+28RrKW4=W zaFwF6hLpW$BMVn~tH-LoN$(cqKWnxe`B)HxGx{Lznd45fN%byfbBdTEoPZpSh{^RE zBK?Mv|4+e2M7v2*K-obu6K_VxcGawMr>BB$z`{urDEOU^=UqO%@z5gZ7xvoSx_m>x zG0_GmPZDZ@`UG!q!bPT4Vf=)YAQ9OZFy=Kvpztp!+BwEBesXDz-{3>fW z`91|;c6e?*-JC2TWxjr8o2t|Hd1 z(MJ<~(|<<#Yvg-w9c`qe!S_n)Q}Ng=xm~`E^tHHZ~rn{ ztH3SJ|1l1yUG@Dvy!WzT@RAV?5H+uBe>-Z2wZ#1J`zT9b!p#13!s-5e!sBj9YkA}W za^c|oyY{-*#)dxK(ypbD=)`EQubXj$?tGWy8C#BB(MV^8O8s1XYeu1Be#U8kbZv&r zu`A8PS$uAW+58#Xnli8Vi>(gtzWR>jio&;J*VKzOON*j|PU1!<=6bO|fUbZ0tyH+} zpNx?G|NRe5wWYT__))*B3bPAXSKYa>xgL;YWE)LtyQ^9(tnOhQD>P-kTI$PKK8G$s z?e4!{fhF@qsxg}!dU8$;-}SCb>A-va_N_xlx8Z?ooz98~AJ-#Uz|_9}y}nXc#8c-I z?#?mBvserjAU-ZPnxXp*>T%j0i(0#W<1fI4>YpWtb+x(Np{ZCkQ@#MyfT` z=ACC%Z(+9g)}2lwUy3&Qpm0|fcNDWARPMa=qP|rDC1*h=W8w`5Wy?s$B5Ntx+Z1_T zERZJnJ*lr(TC9`ic^>D{j}`2v*yFo)>5j~!uN^XMlxio*Mx)DiXnuDt#)}(p4)U(S zj_6uxH{xsea;V(U7Yfl`WqL#%-r5=M&+isYQ@MT%M(_@UyQGj%I^kA#f6mdtoW0aL zJY24U^P-#MySBKxo4KzIJHh_5v;BW=J|x=X0ItiKnOzl~z}K9pZ}f+?E5bFCWlT?-I78kQV?2LbPS@Wr^vjrcRxO(4RhVhV$622Q z^Syh_u2vPp)8&_0{g>zNir)(^Z^zz`?!Vjs_4fVxPz^B=)3=IQ5_w-)X=Qv@-f9w- zp&bQ?CTgiMw?76t+!v$x=xPXm{|LM3bGR}8&0E*|;AW~1bjGVc)($IUZXI`-_t1~DeE*7NsPtKM$Enm2cw=-{aIC1#|9IWDhR#~-Wd<#u700~)jm7LfJt9TW z!?;z`_eBxwjw{V}*wE7@s7nL&UF7+y0JzPw$27%9?l}cDUsC-A{0r6n6BeF(cRnIm z^u=$-EtutBqEOp8p8|sesz3POQAY(?H^d@^2OM}+Brdslw`$t(!zB#HbKYkfvYP5d z+~nQ{L(gEUJMxZuptqV354C1fduFpo;*4kF5DC~Ua#pH3yFPQIL9eK?R=TSm0%y;g zS+qk?zx4&a-o+f$KDU=Qx;-omT#R*9lPK73-t8YUzI7hAz0ByR5{yp#lzJP`kKoWm zokt%lejj>nM!Yl<$efaRk2Ljnx*0m*A85xOD;o74pJXNEg+T@Py8}9}lYqOnRDT)PyDL z_m?hoq(yJdrR|RfdM`TF-t^w(esg?yif7P^nTuF~fAn-W7VbHkR^QEUp1L$D9t7RS z7ItJ}#x6z;XgY9_v1_{IuXJadi(VBdUeEWYUw@Un>cq(e@lv3O9ls$Es{m*CzCHmz zqR^-D$2k14SD%50n%lc>;>^JI-=rad^=*O?f%Ce(8W>k}`FuvEIhY;9qy_WBvs6&M z=G%GH^=ym~mLjqT2=uR*6mjnQ79I&7z8vJlS9uH*P#HRo%6&jkMbbKI{e;a<%!69{ zV<)H1sytr7ut%eW^82*vs|^4hX2q5BgR)PGbtr~vXEYTVc0te zP(u1|;*%<2b$zxvFk5#|E~kTza z^IS`*dHAB&k_2FCbb449@lzGV?1KDs)QTV-=0?53aK%RBGsJnkNbzR@Y;VoM%UJS`O zL9rGyWBNfv1c8UTIpb20Tfx$R$UAvjD@)C$TBx+1mqyNcIQc-jqW0B8>E1}WPGfEm zdTx+wZazfP>=)N!bFTq@7b*@Y5W}j{hk0?=`~-CWgjWH><^(JB!s>5m*1zWsD7&Ju z?0_O}L6R?ZqxGqSTI#rTyPV6t^mAGeO=iCdTCist*oL`#~eUM4V(MUR_lv?vve=#;&VtY_-KgXhp)V*l~Snl zGsJQ`TCNl+!qi?}p2*7LZUNIUp}va$V=d5($u@Y~P;VAdl*^%>N#hwWJ`Jx$Y;QKG zV^u2ZCCSB18*X3_DTztgnJB1U7@PeC;$AsC&=_-ahL9d?>~?i7Ky!RDfek5zoR|zX zzQWpWXhGMf;{2j?;@)_22}3tS+%q1R6AK`>atKdBAT77!<7haOlL_H&zzmm-7YF#o zt@6GLE4#KVNzk)dJ*ADZI4qim2e5muPa+~D$)A0Dr8c6qDTjMmwbEV(G&d_s$Mmrl z7S^qlD|81_GdZ~_i+^xj{wSSTs`9B?1pVlDVXzG4?-&(9&u`#`(~Dy<%Gz(<|g3I9AGM@VifnWDqMY%e4}r zN|{k;rl9I3BnsM>#7byVP+w~+Wr0qu6#I+X#OLoLoZ60(J6|y6Hk?l#xPXe#Vj-JN z@WT%?tg#hO+P34hsbn9IHLni6Yn~e{fAT~iK9RTc7jIeV5Bv@sVHw3=6ZaUR^zE@+GW`a1&)zmhzll2$EO)mxVj6noC>{G4oANb? z?5vsYEO4_5D=EwBhRn=juqywpKUua;^mn{3^p;wsVJ#%k4WOTiWpXJcj!Z@tVNhR*a-_tbP(C zdr&Ji(oK#YRs?;SCOa;2W9IWhknOiNJj^v7G(;ZQ^*v_)Q5x;YLCDgg(FVU`T+Ll! zHIE~8E;>adT3S!g9p#y5%h0q|uZO{E^ge8~_=%Lk32MW*kud6t4L1G_Ei|bg)m@Io z>Us@5oHSPOlK$QTWS_C~ls{wWVU!=+M4AQmUGG)bY8r!TDKm%G6!+smyEm%$AZTpY zg>i77``pc%-FO|Zo~k_)Uq@J(iilL_Pad#1O4#UFp_?}(apr}u58P~<&Co1F?hm~l z!)(_i6%K7gKZTo}*}}*8I~adlgB4mmXxS-8(hDJ$66^uwlZK5D6O)xwG-*va=bMnn zC)rI}jPI=HB6N%Mkc(ZtbH=tCpu>;SkzCC}n_ekgLG&jDwpy&H+wxs)Lr# z_)YOl_^o5KT}y^hXMW<966Rj|YF^3qjXFNdc=C@e|FF81vfP zSbLs!z&T3oNic09^g+N#yF!z2VyiQu}$f5v>oQU)>pW&1yObcLw& zI*vrVsq}sh4`;-L>u*jjP}(-S#lpd=r|HrlCm#r$)i1v??fy*F3Ci^aQgjZ#^1Y*B z=+mM7+(ElwY^l+gY2HgZ8i8a3Ol?k+&=_``AB|##BEmI6)kpxbj>0eD;vyg z`p`N*c0f569gCgt@u^)#;i~~s5^9F4cNOfHf&f`mEKso_j?A(-y#t4gEDRPvjg(Xv zLP3|t?wGHvk{2i!gdpz~`{eQZA3Xz~H}ok6eW=-#F(9kfKN)0 zDi{+iDmk#%1H-ysg%HtllV`Dd9GRV>F_rwqs0u^F4>A;CG2pzJb#>UrvPEaw6-Psj z_TCw@q4TLyP4h)i_rhb_20#rHV=iF)v1_!q!IBLfsw9VwHNo46LWTBh)Y@#3C>)&Y z0VJUh40~G(AxbH;jY$QP4r7r4ZY|*KQXxh%`cOjy!~Bk*`g4*g7*gF*NtDQM2b>*e z==_nyZ#vm1!(v~~d~suPEfPU}8^b7ZJ_%_RgEA1`2^$q2>-qGzW0~c3*e+s3v!xEh zk9Kl3p$Wnc-kcRM$R6Mz*onnw=*T{W5$i|%QiGi*CJCeVM#Tr!|3D1EE)`&u+HA40 z5-b!ujWstQUiXnW?98)`A>)EZNq<%Da`NlG0{^vJ=e?`iN%}Sks_vAzOK&)5rd3C@N7sVi_Zk?$x%AkZB)~hCD&mm?iULKySI9k z47$&$!lj|<(@4=dKmy#2OJ&T44RoOMX1@pTcnFHyo!_wq{JK+DDyK`t23L+cVZgmP zE5A|;LtJD1fg4wCS-w1SJJH*{T zDLa#!5G+aKreC~Uq+D-aTRV>C3)9{>%!^8dh_v+>t1gli0Tv_kdi5;wdz2LKnEQ{S z#qTs5dzkaw@D_ceh`cX2XE?M>KTh9ZB4^gy=5V;3z@p-CmxM;3)-0Ip9-viamQ&J$5 zEK6&|&~Ef~2#}llj;*M~iB%?k7xut)bXz9n!SP9v}ruqKi=&Lx49L`{X{6p z4`_S;Ilfp(`$`E~*9AG)8HERXc_ouwoFv?no`w}Q4|6vavx^;fj_@q?y-202p zGEjj~xGc= zwSGoOO-$d?y54>MF{Kx|oQ8FlXZg_~F?|U#N%`wMI?Z1Cr-oVs2&hR))I6>_N&0w^I{u_l;PFMu+K zNljP3D`cS?2aZHDWa8^T%8av>U8@Lvt0+&JO=z5x*_=(N#Z>r2sgYsEkfG|3Lh3w+ zm3XD4m6KSbpq10jln<}`XAtfKAi<&*^316~#e`id0-8b-@xF2 zJXYvq)o|gFDQ3yaPe2Hk*zvcjfl-k&LCMYFZ5WG&hLR9hj|rOWmG@C~{MH^c-71HU zuuvVcfRcL0R-=RnsZvXdkhA^sOl6HOUA&Sdf#T;?O@vy3Ukk>|k8qD{fPMl$cAd}^ znR=Yiltun0R<6n2KtV3gktLQnR5~-~OH8NBOy0#aJX7UY!hkT2IpcV3oqC_W1qJun z^2|0j#9O7i#0vj99I7JMYkxNW554@(c5^+meuXfl3yqi_BduA;W!uv1`fjzcajYy~ znkPjeb$WlEW+MZ z#sE|>B!e|}&ZZAu-qmfFSm`F)z`7W9Ygrd^3RIu0(2GBy;n5NwdVg)PwhYc=)SUYQ zno2kCjLMD0&W5+eBKwwIbGDt=evPFv8yC=upyMC!8vQHYJi$aUkHbBqnQ|AVL&z$Q z5H7AoBtZ{YuD^D2|9L(E~ zguTM@h3QUVe|844f0QMv5NO)+b=5_VWM~@OJU(zRq~(_Yt4z>u#_<}=M?$w54febE zh6T-R&FI)~;^gq-zR^k&^_gzmuiJ%Pcp~tO%J8$I8+M`^6mYz>(X08HNo}HsA7wS{~9 zBq{3@cK9P#RVe!;HQC&piR;RWL>hD|F^iz0b!MPrvY&U1j!qR;nxb6Jz_U?Xxuo~{ zR~x&UNmIsKNkDHjy1B3Dy989TqGnPl6*ODDCX=RKo2sgM1kX<^{#|8{y3U%47uv=v zb%&~5sxn)Dy0y`H1EFSK@JrOlJA&`^JM2wqh7D^!n5FhxWM#|5f)mkB$ldK3>@Ly} zmy^oQLJ+Ug)k?3f90qi}{Ggp%K2IcnAP^bYc~ziwc{(( zJoGb}g)Ab*ALqNQSzWn5wqYKPec*+forH|?NK5hgE_h$RV!cvs#@92Z$>D9x9cDnj z^BWuFr{U9zEe+2Fk%PiI&(E6gobIb}n2w8{MC+;%EI*<}megC)fjI1Cj^|4?gr;e? z_Ctxp1gHVGgA8?drw(d--}I*IXb9=4u1=pF0=>BoR9E9;4OCO@Xll6D(9rc;A)G>I zvvkWqk{@C!Et3*YRT##%yKzZvzE2bu6%-#!%d?83E99A#K9-Q$$ut#-@;}WuT~~1- z%8IVb;LKbLarPR0tANOax~ zh>sU>Yax7jiW2vZ!j1 zz)($|Wgr8Eq_!G_W%r_f(LYEMSc7tYduofmnqwGdXxW6JwZ3V4%9+a*Beufp1Y|~4 zewx^~o2&w3rQJCMJLca}CyX7G(;fWy*v3O5j1acAKeu*z8rSqtPR0)2YsXs3yW(+@ z9vYF(J63al23C$@Q5gBYqR{N`a-^xtxPp0g6233bD{B<-v@HO^(t1SqfARH|VO4Zd z+jMt_lype92oj>SG|~;y-7p|ZNDFvCx>LHOC8a?)ba!{>H~7T+yg%OWhdB4T*Sh!G zd(Ues-!1W|#@j3N^Rsl!N`Db+7xq4fiX|}z`vBgM>igl=$+yQ8s^K?a zA-m7+!$z^f(9|fuA_^jo41_b1)7hD&b?TDGw{x4We3icvB7z#M8t~d;5TIl^~;C) z{X$2hKJ0w~BMMe{o{~~;G5~FzEOTOCtLvPkkt5|)20f_90@Y`3eI~sNVoYL&?9qgzRthv1+(z`=Nf2MOLu(sw|p1ZMS9QrI`cnh zdteWcV-GY@T50w<$rPs(%``qmCGu68KkEBc>caTM%eF*ee4q0j6rIvuP5F{U-*+!x{Ec`SqS5! z^O3C6{}4s1RTpc_y^y>J4yC1Lk%$vB=}7*Pqc!GPNZ!BBZN8pl|ET;!0Ohh@n>Zj$ z2JGYp>`TqMUH^

    ctxOKjTY33+el3cp+d9R{jic@>#QpcpmgQ$8iji8>F@azMhniIoay2^f`mxkJ5jm zj#ZVfm^D0IyEUSZUzHu_`sCVXTO!;eohijQLUMqtS39eTC4p|xhxOA{cI zf1DU+%HsljrI)GLd~#!=$$l5Y%;vXB*cmmi@?{d5C%0)DC%4Z5 ztGjU~PHx+XIiA0b!*jKXeph!3!Eh2qtz_ARE>h9A(w*$VN{d8)2$&u0U^Syxg%|sIuH;XqF2kgsPeFe zDCzq^-SVQ`*dpL-Qs=c*xzR@3miN?MTN(}2E(Fp9pnLRUyA6}Pd0#5M2u2kLWrmvK(!A3`;h(%5I{RfK zT=hD=HqHGkR7qP6ieacYOYI}khK}Wu*~a*+kOi4D4=Gr|!{cXw;>XMESL$~#>tl;$ zsDvDX!=XfJRry?q{zA-x_=d^&8xqDVvj}(_0E3#N-52gb$Mp_OxDaRjLO}r;(hWgp zD~DT0I|;%K2IiF~7K)gWVuK3#%d>Q5$t(RbKNI0rk_Bza$TxDM1qE_GJCDXWM)EM) z;k-;vQtABhzE`k2Q8jQS%2^duQ}|a+mT)8Yj~dz_2FXUptIv~y0)ars4^s8yq?~Z) zWFf~unC01Umcap)E}_9>vL`@tl5*#dcmK-qfO2w=aw-n%t%8gt7F}IGgy;qb3YKR@ z9uo^CN5I)w2m6!y*q^b*%az$=P)+q;HPy}^o`2Ln#721}v-&!+69XoSrpbL*^pUAZ z1&F^pgv^clBl%#QmywRTg^;jhl1;9=cSGR8VeKa0AQ7ZIL-2> z`{3MEt4pivnEgFBEj7LQRz+-o%}q~$qvC1uq+oZAwHG;E-e>1OJ;oP6p&ndQb7WWg zXFAybqJY1rN=QAq@6SLHBy%~G$RjZF50+0Xc#)gR6K-@dZ}bX+Ljv3JgG)V`JTIAb zrN7|kQTP7`4aG+ktoL>?TeCh+1f>nu4az)MZW8i;D^Z(7t{De&n?nNDvT#G(= zD+KjqGB>IheC`%bMSn-KRkv`Oo&*yosN6Qn5xN>Z)>RRhqp2@&N$ag2Hl7sse z8uGi}EP{!pu_R_~SUBsWR-=GyAz2tX>X*fB>41-8^z?5meJg$t5ADSNVm+S4yGuo_ z6U0W)AiNlt7dY^rX!jxkuJ0;8v}8^^W8GIsv73i2&35HkJt!Jr&}V$rG%!w!x0ro+ zu_$atVVVsO1ASOQhDGD_WBkhp zI*iE^A(mDIY)Ui3H^`_goJa78h$JgaDzFS5)Xea)?AYevLR_3(0B#PP{9(EZ5`!LP zKb*eZ(vl^m-eVjmy`k}Z)Y7W%$_GE&px_M8rYQ8gKnn* zDz@QTSV6OqK|9v64kZWHvNB?{OT&O>i&o|^w^W?~dcQ)QfKM%jt-g>TJXL|OjjM$ANX(u*-@XZ$^~mWTnMZ#S$O4&1f}??E5*tH#API-$_%lS0 zc^f$>cU|G#F`imu3%g)LfAeU8uhchll*FSgdM-7L%?snF=3YqFmb3}KAXrXaL#R?7 zU&#q_Beb3xNKp3|*up#&DZ)e>m1c_Jput&JKbH&vA!R(0(3q!68>=X{j6aD%o!XJ|exLE-z3?w^pSOC8?0kHj6@+)|IE*N0Q4X1}vSTs1O(YfvmK4B~ zydFHs6*+%Bp5#INxEKbe@LTfQU-}*~5(kKP;XwTL4<4ir0nc&D5aC#&SAS0NN+j?U z=RpEbam1K@67(*sJ_A5aOYhmX#DkyCccG$nH3B7_E@V0q7@@vj+1rESq=0Xf5RBPs z>y>p+p(NSWZ>iFJ|5Y;+s44qODF&g#G`ExGTjfd(ZneXA{auAJMqLJ^EQ_~htHbG; zxuvH0i=&AV>al&{E!=9Yq5Z!y#9s}V^t}DK!AD-iP(TWp<@*CX-(n;KKYttklJP;w zfnOs$37*iwisw<5M~7SO1NYn=pdgninWY3{s@`6@4(hdbX* zj(G9!e_siOYkN31}ZL?2QUmVgdc%Xa%&Kg&Ctbo)I`cR{?w zsQFn-qYhRx(ClTI0-C>T3UK4Si<)nLG#mdhzxZRmV0|nFzIGr%N;@i*s+@CKCl<5CaVJCP3+Czfvzo-1H#;LI)I`#R3jsEl<;)%^EX};< zqfqa`4;;~*~w?iN}C_hLVUAmug2vUGfv>y200rc@6=ardx$8$#uf(!Hy|Wtx?Mopf}Y<#~GJc z%#II&A0_c;#d0d z-_6KMg786*0b z;)K|;M?RA8$#KnE8hmjip_s_38_6yQEqxTTmZs-85s^DevzEW#v_+hoVc7w^-0mUL z-Da|Oysl5c?}>Db;j}_@k*kw}ZH1imth1{}m^CR*$DAFr`2M8a7zHE+zzSz<_Y05y z{4A0iF&Oh-Ou5F{_Yv*#l-NsLSy&&0=up-tsq_D~F)neThhm9j5kl)|3VtyY#p& zn_;UWC?*Y|9dAwR>3Xq;axxlwku&AKb=bKIu?RiHim~Z7z8Y9Ezv0>#auXdWdUAZ< zT|!%5cbz89xUcXn?kml)+PC$n^%CEkjOz;X@Pm?4>#p#vLjij_0&i2Lrth>*8dz(0 zQsx#CD5pja^(XI^_B@{w3Xh|9z#=W^AI-eecD64q>zda;%bnNv#N4Z}WCiz+sm0u$?-M&{*((a|6F4cpn1J-#VTliM_iF(^|>TxJ8J$b8PjmrdA0}bl^*niu%8Usxx5TkYbz^m`SP^D$I;ICYDp6k%va!#A%2uIKXzj|xq1QnrT` zfY(i933rx%+R(^Qblpr%*SG4o;|h>T-?m{?$`f|!Q{><1osvM>85v_#C z#V8$huX(NIeEd9+uWVtB2;N5sVFrwa#obQJ=}aoL_~Pm4;2n#@dK! zn7gae6i*1j8xR}}8iylI`s}aFfzGt-?Ra8U)=N`84#?BmWo+TI-mo4*pTqB$_3j(?{UCK+X6^`pv5#1r$dUG}Sh?SOS=VorO*NQnTS-27O%7{kC5Rx#wUqR4?# zf-OG5hGSLXrtQgH*%;kGXI3$(QIPmfP{1cxdCbEO68*9G`r4UmoVpZ^wJCizI}YgT?XO@99$|jKG5nsEcu~vMq)X-ntu98 zII6%3)1CS`Vv5o9-8Z&Z3_% zUmBlB{AzGoeq}L_DzlyvWTD?2#7E*k*o6OO_BrZMlMjV8CrpYjBi)ocUaIev_P8O8 z@B!r;H&FL zgbxtYC4w;YicHfU#v{g>)1MAssf~xrV1o?frN#1xztPS=hDBNF^@qKEunNVECBXrkr6Nb~1^(L~!L0{#u`_+MnF7kn$aNgym;Vjap*hmWfb zH~^tpgEAOMA1#cVrTM|p=}^w2M`C}>C+<%^V~L>wavlup7a#tDJ)uAS!Tjtm|Ky&3 zf*%OGl<2``w#+4r|2id;1OpT{0hsZlMiK?L**XnhdN5GRC3=K|!i+3P@NGOtTD=`6 zE)0>vnp`9}siEl1_{5v?Ph4w^IjKePiB%ZbhrvLfKY{Go^19%VjFE;trtl9E`U|ag z8a=95|1XvKzf^#~W%~b@%#X0g)OFt^)`4@7UrGF!d0jNOV*r@j2FIV=qA5{bY zs4}WBq&~JU6#f_}62pCj@Hkw?7`k9L{&9`sazGvGz-Pu+8cs~0!(ADg$GismZmNbu z6X>v4q82c(hYe)g@m|W6m%Of_v%McI{DOFm-oNR6^*|xTsDz0cU2dVyQ-H5zIGJQDt96ZBq zR#-K?h-cP7R=6yCP4UASZqtt?!gjSnlHBIc;!sVyDbksRwi|$LUU#VJwy9vLvr~HK=uLYpNZ&`89bqn&*UeOlPu^%ZlwGPM@@G&czHEJv*-uDRmX z|F~CoI}FSovRHI*BqXDBUlooRa&5m%+oXk}_~u77eGSeuH@4@+z@DPrvNW&_8iM6L zA{_vnM0sdM2%@rS{i;bPkK>y-LX43D6LBfcU;kEanZ~do?dwlZ_+@DRF?cA(Wwn&Q zU1xB8ybPxJbz<9+xC0%XQWZst_r;mnd@q59OGs0Axf=tur=DQc zy+1mkn3dwpBJ7Dym#gqez$gMuBf|qyt2d z>FAKoffyfzXwbIsXwo1yekx1h~>Db8J zk|EsQ9oHLgM4$e`K>f8#r(*;rm8d7o@;5a83v&MrP5y$yj}QR8P5;6Yf751vLD@f0 zD)a?IsT?v|A}OqA3e)#)Q`apm8Xj8eB7FL@gk*LKc2jeNRhP^-%Gi+{+MsIEO-b;N z6zU6xG&y8UFz70oDW%)gd8_U*C<&kbBte&*LijPrDN~Iywg8QZ2;SL~i}QjV$!Zxr^HZFNc_=3Yg5rFD zm%-3Xt^KCQgoq!@*>ef>c=G6B26_l_K_K|f2Tqxf9#WtOsk3JcS2qVYk(3;hXa7?Z zb`I|KONh@YycFcqDLflV%z-Tg6ZO|NFZv(4gpGS0HY^-*1k!T~FU&OnCreq`mB4Bp z?%7IaB3}97OE(+WdM};{Wm$*TFk8kd7i;*BinavgVooAnYfu4Ve+JJDF+YQ61NIFJ zU3T|gC-;1>j_jE^_A=f#P;z;1E7oT@EX*L+*BAT)xEju>zDrIO-$_VjD2N zLp*~rzbvZ<7*LwJMaS*>$a2hL0n8r=FVDoyk!5-YEl%6^qK&l!455bQ{pO>CtHmU&$BaL2N=+T?gV>2{Gj{$UFAh$)ITc1U1axOu`&hSvo4glqt@&4R~sp!fA37C4&*#vw6&Igj*(`P>%VF z&aK1L#WTJPMT@?F63Z0txiNQr;~OmmHav41bnZ|JE>b;xS~j?G6rLJnuxAP3>>Rj6 zuyP+sXTX}KujQp7nkZrZgl*w_5)9?E3|W2XA78b?8Fkgr#7^SIj;x*id}`<$Z6-nX z9C#EK0fn*6ZD>K^r7NG_TsCgUE@NS>fQ0gdULK-Rf6_26g(5{-ZC92dO?mir)-GOK z;RwsoFV*jrKSyXI@mVnoScTe;kq$hkwvK%|FRs=5U3*!_Rr-YCjk&bPd*$BPxAcB^ zR?;V;kJwDP*BQiEAl7&TfCeLF4V5PC<-Bxr-h&`h^N$mRIU?}t%N8KE4*@X*#IWki zjE{UMh&R$yQUR8u6D6K+wXe8&p5jG|+^H?+rGa#K@j!@&g%R_RX#C|=9_c_5Z6fKC zDnbtTl^T+~=s?Kew|FjlPPX_TdEAEk@1k%hx<}!Yv&Cz8R)nYz8wiX{JTu;yafV# zvtS|Bkkp$H88c0Z=!?m4oUoWp$W z)xYF66KL#NCWW6R3Rut#)MLgif0Q_oQm6(HY@dJdNBfxnCW`qb zS`G{|92!n0-izFOrnu`Ylzg##v{MqExZ^AoCb5v-lf!M3!J1kbfwDnlTAYTN_2c~- zAwTz-xZL0Y+RPQ*`5FH11KXtEr=^}!RY`r%XV&LaY`1~u;!yvR`v~;IuWI0Bb&jRV zr}=OCsaBpc#TgN8l2^L(H3HrCGbZHDGEbg~L=UYgcst+bzNq1Vbc7soib8642by%w z)u>b`)bGeQ>b^QLeSa&rRDi~JPV@u2ZW<*eD}h*d{(H?%Ly|TQ;KHQIl7U}NIXp%^IKI)HEE7i}-Q|p-&$G^lF~~G6B|;8xserN9 z8~R_y$WuM9%n!f|yM6kxsUwq_yB}bH^rI3wR;X7mjz%XYcpK z$LOAs&6wXy-;jyMX2n?9#S#VLJUkXt6-k{v1SYDb8Zh$i7$UaCMRSk#8|6*Hk9goU zzXxqm>lw`5y3xvuTr7A3<9Q|BpXsM}e0F0;-c^&U)_%=2MtixLk z@b~R8Sr$aN%WV6_-~DQZVw?!Aw2bj};xC_dsZjfuQe)_T>rp&I4ubJG%)t{tu@qv2 zg`-K7UTGsq#D$4kPy>I$eYQgP5+==JpN!pLoUKx?q$YVZWP_|3_+oyo*Nf`!W3sH= zXXzBV)vfI>Z~!jz0>lPId!4?Le4J(vr5yE$ZMI{jxS6sfmq)=Px6ul<&02TbP(HbV z_4WPz)T7IE0D9{R-XPE4FDVrWvvu{J3VkAr_DK`-UhWg|O>b8iA8`pn#&Ajl_NQfi z&7Jxu5#wGmQkq_Xie(5#9#i%)Sdf%2V~s3yJm`2orkbIiH#@plPUg$_$FHe$+T^m( z*W=$dxgioP9APrr_}bF3mbOd{RPU@a<=oH;8ao)@D{MylOIf$$EQ7&bm*O<>sJWo+ zFbK3cY(CnUpcri1%c4ak`x;?ZQ)B0IZ+ee;3IQwr%6tzRoBIKsnTi0M1)}}{uT>+wpSZFSvs zonh8*1u?q47p1v{?UCz`tzm1f9Ar{RA1Oz)_&}1KlDA@u#vicn=)iVOU`)tJxQo)Toy_GBh?< zD5MAwdxYI-nz%Kau;~gnGo5eU;sKO?5|hVE^eAWxhq!RB^zc3TJ;hxBtU6M{wy$YY zFyc!y%v&Fg!6(O8^~GTk@9or4bo>fo5kA>Z&?BHdEp1&GL^JABdgGt&zrXnLO+*7G zDGLpvu8j^83j0E&axxd!^Pd@bDy^ML{{Dw>X;=ZjA{u5u7gWPTiEmY3BI_l|9>Jmt zna?faQ-S>wtNf32{y@Y}{}GMRZ7ZS|hVKjC`+Y`6N+?b3N{sbkhXJz5+iHXa5a9bY zUBRbcM9KZdq_B);lGQ%It``j`uS@A+z{E!*a5}(ZiwYpdkh>GYs!8Crwwj4vW)n%- z&8{K8MU{bpC%&GqG!k_FqIrGU2SaU>RB1uo+PLxCVV$n@^az;1emTj%F>mM}qj9aQ zDf^P14i-aqD^O`ke6>r@7#7qo<09ewEi1M?jq#Xp0ITm|k`A@g3j4Od>>D_ey5Gnx zG)Q27jB);@EvcQd*q_3py@4aH8$@n70O{>{{B(ahhkzoVLmgL;E_wGC4Rj&5G$4b# zHgUQ?fy2=SOu!;s3k}Ls&FA(~SeoCiQ(J6Nkci*=rZqMysm`6all@%mWnPlipUo@S z>o(!k&93%6{w=CtHncE=5Excdp@gaVtvVG(!a^c9R({Y&5<2+Q31p|g+FtT8#~A2}y!QVxrP z6?)>ASmRG{IXd6_e)bRw?&=c)o$?-?J_N!22Z0$t`r!~rfVY8sw(Myzoqf#vENCG% zT8+tYB?T}mzt|MXILIGcCE_5}GCle-^@UfT|FN&1AsEHUc*WUA6}ZuGY;PM)4P!dx z%;kQ2OrcOCv%c<-8>wVkrhjgYZIz$Qt-t=5QgnKT{}FdyIK=bc{yO)1BtE94^=B;p z<)Q=mq&wu6y_2n`T0Mkv!yyIkgnV##yab&3k>F5nzjzh#IQq-XgMUCE9+v?!q+ekWIv~K5=Jp0VZhtRZC3`Xm*O1g1Je2fM*?8T;ZTAqx)p%1; z7~uWWue|M!yd|&tlVD%hZGQ77hf6y0isr)o+8wKg#_!e3Se@pQlL~dc7u6Y16uUww zA=wMe&zPGA^HA*4#|lCVzF5uA0U;Z*NY^j*4-0GpGhMio#J3aEaua_S4eG0JnzGI4 zTOdjjdw3`1^qUX?zYoIN!7yi@UpL&g?^5&& z6eB$r7DD5?JiWC&yDMtZwAW8*ypiEdS*WwBzO#S*8W6)6@-ySWt`hkHRvr@Q)i)>( z+Ng{e!O|HVHQE^MmL;Q&i9rMC ziO z7oo-F<4@E1G2)N6lb$$t_mT^L?Op43I4~b+JlXGGnbQY1Y*_AWm%a>?*TU{BGyfLn z5@o-4-hx%VAZO`J$B{7*Lm(lztS^yXD4e07J_j(3OSGDobh2rb@T-*ez}| z&!a5AN{~awDbM6udL;OqqH(z8I$cp}Dn{_^>dOFq<41nTA>OpE1kIQ)YJQ<2ikdoO zRYC6&vTzX>L%I3TRnqs599)#t?S%t_9(T*H4Op2CXX-I3;!Ix1m*Cg#454qVQmycYz-XlJpYzjE#Jug|W8g()x+Z4@(c9b?8e9 zZ^B&n7-p_xfM+GrF-2X%4I%2QGo{ewbH5uP-kY@HRhG2+<@TMLj?;JYFHQr{93>vb zucspr|Iy8p(ViW5Mn>q7Og+?m?s#WNKw#FPMlXzf-uJ|>c&bSbd{-=ScPXxi&+M3j zB`ea+$e3!WCXCjudqh>BUCr+#-{zZP-;Vz2D#pH;NSGe89PadQt~ ztvd1jQJzwka?HT-2otOHnHbNle*Am>BvJ8a9`%HqZF>?y^7I@hYZCD7NmFmlf^m&Vn;KS40?vTEWwD67x-D zona-<7-?M!8&y$m#evWGZ;$3VTAQvQHwQZ#gCFQ!6$fr6yZiM*0vfyPv%eWf1{gJr z$={3~HL}wjQuCQ;*&^&9Yz-_;DWkl}El4Yes@M|Cf#taXB-#88UVO}H-gYWN0{%z} z^*-pCag)Aoc}8Ca?CYB)j=o;h-?W9_`YL|qj#DV|lW3){A|7*I{FJ^J&=ehIJj#u7 zHovw!WdY85t(?BVs4Dj2mD8%X6)Ba%>qqa(;)h0k)|;A^zt2|{Q)FF0*cS{BCp*7# zd-`VDGr~Lsm{M@A%93NGwl5g;K|tv8(Fk9}&EVsTRp@`o@HhX*&dDLyoZl;-wM;dxxzNAx@IgG=>b@OE? zMo2|_Dhv32_f0Cq-m6k^qFP0KnPuCmIF*=6#5>Kf9oMvtD_;2<`Jb%B6q}D&yl-?nc$Ki(NEWFB<2|-`81#bb^S@T7m~hq zN;QS83!cJ~6u;{CLFNIsBpJVGW}NP-Pbu?mjn_c1X>J>pM7I*4n5o`m4b!YNpV9Q0 zv3O!SM1^>cj(mAh{;9T>(@EnnC|AudTw+@}ql!?!?xlHWF5 zr{uu$Hfs&FePxz1-5^$T%7UTnMbiwKbtQ>fU}DxQ(W+Os+~$F=<}N71Y*H8|pMGbO z(8+fDX3j(1N@THP>GiW8^*}&DxGYtuawcOlFrR{NimP79b*|yWn`F+nvxtF5dV{d` z8>D3VVvjCB&m9Wjv{G#lQ5~;R3Z(3MzlZ^xnl;~_G68Fs<#%xmw;dD3NvGV48`eJV zm*tbCc(7<*tb-+-7Svw^h`#mc4rFDEygsRFn~krN6Mt4Yd2PukbL5hr`GKlu^Hf=P z-fJsr#cN=ZVkJ?bqKaUgq`*A-;?;G8^7BtRe9_|CeNQp0UJ}?WIW|4Vwh@s0fhp$% zSj{AKzcMMhb0M>zNje~qWMZ&A{i!m!ugtCb27fBxqaa0&%v+p{GBnsR<#ZSp#_ukM zOouSrpdA*bFh$Pi%_WJXIfME)FiNiX4E|*3K(Bz1sW;c2AxFl>y<@%T2;ejPXR0`A8JsR^) z%)!kJ7*nLmf}rLQPFIK9n2D2t&*ZniC1HP=nX6)^AlX>4p8Y&n(BP4NUg12*;r%qQ z31T>cE7DTgz46c;8+VR?eEK!m&FL4|kL%28Mqd9u5f;j!DID>ecmtq;cz=ijm@D^Q z*T)us+`BP;!k>oAf(#Rx%PTpp#6*k{Y#qOvO^~(>yEVF~AvWa;KYdbVx=72licJ+t zgN04!U(GRNKhTe#~HDslqvukcEt7GERA7)X#+M&7nyKVw9|nf{i4zXdU2U;#)Imm z+?$oWdEjpbEuKX@%-pq^+gMs0L{7f{d*K!Kt|Zr}K4o?}-0ItJj`{7zNSBb&H3wQo4)b-RCp1wr-V1g1B`rO zwHPKtN4FOgWIoKi8*c^(!+PF0OcVs^b#O@k~ryf5yxSDv%4NkNYzkZ*2r3wBu3?#LE+ZmqG?&n zAetyaZU6)=jY89&i7MsY&oaLpkcEVd?2rF&BgClJeq8rr%5I*r6mK=)iG%B&Ex7JY zwKTnyl47o^wpTsNNck$i?+t9i4qGa5v4wLN#4@pYhl6_5z) z-G#(lhd;9^lA_!)QK<}mV^6W~^QuVs+M6?Vhb8|2wYc^z@=L3o!&mL zcSJwxW1gW;7H>gAc|tmAV#Vh!>;j7wOvkaz~L27c*1LV;vRJ-Buu z1nT)h!yFEaE+|irUnKS@Sp2Zn5Xvax^^IF`LWym>ZN*CAA$v#tHq@{khIKl%)gnFi zf)!k`_Nr!TskI2kXwz%9sy+kgZP5j*6e;(=N+9_2M;TLefSjCBM2r=xXG6{cNws^O zIy`B1HCtOclGINk%JmC-@l9ghGJ=}E7ySgkKYCEpD87ErO(?}wY!2f}z~tX6!^%K{ zCgLv)+F|^yHt?wMMA51Ad`F!W7v=>pX}Sy)v_d(rh)Md@;8zaIbtx8G^U{Z}-!&or zG_J@a*uYV=Ap4LgM?gG!XiObpbvuUi5&8XLJZJspb1n7nRyIw9rJFWWL8 zcm)j9)GW}jY2nH7IfEqopHz8I(tDadzEp?h`lzKCUQ94X6S}CR^+HeBG6K`>?W&(=1;a%% z_2-gZKW!TW1HRTJ>6npMjVjESWSED$X{{$eIhR86=J=UO>)~e+HS~aaQHM8;|6~~a za1?q9l*Sfqg^g4Cz}WZxyxY4Bmnu#EkklpW2Um-F!1fG$6f@I<5P_N}6rZ{&G7|=6 z0o7aYlPW8v|7jswNxdwFf3KabCGp%sWE8C2rpP8)-~KmBG;iah1gp(F6xEB`6Jl$~KEv?HmmtIopgl6In01ELo(-d6VpU>zI!)0u>CeW5!nH%*f znV_@ZkuCcrFPuvk3U^?ikq4qnys@Df6gNgEB*OD17JvH`TGb$>R3J9RA3hXd^BO&M zafeXUXO6uvYNui70(x9x$PIb1A?TnQ&E7RFWC)@@33M{D*nb_&$s|8jq8moDhZ1JTxMF#km4WWDeS)r5e#FnjnVUHRwzlZiSVWF)KzZa=| zk>rwUt<8`6(wQ(NqVcSPA}?NbZ-wec?OE{sVX7psZtPyNO{#C&-LZ1X}c;0dnm7b~v?i z?;1G4E$_DJO2CWY(9Qyr#*<2WK)9&e^S0%nlEH4>P{3EpQMDYrCfxU_=11nJS32m9 z?P@$aWu9idG=p}uu;vq*&I89LJI`)glxB3aWA&Z8H=yrNl#Ar48pQ8{Q(AT_a*f;N zjZ2vIGp4Hf$$fluiwmFEY%4!F9%kOHn_Xa9vlJb=2M6cbZLPcAozE>4odCBEyn=n$ zdEEV-wGu7J(c+f5ur=Y&r< zYg(C=s-zW_KyqV^=q%r1izakxYp8Udve8nk?0i?S(ev!QA1j&fO=Pc+@P`G*qHB^Q zIJG95h6^6Cf!H&!PH@_8-McxqK1Lp9(uO$<;neNd^z$Qeqx4bOQS;5$-OA0ZwLW^a z%R48dwa}>%9ZT$W7GQkf$ctJ~)W`LH`?5p!cw*(?Ui;3$<$8T?>!MUqpGtk9g-jcoP2>{WBe@ipM{IEnB~&8<4SW#d?1k$;LW`oY^z zpwDpca1MUoPyhMo(#X`lurPd`u&*mS4wSj)?h>G)N_}vJd5iY#cl(2&(T}~uON(`H zXv}blou(CP44}qFuOVjs^1?24P+2KTS&4Wt&pHh_DU9@UTJZ%=?;1v@Yq6Cm?DxGd zo*lr#LyyRBtP!+UJ#T952Vz?9QzL_l?YgM?bkVAaO=~Xw zw&!L$XXJf2mtcVG_}{N-f};Hq)+H6`+oR1|BEXK!U#@$rD~z)#Sk zi?T(Ci0k@(?>XzIXR!U-K(5Mj6sqq>X>%xWMYmm$9a02t#1=T+E5bjAVlAk3$4R@a zVkxEuSFm?J23Mq^lx4@N-pv|U1r&Y;R{_>_zH8kf=kW$G(B|VAO+O+u9XOPt+sWs& zhVct7kXSNMPxAw7i_@P&83A}rze?Y=yjV~(J?(%Md&l9fS^;wWYTt zBhAO?>C>8iH@!m=MshGl@o8Q?+2D)h2j6Bj{bqdEkg-T0$z%u&08GVk!%gEFDs|EI zP5L{y#nMFbdwuY%rZS!IMipx;rXqOI7e4vffZT@+ZJd@_d61gUPlrxPr_>j{ZNq@=G46@*x}~G|S38o(@sTQz=jb zFJtC?8qIA65F{)qpH6CA@qaly@)@MY_5U9}ZWu#(pXXx$+_cRN2H4Vz5mCu1sZFy;HVI{~ON=I?kSTRd2j}6E~`dho<_*BImYzVUMGG$b*gO12OvH zgLAF!vl4_K3$qK~YuSLW;EjL3^YhDKn#3}WnIr-3z5((th}4QE+=_^#j59awhsibv zS*^|$bN1mIrVSj}Lp^Q}pA$y~z-n^X)f3I4LJx`HZ~Q(g-id9=jo z*H3@IKwtGB=BLWBzjU>9f-OvoMSnXdrrSEn=3hdkg)n>u9|l8S?`MenasrzTZu2_e z6^x!(8A|#NG7+rH9kl?&mI8quss9fHS)f3mf|E9fWKbXw0srwv*+sU&t2eh=CfU5i ziVR5IUnJR_1`nEd!GlKdV164sNX3fGxW9~wHGlTu|8e#fz;!ImnxL3jvY1)2n3{{W>*=C*=<+) znBT5&Anu!&wwaOhE)tQgluuy;bNd5Q9u;^J^{W0%3E3xsC>|UJBnp;$Uv`~=;KBk3 zY=I!g0sutjK*V6N7kXQBX+{WK=O823*LUQnv8AUGo>+wgOjNl-P6e;ZmD)x^Q0Yky z2A?`-@pgIJS4hQ0dm68b3;2C+TGsZLqmq{vEp<=3N5l89&_8GEWx*%Y7Yt^51wKyL zSc{hZEoBC{L*n=2ObiuN-<_5H8KWXk>>U6MmJU>FI_qa=HN%cmv5G8(P+W$t^?lq~ ziC?Bpv#Oka9+`#S3Q~Q|Lg&%m;hPdK;VgKLDWs3gD`O85}ca zeNY(_4c|(S_1X-w@ogXv;fSmy)VF-ij=$XdM_JSAMdN&)xLqAs_3Od$1mr}I_h z)ic9al-f-MjnUu%i$t}Rn9WTw)nr%FAd^)%EQFJjqP3Msidp(!-WIB4)24OPLZ3TA zwf`T%*NIvI!wh%WRAv$gl2joQ2uSEqoTVd63;k3S5(tb`JQ4`lzZ(R^J8%Cr{p9pq zK1~UJx#Waje56 zE43Zy0T7BaWF0!)C)vey|1i@k@baLPLfA}gzJu9OK6KgHfrnLgNh@M9Jc<4&}f~R1*A_>IH_0G;3An=JjH(A^)PkB$N5Uf3M zkviEhN?E*2`CI3zP0|?SP?v%v1kjmW8q&C0llx11!@8Iv@^r{1@{9 z@qj51hp|_v8@0B%X}kH|tWxXCQw!PJoh>L4jw&D)#Gfr(eE|Y|AYi~7RXC@MKU3p` zthddr>_q=FwegL-44_dMj5XPC*6!Vq%3q!?m#ZM$kV&SF4+r9twP6XsSL_2iG=l7Os%!%@bW;idzwkgA3n0<6wG- zx#~EvF2Wm=u4^^T>J~Xb#yg6Pbfr?Xw@;>1 z=B^+cUm9FVbTKbA`w{aj0Vrw2u$Q#7{e0z3`^qpcR0xf&oTA`?{(}_v{jQT48zCrit0-zyVfqI%i3^$Ea*l z(RT>AMG`(Rh$>|Kp-|;3eBZy9Ydh@vRiY4wTE|7{M?sAaIE*ZdTLQ5a)ade{IS?oT zf%yJVTg3L*XZCCQt1{BCx4N#Ob$|Uxk)OAUpyqesIP|+(3uHKTw0qwGp*z1gV)m6l zi1KGBa_n|WDZnHTv9Qf9D6EPrvQ%6~fKbo^LJ>-%oXBMJ*;sqfUL|9z$MhH9Ix`}r z1OOZQ>ecY7KNx`A)0VPvPqBRmdN+0-Z!*N=&AP@n@QDm^!9s-hqtQ2#_(Q6;8Su_dVg~ z7@9NMaKBaz554jHVly}a_;RsOwTISdPKzIB(8X*I;DzK0Ca9>^)(zhBdc6*2m2W}n z9@AdEHby4uJW8BN>euC*F^jb(>}-I#RRAn=`eI;@janUUx>mBDF?A)ntw!O}5ZPC} zSX#W;G7g94i%pRz7!E>p4nk%7tci-wXvySgNi{sG1{=pcW%E7M#i7xv)itm6RWCd9 zJ!kVh=EWgX+<`Qs|KNW*^;W#(nw(iGA2{{&}k zzDM();FeasEX?<`&G&E?ht3~-8c|q-qAimF(U$)q{kP|zP&HP(B>wd;U~lhdW4<>( zHuTrkTKIxU7Mh)(&dO7g&7PUYx&8kEF0DRIj15^PM^FD9J=ve3Tx82nRrS|E*MA-S z7YO(-_(}S9pV-L5;KRcF^dNj}bDSue!_mjZs7LGCwk9EBdT#lvfIO6=kIJ{*c>|*J z#4Ht}B}JDC)MNoCMVI|oIcMbJww;7Dy0GS(3a7Qxras-1ras%bO25iHivF8-hm?Mb zj->}>1WOX*RujsEFO+iuMgUWa^Sn8w)vc&sa{x~*qc7D+EA~t`duo9RE=u*eW@HNr zTsYc4i)q}^n6*{A#m<%n-O=J{;wcj5DEj6cs8CfO&Qqn%c!C7#I!sv$xUQ# z-qGrGUFW66O2ks6mPDbRZ`gyokhC~Ep^uOii}Bu{0bTy z=ARWS>o}Deu14UlLM!x?(uIL+6tclJ;&AvSIuL!rtE}a_c}r@LNI!$9oziGwV4$r_ zOCo}GV83v+bQl7*nGXD6B+sCrn*wN@oL1U;jK`Kxkmi1>eSvN|p>}fO{solO0VM`n zK#2xWl3oXtL;)p8dS9T~`?0uM{4{_ptU%(enuy?xRCs(<(BY);;KntrLE(uK&x5IV zA6cfycz+4{FlX+jw~aJ)iu~=AX<&+~1yIQn6eeFzwoDDmR*=AC^c^!a1eRWS%a8VZ zz?+UpOahqe?Ki|-3%MW7&x7F_2BYpOoK0S&r*BIIM&_!KeQNBZryViMT9(b2kY6)& zFiJ9{15WErF_v1Z#d~E+Fhk2t5)41iutVJ~Y?W(+%EgTu_qVMO^k$-D_&dM$ zLQxlkm2hw(1ndf4lDevW9#fo{{IVyl6Li`s#8l`3bH14w0N%9&1I-VB76C(!!ok0a zSw=+ifkFiyf9wCR6YrbS6|!~U`i=c(T!LG$N^ zi3lJ^KjU8^Oa51umR@1Sqx`rjQBxl1^?pPI9}eVDJ3`zB?rj3#Av7}m8Rf%B@V1gW z40LVzCOmx*A2&{B$^h;6SCuvvcnmnI+}n5#0HYaJZ*sGnk+f0JE$lnLnowcI{rHw& z4s!c}j(-jJ;^T(NObP!r#ElJMBgC2K0vZ~nH9Sp!4*BQjH3DaZtm1s+byP$Kj4B>M zKVM&DK2MFePx`dJm>vzL9uRqJT-DJ%Jq-c9MI}G>zt?p=`(!dY8o;Q!05Cqi-QK3( z{#<$G5aAOhU;bRB`=CxkEH%z(RM7hzhroc)95gWXr87>HLJ>0PgY05>m>&4RC>Rf76@ z3?4c?#grZfz|{h=9<#0eHUJoy*Y2u>2h>$0bO^gPtp<7yDV&wr&6m?#otI@AYPjj| z+{a>{@3)ip1xhCX#+nb!q=Qdp^!Dd2+K}g~5Ry9{z1zS`Gvu%@oDn&n6X ztgmd3c|(=uJFU2*{;ktkh7O#M&py|Z`K}KXuhA>s zk{o4xsRJq^i_KCpd`sf=?BkKyxVmd@*CyMi;a zOH5&d4BdQt>ygR}#m7EO!+QjrLEH&1Fkil2jy>4(>yWi5s`_Dcd)Ay~LdA|rFEn4i zQI0+7^XnpDN5gO;u!G^#udSs^V(0hO+6`OL%j(3|q4RAXFdS_S}w#-!5w zwEgbU39u>Bb#Ptr?gixWaRJP>mm(u8Z7e4bO}zEf-9T?HQqGI4KA&Ws$q3n2+Tidk zG&P=chKPRz(C}$A)d(6^{k#w`d~5AtQB1AG#%IfK@hq?9Lj%;n4s}L`4wla3fDsJQ ztIRl+*M$hh>7tGiHt(ktU#47U3|%WUpHZ6VN&CjMA^SYwKN~~ZGjyJI9_T~knjY(4 z(+l|Tmjt0`UfvkAev@IW+hp(BotI2yS|u_t*u*f^%xsT&8n^Jho2v2kT@29s_1n8? zS&uROvyQ*(EEsT8^Lsq@H1eMR_5F5{rCXn|n~rnbF|s`uaENnZ#j)C|XMe-;SkG&! z%{}L*#D;kW4n>sp@`u0uLyyz5y9y~5d75`;SkPZVxK2cYSGMGb*Lyf-$q>vUd$BY< zTr?zYrHtJ)iHP2)le74aVyFz)9j3IQ`n6LZlDz2U6)^kR*brK`O!Te`UA;UD`m#NXC22fwFLWV2TJYco6p0kb@sZ zYd=f~kEo=Vu5tNE38X_?Q#XCy#Z*3^xhtkmCU}ELu;}0-{UVl9zPNudZm0=cM%fJK zHMWUscZCk!&`u0PvJ73)J2OoIf7Z!a4)D^^4!tYr>+6*B*_n3yB+t2jaX3%e{m}+! z?bP~ND97d*byYvg7X6g%sL=Uy$qH`+I-tcad%$k(ixU9^IPyc4C8_ZNxnQzJ>+gCP5NeHD$relcYh3*pXvd=G8&&o3-nea-;8pS%wu)>ZBsPw}mQi$CL#s~OMn z$rFW^8)EHB8GOG&=j!l&{R8Vm=Vo(jDOML#_T#I6MRm=kT2-d(*Co@NGHOt)PQ>%o zFEGD=kBPOfCh+}rM1*!+{MZu0`683+QG60luNK`kR;6F+V>YfvR&~RkTCah%1XE*B zby+xC$-C=T-~vp(J9~OQ-k)yV0OqZ)8EvneS)@xaeR=V&7Uc;@pH4_)lzUS@Gv#)P z!)bWA!vc|gdm5%CzyMBHFL^JY!NJcL-`;;3&_106K%x4%zxR1<6HS~I5?(E~-co^d z@x*@(szF*8_;O`8{3~$1MRx6A^Ie)(DD9tn=HsEHmGBaw2FVZv z7uDr3fQe2Qi%+9O>hcQRGjjHmIe;POlWEahq;+8zx~!F&SFy_{8(9I z;-e5EB?*b0a%?ziu2zR6|($L6oid zK7ie0d5zU;?DLy`*n5C8^e%xQ9ECAHq$v4lsHH+ePQhI)`RK!!;KlYahOXMYfVNjk zxGg!YtBB`jM2;=v8rGfe!sPn)tEF|{`L}5uu9U|u>PthrQ`_VB$Pt;fcx5)eUB($3+su?oubvPv~Ko3i>)_ky)NMfM2_MJ8VKsgKMgrFLa;Nt z$cG)$8k6)`2V(cJ-Ki36m+weg4_#E?N3TWKWq;-iXu0qKl`O4Y-%tb-Tuog0GB3!n z?j*pg_mi+Fc#^Zml++J&WECj(0je(4nW~$$f0SLK+R#Uz8@b)GR1GHoNV_23_a@w; zABnxBa2%0Ml_PM+XZdEHZia@%xQ>kzXUiy-{c)u4>jj}I5%T6g~p&#q6X2o)qvh0hwT-Y<5L__I}(lB2*!T*tBu^31JU}SLr8^?)w!cu}N>~j+S*kKZ9j!zPP;f0us zfISkyQIck{PlBGPf9?L;0C#*>@#J&3E3GK-KsOH;emCG!ly+n-mhY>5uShljXGs4Y z(UX(^Hlom{|N9XIWU3kp+a_h*fa#PbsNz%@z}Wn+A$6_(Z$o-e{l6X36prSqUn>po z$xLOcs7YQ)&AEn?C|2lsY-aYG(=f5MnW9`2qWIxisC57|LeNR)5}3^H?2!Nj^PD&) zN&sop4{6hg&-I5`TY|vPuaB&cg(x@ zX^43?YhiF@{BcYOC}w&nc`4b(fg&JEx2=RTI|C8C_|zQiIBNGZI*^N-%vMmv^Jx21 zKqUf}yp!W3xUx=8Cv0NsC80nakCDI%fVtHdC10#<^9Z`VbrvHaN7pEn~Ev@?%nk7+Pky%1B{_%ip9Mw9-$a^3L zm{zFt-bAUm{V@#m*H`FVkd9N9I?~&3Je3TAXl@!g4z~d6s8Lq7xM*zzR)_IOe(7m40}t+#}C>LnY~ciAPeFPHx9& zQftOot&lj|${2yrN(peH;hfwyvf@jIQR>7i33g)euJw~!vq>5i675-#YY^U(!y&@8 zbkViSBH4S4>gU=vE<)&kZs++4Xirh@r(mjL@m$A#w&P`zK{AVEbVrE2VM7M<>e_tj z0VD$7md;|EhR7txvK-o|&sAHjI26#;+Y-ddq*X)nP_5I=`jDK(?Fq%#E%}v);0#Z} za-9;$Qgiv~n>qIirrH^0}! z*EtZ8q%-X;bMHtD8Nl%FYi&@Mt@VphPH=fBw8Yn8^&xRqJ|NfTrx+Bl!bURaj+aUYES^AAtGi=)E zeAQ*zi0}>z`DOGIUb_iH9uZ9_R(J~ycA`LVU#WO8-UotE8g-KG^gb4ZYw_F^5R z>QAN$qm0;lqkVTpep_mxv6~dA8T;Nnmtu1zB==vom5UfsfB>zV_GP_&cz(r%83xVF z4n3R2WWxFpJ7>2lk~+2_6<)n;JQ>k)0UNZ^St;_!8rYL8gMg9#hQKDo9{_>rg*VfA zgbBepV@S;KH_dtX^#){YFz`#?h*A=kA`65NEl#M3*bHVPpM@yUhC&ZHBq~YsEN;#| z(YORrFKE6#fCG};13RMFRQU2dOl;s`9AEG!5^&&5a}7tQAD>`m*KxMG1Ojotq9j}( zb56x1Y&B69d>xl^l zRJ9Mr+27Xi+ucpypH1A|AD?%pLp5~fT=mrC=i?;+H!2XJgS5!*QDyEbh%0wRwI$K} zMRokoG@b|Ai>2Tim?+mOV6?0zr(!q3TA(oghK!_9kKoogIABG#3tq%60`kB9@Ms-p zA!4ftgMOD9w@Pb?#a|~X6i*# z+O7MFk*rG%!W)O-Lq|k?t#OfCZg~tB`Ok%b$;r+f)1(_x7830nOZ}f~%hXvFeNU@h zJY43#s{UH17pM4_Ze;l{o$f_S0UE~ze*p^c5(50ahUh(Im0|H736){~bLFPA7ng;| z`^HNB!)&*!B`P(EZC^c=xEpX7Fu(y}lVy}hZeEE7`C?G~2sX5uz7UW^8R4xO zalcD!oD$@lq0(n8^TR|?ruPm-GzksPk@m4TDZC6L0I>|YRhVXUAXWvJp_9g(=evqY zfy%D2%#Irveg3YyNi#0H6jdL5um3SQ$w>&K&`TVi8(I+q!Jvb(Yn;j)b5a6Yasra7 z%zoojy{-X4QIZ)#v6)!0SwA2NjhDXbOyd}uMtr?&Pnt%xK&7NVX_w7sgBVj!Aur-$W zL!j)ea3EGEV_{dGzR>Lfw5bUh>fu3pTaq3zb8~@3#*s)V^VP`6^HS}r2i|umYxAT- zfjp1L-kyi9wB^YE*flwoQz@tmyM~7Yla+BUrFT+b@G2b?et&@y1g*XITY^xHQfyZn&PkS^6K;bT4UoD zqc3cHB54{#hW_>RV}8-JeX?1!kwqqqNQD>37IxXl^=mDPON^%Q?vVr-2K2*Y{`~5) zgX$?tb=2Hu*usMV{_>`zf~;x#d(&S-UjUKH+N+b1Z!UR2<6P~r?AJm!pk+HUw8x`D z@?5X&oXoB?knMq_sTs)jkUtM8gPN-dBwLkSSQ5ZpUImgd&1wSwC2b^4xGVl*V@rf+ zcaC04krpwXsv&WFMg_b@T>Tb);?WYlJMc3R&?C#vOAxJEu_=NVV*`-{@am~jyJhXM zqo06gim*zAE!jf34ty`jpnUdLo8%IZ=eJNT8)`8q)kHkZLlkGvL0-kEz&R>IY-fw9 zz}+jzHegTN;)Pqfs-}8=0?! zazzL$$oQ@pTY+Ov?mM%d+B^z{@1v z(p~w z`LC*fpifb$Qp~ebtS~nNkT##N1TP-A9N|nV^n|4EU0W~yc|LWiG&f-~>)Z{u`r?#lkM$X|k3+C(tP;r|(;SQ;6L95`NHvUlXNfVx6@Q4LK zOWt>^@>^9)6s_h`%RFs4OG}}6+~2)dq|q4h5?x{^E~xMsgKmeA80F5-aEvNPvAo2! z4zZO&4g24dsg#O_%&9u024!Z2zaW2e_nm+M>W)AFb(>&-y1pAEK;1B*hT0!er0fhg z+v2O&hgK^%V5bjS&}@;%O3>5*mAzF2gQ6+LG+|(1J=#ClDiJ)WOd+p{I&EB(g;_9Pl!k?#)MmPLZQur-dQkDPMLHAl z0V8-%y2T48ZgKFe6>Y$Ntmy|vp!~zO%nr@ay<$H52*~Asl&3V=K6NeP-gW;4XgkgY zwC(5m8$BTTmg)jUuUWSR~!tSoCQ0HoKwO&l*{phXxjrM&-p#oo_&>qF_1 z!aLQH=*3z&f(O;9OM;+no(*(Fd6RWi#PJ1V-h;Pg9Ejh?1{PLmdkPj>B}nZwN1Dtc zPT3d2-zk|dgua`f)TX1Qs;rQA$t)=Q(9BO6Yn1?yd})rL1BqJ{INwDQv76ueSlpLo z%G)9QzmyfasgzW=0S6w>{hGx+02BkrPN@rI$J7O~-z`x30A_9OYwicJI3ABLGO7JojT<7al{&80s8F6_A+_b0(=t*w{nw7G3!a**AwAhI+c*EuIloUhO+3``j z;Rsne=3cMaf>HB4G&qk3*j!CAHxFyp*ro0UEDj#bPBzI$=Z|Gf3a1NZ>%s*`Z1ssW z6x_+LT-c@kbLhLc{5b$snE+Z&NhXCbbLxT93@-&5oQiel9xj5ELX_s6n=BOt0^XHWKFY6{-u*i7ug{(AJ?5AGOc85i2z9VUq|3aSBhG$8l7ngBKpHoL$P z6O&EqB~8>)`RLPCPfwY8Tl19M9UV@=hq6#PM{_$jZp39+fJAvXJvUCu)Cn1O-&2W@@CxSUXuP0KcNvr%x6dkdTpvYoy$Z4-y;^R z^{NLxL?6N-A^Z&+#S-SGRtKAhGbL={yAI+vs)X#?L%=kf91_$ZnFwwXMj$~1X6Aj^gN>Pn?@Ouoi1s||* zOD;;dLx4IaI;3ljLxGV)fjq`{G$B3r* zP`fGHGKxh-1b| z0L>DPn`}a(#HFVKe>}tEd|1OpM~>M_{lGPE<##KBb|zV~chPMMrEEkeh9LY9ZL=h@ zN|YQni^3SzOhh9asD}9J$uWP5%*x@pK0xKwk{L|z799oq2nkyalE{xG{PjFolGnyY zyb$zW+9HW)+&pZ)6J%KCqNaf%h7-jJ0IIkPOgdq2{b?OP)FzT$j`W7#2DKtot6>D_ zY3ACXk88K<|9lnRbbI5_U{T zO|iO6sa}xKc`u{Z_(~sF_O{;wGIu9d%gH4o$jNSAmi0PS#7d3K z*1#{W*bh{G>`mk_SUC55R(krs<>W^+_vH$rgNV_PzPbs1>!^jGzCz=}XQX?F?+8I2 zhPR!AFer%kwg#LgDagJ_7M@BUQYk&taQZwQ9zERpe;lxMw=L@>B^Q3;L_WCHjhTL; zcXnviFka~X_-*fO8NC5r%pMT_p|}RW&q2A3bkH{)pLfBfD@Au)jI2$y|#(;K}F>CTYl)5GEyD7m+EqG54l{q^6LG&?{AUjFb)YGRkz|Gf^412a^sCW7ZM@UfVi2%$>RPC zob)n^vZjP&FW_-8CYsPFUit8FUcal0)&)M29C!U<*HX7x^D|SI41RvpjDwvqfnAY4 zGb$hQXyi@1c3E(_*>IxSFM{OE36Su>8Ew&>(fI=jfh(&-n@+F!dooP3sM|h|i!Mdq z5^89tfuaGaU9a-8^Ft8@sXYVo0m0H@|HJy*Hg%DdbclXYI1UV+GJnywk(5P>ekFB- z1%Xn$b_2Fmk~W*3#eA+ncZ~4*8dc*1Og>%X2`pcMUUV2dy;LPVJh5BII>0F3H(u8_ zL?uP{9)yU5lM~72lRQ;p>#8=CV4TlR4*YCHFX90UX>`q!LB)I7|D;j|Bermz@j|Er zNku;)gjFmIJW_&NdcQ%>Pp@DHPC|h#=VWM@0{5rOzSv%Q;JU@El;mi?#r#`g1tzN# z6FJ3r6XmfRfT~zhohXKa67Z9$YVdBER^+vqD5EGtg~^IyaUsyu-qy@k|F-sp^K}@v zuQ)Z?DE_hNAC;Ux73Su5*|iRpZbZ?OJV% zpYliTNlapRY5hf=l-LPbvZB9uvhw&Q9SrMradt|<&h8lUk|Yu)A^^)MpjN=8)~ZG7 zHuyf?XSS-PUF3tmyMu;&&}2Mp>$C9XSuh=B8(uizI7Iiur-QNfcfh6ETTbKRM1b6P z!hU;tl<(UIyq*{X4n7p28>7PNA z1XXXSt-yI*+{oHX1_8|kza2`3qEEGPe8V2LXX>6F7Y zdVj?IrO(Vnizz9sEA|52loH;kcYwmX84yoRekLV+Dk6U|#cRNnnQpQe%z6aX#zAFrJCso(S=;sf*eO7!MXylXUtj&JMJ>z& z$uHV_9h2i$fpIul0zL}5d)#9tIwdrSy1NjGuXOjMB_YKIBlzFNeY(SNWEFdU^{IrB z!pe3oa3RQ=MHUaUSJy7ki1(>n5^fxBg?+8+e1#dRgRU!TV&5R1S#S#$lAT8cLzL%)nTuwF z`MqH^6gU~pGPJxVC3@1fE+V`RLyqA9p~AinQ)G~2xt8J-63VRJDFz&DY^6K!Z#EN?&UB3V2|t2q^Tw)7i>ki>azL-4%cI`i-(u^AQlY6wbpb z>PQGxXto7mml{-$Tzh6mK0?|Ilr_U!B@5w(p4^(RkYNc5(LAW-Y*V+JBN%vTc}F%2 z??T2HD1;0F(o@hEoy+byW|SK#kz?l4JBWF!I&7a_)QLtNXY0QY;h52PGwEXwA=R05 zHp8aT_Nx~z_-$?onQYMJ)7ru)b-&qxG$kZ~G&M&cfI}p|qvQn)>VQXp3QZpQ2=V`{ zXdnXS1MiuXq=^b{M8WaBX;vWdjoT}}q>N2@lb;m=9)3DvhYcXp{-$X_+Q)4}#Xr>f z8LMPBe!a$E9|U)ajCIacz8_!v7^@7yCe~w4G4^xwiMRu?mR771kBcp6NmEH^Dp7KU z{~#D*gJ{TYPpK(TO>PH{Gh3ths%lnqvH-aDk)jTB?E=?)w8~~mk#RgLjq@spg9j%o z8_}seKxWczXpn{gTO8t-kyh0O=)7MQG?{Lj!4$QS%tb9E`Tj8y3XA+{M>te?T9Ixg zH8^(rN&$jV-|$k6d+8wKxE#q5j#&xFur`!{87FwmoI9(_ZQxniVv6Wq&o+~d)%V@V zA*9w+Ijbnyi~hQZ??dSr)iM3y%Bu?$3~fTPfT~qtrHujt2>NOpXyNe+U<)@MiX_Lm zhb)d%h;J`YlDM}L8R=}Bcxf^k{Je)`X|niRxF!A-3_0xpE0R|T0g|tNIrzEK%Bc(i zjImuX#iu6lcQs7g^2^a^c0hv$a?hkYOCx?xLff9r|loeb&scqU4%U{KjDU!#oaa3)et) zICC^Ve_OSr3~&X6SMG&P~x4~jdz*D17dGMiea)OXg1M2 zp+X$6=NJnZazi5+&21f#T?rl1JqaDKeF+h+VihDN1mmiqt}aeS=Fn&{gSQ4ig|+RA zmjfKrDx|BnQ}~iHD@3K1*ligOSUDQD2$QyZ5d}-oXayNbRsy7TCV#X$TisPi=SOzu z%S}?9)nxttDudlZRnMXN|uuE=85u^wefaEJH9qN_3tkinx+$}ggRtn+aIXm6V>#9trtAjuc#@{ zA;AtZbnilLU&KCP#E$brH@7rag)N1%f*m5Z--UcgvyCANJmy3$FBQ-88kWa|IU$z< zi204jnfkh((4ik{po#M}-N}hqD-`WaCG=xCm ze<5JvTZ|mNN-jf3rfls1(lV)m!YDMLa0Muo{E@N+x|3fr8xn@wVD7ceBxSedBxj23v(7XNJVRC05q1v1L+NuQ5UW7lp4%+6#0P zqm;(}^3isna|e~hkl4Zc-CpkD<|M|^C5FE!?;m4X$_@RA!;}T9WXslr-K_P&N7|Bp zM$@}n<275?1NyWA8GaY`(?a7^(2-p0)F6!Ss$b;M57^34zVEV&cYY{SHe3=Ft4B;7 zvOBEQ1SHP>p$ptK0zMCG2XcXKf(?-BKE=iPwE}koSze21<8N18l7GtVq25)9)J!AU zlGeN@ttBIgzLS=IO2 z?=dv{Vs*W_G^~5S^fvg->v6K9-R5!pCYG;Vw<PKaVoY#>dpc)gfv32m)Sfy}jzPI;o5f6O$!Cc(_ug{p2h5!TY4pZET zE;S^9|2$%b%ar`iWnjSKr5{nj;bml8I*oi?B6jF<@G!vm1Wv(MjbLh=|5171>_WB?x%zD%4T7-oHC4LVtLi=KLKQYk2wL>wVu{@b(dlvoQInPSS&Q#+bL%*T{VTZ%W^D>L9d{^`;?lfbJp%Qf+iQ?K{?K{%vW-THlGHI~w zOS~G>>t`)w-loYVBHC|$8fxsibpM8ANJylQ_`>oFQFfG|krV?U;9=H0jy77FSyNeA zPg#aiK_uu?G_L4gtj!N`9^ijBa`NSu4hC$> zSu|)s-oF@ndXfu3fH9z`WbXE(xJN-o*9>xe5$657pTp8;)K(@LeixO^S%|L4PZ9IS zUa4>OVTogz+zM4yNmDsfXk+rvuh>Fuyly3R=*O zE$_Ndl5+cfXRwNRrWzxxAr?lh0C-u(ascC;{rkL+cQXtvG>xA$?oGvOCyY~#&-W;_ zyZ`?+@d*E4isCz{%a8bL#zas1O=HjKG@A`M?J^||_V?}=cVp}$CsQ>ePa}OFOz-DO z*S(ef6U|{RGHX^HmjvninA0vdLZ)-tn*{?#D#Aj~U3uY`;N4r>23Qm^4`#b+ ziH64UfBhPIKsKtD-y}GI?Ae?ZG>D}t>EHW(sW=Uo+M0}gM2-c}h=x4HtA{L;HaLKY zl!k*nVJJV>3*m3~-h=_m3mzn3eV`sl(>kr-mm`fIc2SpK8x7tR_DZWAO@0>BDKf(5Orq>Nl;t^!A0y4wx)51S2ZE-^Pt6C$!beQ)GPdKiRvKVG`} z(n;Bdi=!8-G0$1Xva>D5m+*~*ndhFpubcHLD{dvi`f7K|QC?orRdm1S0p=?lKImBx zaFmsqF2V#c3JQkSV*{wg#I@UqK9#~F#;gE>&-p0DkOg;PB1vDu>xtlI8->{3^HC<@ z#2O@{tyVf38+5QF!BBA2Ey7A|w@`Ve-0TQGU)zqVs&ZEtB(tKv7!@4R}``0$|n!L2UcHpKsr3ZOsi}=Q$6+$)}=;^5+wzg^+XvWUK#d$W{fi}PIeLVog zdd&dW1*9+0i7^`Rk-n4NsjKk+zba}JKV|is$8ACxffGKzxjH8s>S5Kxr(Ja@(hn9V z-b`w$lSdF1E|K$Pl>X^#?}$`vnx6H2^v22+Da|2@T(EIg*S>ae*7tFJT{Csx`8XJ! zZ*6(g`uu1~C7QL^YWMDXQnLj-bgmsmDgsa%eur0j*0_0-lpB};Yt2@U?}iU$&XE}z z9V6;0C-o)Y%v~Q(rWl7`7pwEnFqalhTsu3~)I8(r|Gt2#GxM+Ovg`(Q(V}hoJe#*_ z2k5T9zp+j`N!|h<6A>qGYL?j6d=);mw){FLQ#m&fuCmKEJTphn83E?!R!)3?ZtYJR zrZHPtHJ8#>s|0du{M&w?e2$^&*507Xsjrnwn}#F-?OjE13)8(H$9gq+0n46`p~(7w z3yBw30w6N({=UX~?A$JmMZc;97CUhvmE=2hGpXm$A-Qn|LC}d=?bGV{;yQdNpltwl~exxXAbyD0~ONXnUdBIXBDv6XQhs;q?lW2VG zQY7&U!^&5av-t<1WoS!VJ=~FFXfKM+qF05HR{Bu;1l=nQIGhj4mbJ}2T1$`23`(Qj zEDYK=g;d#`{DECsh*y^<;P1`gsjnz6q|#EA)L?hNgRnhGoiTxcfzV!Dm`zSqc81A}2}^A(hz|2cKk6oMMy6LSXpfS;~jKb>Rp ztNDbxo@&{38TQZd=x_yvZxBJcy66M>OI^*uJHy+s4s5yMk@ z4uoP`FlD&qDEYx<@@Zj4v0^?d|!Y`*C_P>q{7EJk$GHNcNUCKfkec!|C{VlpWGOeZ%!h<@*hh z>FItAaCp?+x%a(`m*3CTE8yuAAK&$1?Rs-daf`6qA3!PZTkGov6&uWkS2FL!!Hj2J zxRTi?y9B?|-m2(nAZ1w2pYgizXNE8I?ZHG}u7jrQre+YJu9Va(_Q`=taCTwMGAO0e z0;_HMfqZgdoiHWBn)E_zf*1k(h%2{K3rDq6oMlUp&$Q;K_odc#E4M@$C4F)IN#LrD z;>uih_0Pw}WxM6={QqF>Er8(#63+A!7}b4=-`*xkLP8R6{s>4~tLkJgE0m6f9Z=;1<8 zz~Fd?WGL_&6|~s}=j-|Wn{m|_^u#S}Y7f#8yCWsMxO13Q%(EG5Upw`Cvb%op@$*{x z92sF{4>ju6R&D_DtG)jiN)yp$pEaU{#0ro7WEQawMoa&7!e~w|B=F?w=Owf%os?t0 zWglUQWVn62RTOI9U^rez8$li4KeC7XM%NpY(9f;0muN?c5O^QZm$rtPNNm0OjOhN|~z zoBor&a*0uc3Iwf@(;i zkm!W`k1}C6~C zh2e2Gx&1c1YGj2teH+AL#^*Qrx}oW|w!iYHogGWkz6Ccdy!bb{JjO`#8qsecV|%d> z6I_8oMTRD2il&qCK07#+E4n5bil!ZHw-58(1oPc75^kq3Bd0>9U<(tlz+lDoBhBD+ zQMZ@wLkDG1q5`iD_o-6WVV-BQe1{9YOR_*?;PedbQ_Gk)WRk7S-N`*jUHF-1^7gq& zy8=gZsd+OJ5f9~Px&C}Z@%;fvNf(#AY6->n*7S7KxIO!#QP*wpCp3EsA^QOrAxa;m zU0>Dp(p%C_y_5}qWbc#^X!Za?c1-e=$8hqP%upIBf^RKc3^hFg->~T72j53z>Ldx8 zI?ewWj~^t+lV|pZ@p97M-U;~DItFd3od-YQB!+@qj0a8M;~&A6ZG?;sin@}*qIaO< zH2IB1@C}WY)5J3ymhKg#pt4jnF>R+LN>ni(1$|Fg1Y53AR0*CjeNS=(TcMGBF&-v; zPjCcVj*)x`o*I2mLIhiZ(NHlS2YpXe1Y4d_x+AH!$ z+0>7|zW?b?@26B#WJf3QGv0<))DhE|)lq@fL7p{A7gJsbQ(hNyNCz{$k($?8p4G`V z^ouorl-Mkc?!D9R1vT>7OpJ!Bgud@Z5*30}PKR{W;2aAWGRSpsC-4K*c$F~qy(k|r zxgOG$SS!iudcWISP*a`FjC(OP6!8{<8?Ks%zHggW2(maIdZt*94(fR8Z7td8cxPIB zRS@Ct_I5zZO(CpBy?+j?xkX>pSU&oQg9s7x+>q5ka_`n&C1;+h%Nk&bE8nmRNA;7+ zZfz_uw;0`FGgc8DgrsW{@oRxBgB*)s2P3$n|kDMLSF8M%zNr%+wQfeAoC6Z@(yxH;nqfiU8bv z;dE4A+&F&alBbZ@m;NEQxk~a$0uB|7e;hy#wtqd!BL8~m|Mftk_!|iCZ=ivHe5Lp# zuZ1>JN$aOx1`yl3fq`vB1ZEyU%w5c({Y49tk7j47q*~~+mTN*NX%f+DIECNx;{NkW zi4*BaZAKO_0lZo#ZON3qq4+%t$o18i>1DZ1Uj>xyRzqhHFnhx`Gj;inGQ8H_ywH+w z!(6fp#It1ptZV&S5jdM8vkZgouof< zq=>Q~eE&#i-hN)p8vn>UBnDYVb>203`4Ccj?pyx5*w znK!PC3XG+0OQFF@7UU^*#E?wy(=L7e8t5lQ^fE$mgzlAFLLhr;Lq}}oenXRSin0MS zb%Tmw+V|pQ(EJEM5>yB?w(5Zf^HNj@INHE3UnF0CkqA6-CZ!jhbwdz_&Bbsz96aH8 zftgUkkS~z#L2J)43ps3*H~f<40-p^`gasGZxvNT}zQcyS_%_ zD6zPl&X%{}`hiBBWt_<*Tq@iLJ*J~GvDMo%D@R|)QNMD(55Z?HebZYVVs<=A}drAKDO@yUQUEYb2H7L;NV`99-Uy4(| z+xJX?D?y1z4Zy-=`I(=%Zfcz33c&H=sq{PoNw5t>OX8#cF!m3EkFQqZm+IY6?D@;@ zn^O|N0oEi{$9o_=?OZ-`}M2c=_WG#F2at{y<-E?U*fCWGAKr7+YfFF+6 zgBAb2*4Rbh$mB0SEb6h#7+6L`1QY|*LT!BQmj0)B%>Q%o03Y<<6kqhG_^JP|#cTg5 z-ueHcc%XLB|5iNHVLh<8T1R*9Fd5GM4`D|juqIAU~mG|P`{7rq&|qMIAv zuTqPj`M$SE$m2EM-}N1CstzWC-uv4Z^e0Ut-(C)HW<{1Y%h+}6WZ~?)o({63-r=)s zi8xILZxW~cE)$sZY=2zCzJ~V=`^3z?p90IkEtrSiWsz?_g&A2-AsA(TrSL;8_I|7E zD(zA!QW+JBUq44twM{zinzFzr5Uge=^H^lATHAf|&5_e15aBi3MQQRI5Fs)FJMb5S z;~K6Q8+!1WE%fJA1T@W1=D9od?7qL8&$?+5KZqkdIW+;P+3iylyEg7m_hE?*xh+XkRg4F5A zt6l3#ub+j|l}WRA##7&F^N$w#c)8Q-%x7GO@SND7BP0E63kI~WBI>nqj#U#J^QU=N3 zS4Cw0c!|)i#2K8`7oCOIt|SngH5Z)))2_rGoYfVbh1IUa7o0T}orT=4#2uV96qv=% zK}dmipM=%=br0oJvIa<=9=`lSC(!<*1;QL($q=h5A=B=oiK57W-t2k4)K`j_4tRopX;^TB) z1D_-cWn~e!ENkeQL&=+kqNOD%Ac*7T7(zCBop!BNyVz<8KEZ)XnXTK?N8piqLovifd{P2cJp#; z1v$MZJ+Q~zOgZ>v7)gsMz$8M^)md}9`}Bb9MNU2%GF)y}s75qd5@1PJGnVd339~4%~qwwG7ukJxJ*dR_IKWO>Fel_>@{WNC;%4;pEtSi81jd2rt4YGMo zzYQmQW)fxWB>Wc58Mi^*SJ`B(T~-vb4BulO(xKJxk({qUBtE*p%d5wbO^_e6r?J=Q zaVd(Ba6n5;AZZLb$pIosrU%%%RD!rlVnz!GS93ZzEppSf<;owUdn# zg`E@g@1FM!v7M#^pE|UD)|a0f-AXMD0w2&02ddD5#OCWF_cNdj&g%M)TR;a@Px5(s z1X9QPQ8;I3gX@F6-KqL`53bi1G`9^rQojP_N7n;}a5^~sAN%2g7%Kz#k! z#d8M=j>v3T(#h{;AE+Im-6LV*hS3V^bt=R*!eb<;nU zH{AA8*7&AMK{+8Gvilr*9Ega3QQ#C+TwddP6bpb0`C4Xk1W!>kM2(0Ui#3Li*3Sp|QUtW|XLHjYFD7G8r4^xNM zL0&i@(telk>!kfBr$0oEBI@uc1z)|Trkt8vAYC)E4(h`C$R^{3V=6CG>{u(>(LD|q zP8V$yd%;+h9II?>78D$r@)|>JsEc%0n~{wyr>7SV5toFJvhXHMbfV_OP|S$v)pgoQ zojvC1)gWn|eU$Z{DFu=YNM38PF2>~E^kjjjhXE!u+2OlANzT<^cCCGsI06crp~b4u z*#WrSY!y!*?Tt~#V5_ghJWEhtE1MlDZ&&bV-+P&AeYj9xV*wF|FhuzKU<9-(G_-28 zHd}L%F8^#Kr~o#k+9BA1yKFxaT%NHNk05#|m>#sYVe(42-1(Y1j-_m;=eC{aB}ONu zXtU83o1lSf=)801nmz@tR6*~w*B{e1qoYY}xXW$0yB2L^zR$-RfSui7s4??q%o_6E zBBXt$Py2krO{ikaO%5)1gQ3B!|E_)1jNM_jF<@rgJlvz6_8Aep^vOQ`0ZxqiNhl&-28b92T=w! zH3MemfTxPBo0)x0Xm90eR%XV}G?HTw3Gs8sfxGHlWaPcMzC) z=i9=k+!G_FG~f*p=zu*3ra*Z>|1e(#rKDT=$);=7BHs7j#;;4_mr0RIP|rdjAsQnB zCu!9ef9N$6aTVx}g^|@(;bRV$U1P%Kcj9tHa#{GUpQ|qkcYc))_!r2p9BP0;EC+|g zM6Gt^IyYld#2sy_1RXR|+MM*6B;ZwdvuiW2>|jES-0X59nFM5K3Ke!O^YvI>M`{j1 zxqdD0O~jhUs;WjzLOFiAulq2zDl`L9>g$N+nb}Mes>d`Ix>>Y6e97k4O@ef<$}nAY zU2~Ji4Klwn?frSj_48u_d>=?F*j~7*y2?%2((=$=DssQUL}va??xNHu{L01O!N4W( z%ybrOd-YHX#o5+0gNU)bNTTn4K9LOU%csVGQZ` z)VOmZ?5xm;2qBxTYP_)puLrb#5V6L^SA`HBY!a$#m}P48zo%o=u>3HdUFx(Yp6Fzo zyVg@juQpn0^3j$_!*T&Er(w!^nmy?7L$I-S<=Bo#w1IA36T3Cz+-VnhR@_p+tYCi9-ze|1qv{u! z_s321;{i3TJy{jtws_dx}iPGQMkP=1!6B;EO){OQv>{NX}!jqL+y)bU-whUoX@B}m#1GqU?D&6 znHJ+!Ump>R-_ZIQJ|=s=$6w+er9+GDzq#4Vpin!GDpZ#nb+07A@R}aetR#4Qq(QOT zVkNVqA+FzI^}Am4v(I%YS&rsw-^XW=xTfr9<+Eq#d5Yh7#gf6bTK{HE97-+;GKCd+3I~8J2n{F>UBFe zHJJCOYW~pRmA4nu{Jn)M_zERSna6rulozFd5SQChnN+LybnIAJNUPWMoMR=yEs&uY z@MBNISgmp(M9aMTaQ+nS?kIyo?D);?UWT#SvHZl=)qy7n!T0(MJqH})b9ojH*7Nhu zeEbB&4jUVk24Z)~vHTPqeG*%3@sZfsq|k5iG1}Yw+nxVV!u(fv(7mSnG%Hhik2J)A z?nvxt01j5`^*~#$OVM+HCS8_>zjUX(W)6r91Rg=p0l7!}T#kg7xKmp?dtV6z1F@6G z276y(O9QcEo}gC0`p8>s8tZ@cS5DhhGAp8a{%xR0>qI7j=s zj+B%*OR1F>Y&WTWM$E7}C{t4)axVoOxTsx90S7MEr4qPS{^{hglAcz+>8Ys_&^NLl zvNqn_0x+$F+sR|Ry{!b>Q&YTZ2@QhxL{Px1LVjn%Sl`!YFE3^_v)3=+m|KXt_O!Z^ zTj+AU$9`1pXPY~27Fy#?mnLpjYNH2d!8@oIpCiPVXD=`aIOnn8bt$Nu@&~hGiFfm}uGbx{3J+raU5RxBzQjl(|)v5X!X!r%wiQ&|Im9Y&v!Lf+PBqJc4q}sf7#n? zZ{;-M-P-L@5~f&P`psSv2XOX=cnMmjtNQ=~WHiqA=pTA3Ow^YGk{KUCt3@oYeWxFv z$nG8!`PAzv=Y00x2Gpcqj==ngy3WG%Y+r!keltGjV2*CEW6HvBTp2aqCuC_l`Wc(K zn8*YJ&6+yie~vx1%U{0xTT5?8P#gMMGKyi+nU`+_vpfSQbS#Ii2jd%rH|QvjXl8WB z`$an)wZAwZ-j<~c*qxF&fFyXoi6<8{J7nd)n!Fo__$`l1?7oA>Oa7*wY)Ew_S!w)} zGWMzVXEi&S= zcFM*QK+!Rd-@&-~`5a3(ImsE0)V%TJCLsyPkMt$K9uFYDL11&mmjr!&iTnz4C=X?o z^W5N<#4Vi8fb2_Q77$`3b&%ktlt?aUtj@?q-uQk9UBzK*qrGhl>I1m0&;qiAe%|(3 z?r|7E!V^HkzzT_pkkzBb?PV{RXoMmggc^08srcHpy5}oT9%3LRHXxTD^fUT0JjXsMns-7%y5BFIvYh zT3x;?juL>BVi+J5^d~LHEO)FIsf!mW8Kv=%(+_u$b1B?E%5m$2OMsp?u4U%G2K{WQ zA8ld>o}cIiG_w-BAsWk0dzMzyuQ#+A9-ga$vbcWyr%cve(z~65i+i$-CySx>+Jr*y zaP?NWlqNo{GW!gso~U@8oE3V+F+W`W`ZyC9GZ6s39*K8ZtAk$s2IrIT)YROang8nN z<8{*a)jXHg&5RpL-J8SKy7F7%0?;+H{T%R`@ECrA*ePFJWY&$E@C09PyttpQeCt^> zUCp>ujLV6RGHKcSrFNZ7L4jsn2wXlgM@NYW2u=l{6A3?k?s74JnF@w>|K#CSyg|b8NTx5OPk%_H|B$+0NH{N~4YEH;?Rp>-BjNUnlU5M# zIfO-Lv4LDf?P`3l=22ZZC3;8k8nd|MIhjh0D0bChxzEENU!K$ z_sPR`-aqbef80UEwsn!6q%ZzhfImVbD-kTD>)JZ)9}djl5WaPhL4PR7e?wd?Ge-vi zA;!qiUh^AU1TMHX@xlN(ib#ua9z^9JT8$pABLD)>L=fXu93j-jM0JtSF9I$P;+-RS zso7VH%+a71fi)27ivoF`Rfl<9i|OzcZOf0{9r$v@td^^!?+bY@3xz=>Rn$Ii3m<1J zVkXSfqJ8~S9UKp!*Y^TYd@bhi#WAOt7rS#%!^+_K&T(;)R``np(^5$#F zh~v3;;sw9n5q!Ot^f->hTCMJ-P;&?=I&DQb_WiQXf8}9biAk z=KkBvJ$v)DX;6#O-yWEH91p^IXT593&+Pe^1zBms7k}mcTl-3pD##(&e878kYUaN1 z>$Sq^3Xg_Q?|TAzSj`EG7W;_n){=h}%+u|?s(wo3Ex-=@Qc2o+i+$-$v>@u&IR z^UbKOkf>6iFFgYU^{W;Gd30|fvR8UozCvtB`oxDC@2;Fae4j;R)_gCft&yvR4?E_e z$(A@;c0+$Qb5bTzC1wuE{rL@u@=adVs!CO9$1@VqeWaz29;D`%I%yTSM{K_J8cTsA z=E}asJOl5audwDamTU+-1BTg<_A_Q+k$%`WiUcgf4i|m_PXih&1v=RV-q8S!s0*O6 zz0inS0h)dtK=U00Xk#xlqK^Pg_eHJDhM?gA}AJJliqLY-Pe8*hEpl&9tieV;0md+pDDj4XGLH5(Xe3*q)Zao@H) zW{aEn@lBx6lf>2j%*jf0(V-3B8QA+mtrSKdeugd^3RwnPw6mgmt-_{do{j=t*2{-H zS6ZI-K~_v1dFHmTv#qom1^Nf|e&KsnH0&VPAG@qoAIdxZzxFkjB*I8^8)R7XA(vz9 zvl{GI12T`wfJ`bN(+S8}y~qdwGM+CoRe%i6iwr6@G}j=o|94V~o3@#=bRUR4jb8{D zu&I%6|1?qig;E70_g&y9J1pEFqni97wOUeeA6`A9nnBeNd-s$byQyqgRKVc>e`Zi0 zo>tMSVcXUH@?Z7fwP@3MFGBt6aNi1(yn2&m>A^jk=Z_9XkUsCYHX=J2<0ls$s{y=5`^P zA1VvxL!CRwBmKGN6}P?ls8aCC0YrO|{BfD8KpA@|m&&phHAT@=JldLUAfDAMRECXS zKYxT@6*0Neorwxh<5(Enr!6=7DCIT(MDXB(TefzVIn&1VHeKFWpne9V=YC#-FhlY) z>6SVbJ88nlz?ghEd4fAtKT2xcfH#HKRX{Ei$>SAg!ORCK`Mq_#FOjG1QB`&grZ9_) zxKVw(=ByOuo3{AvZq2Y1P8E1V2>TyDN>$nJhkLQ^?;CxTn4`Xu zXh|K#`JxMN9~5?3%S3JilJm~h8!?ZRnW^TNDDSjIHzZubyz~?KaG2G*HVOH#hS9d z>ZoW#CuP3n1>7a(WyiaZ@@@=w?~{Y0Vphuia`iq~M)uo%S_L7+D+^@vRAd$7YAzU^ zX$mGR&hpFe+=;d{PvFG=yvtb{STPjSMBBqo_>uhk6on0u3I>=C81D7DfQj0(SzKNW zJz!-A(37-`lqEh!i&vJ)=4r_)o>f^eitBCaRJZfBXUpMf%PI!H`(UIxst=?zg}yU< zLbKN$_apfMG>yKQbc2G~7_H0#uI;AWpC_Zvi59Vs7gk|O{x_T|tWp{NZ#W0Q5&wpZ z0UTM|{kt-nmS@I2dCWD~%QT;f8ssX`Fx=W1TtP7vNn*=(TShUUa7{$*LX@NTWD(h~ zPXto2Ykx^_9cX~a2cQ8`3HPV`GCS;IEzlDfaX;zFF-pS_bR~;%-+mB_i%%1mS>J$n zLC;y)v_O;{u|*+6Y zZ7?OOJAy1NDY*+|&dLzrO?-qFrwkI7cxiU}I7Xbn65#CAalUz;Z(b*Yx{@ZrRfP?lQ}211~I%$kat zr^A%%hHWO6BV7ovfyLo=m?#tcNOq~_NKV-g#dx95Mr9oh2duS)`vB5sty(Uok4|_E ziHUmk4pY;8V;-?IcfB7jK|~p<^MlxMsyNa6kL7aR^R{2jQi|2ljTl_hz!CE%)qG13 zz`shcNWm8<`1h}E$hG049kuLNnsk$TE_S!}_vnANYB4R?ZtUsXT$D#MPgnTa)CQ76 z5HeXQP%CA68M)QMqEZn%8p6);TtDlyos4rtx(Jn`&E^JkJR6gu)p2*b^ zMkiD8yfOUR@Jr?>ipZ&JhJ_${tPB^kpxU(${iB}+uNaGvd_wCKnY7Ji_8LNqTlP@` zV6lE8j2`>?v(a>hOuF3No|oPANHuIccq_!q_1PO~>3M`KVG;xu4=zu%M@uE}r0*Dt zQdYDxfH%ZOj&lp5Ke5 za%62Inuio8!!IkR5qgD6$tSSq z7*qYas_A#Q(m3eG*Dq((L&t8)+LPT>Bbp`)JwYkx#@8)p*1wmA`9Y{*%-n~br;$rw z?Yg0)h(PD8iw5pNi0e`(P%bkV=M_=!v@$3 zYj)b!d^M4P*GG!UArpgMyM#0o$Z1NzE@RA(cZ=K)+FYr^$)|JuB1*vD(PtgC?VRIS ziHDzGO{b1}To2Pvqq(ug29WiOGq)TOzAY)v_;Vgn=LJSZ`5OM__?k#K^dYwJLJ7|D z;MMfG5VPpnWw2u}KE8f^C6kOkwvtJ?hf$X2 zx20mxOyGsasYFi%%~cA`;8*Mauuh440%F)Pjx2;gGV3ZzyA3e?ngTt`wkEMX5z>M` zwlOI6Pw}psfW#SyFW+Q|$Fk|4cD2%H&S{+%!{6%!{$|LW)9l711g!a4a4ZzVjrF_YD;*XUQ$gT^>&fG`$CA%)o z?sz;9L*|ru=D)Z!mnk%utw0(Y0^vY5zFYkgb1^$*>#|YUN_!ki)IQzuH>DmJ#@+F^ zL-C0Er~hc0=$!-M|63q*ZZe=LP*NE|!}VTZZxipOtx9`<;%Dp_uaBURm)6AJm3pWG ztU)rq-wA-rOV=B_&P2dpFnsbd)6QDI%Ed;$(GOg?VfKUp+W*G$7 zV3jX0xF8N7-UdVF5D*WaAu|PtcZ#jFRkq$Ag*`fCp80PgEfWJ&GHaA&K#d$gjZ~U{ zYBVeL;LY?YngGw{1AQl%1iP{>yRdw6WhPV=J%c}yrRm7pB1)MRNLQcMs6{)x`<;!No6@bgdVa-P8Hx^$kCD4<6V-!kJmbN7HJp z4?lE#R&&rypydL4&(HHZYtC^z5$zs#*PW^-k@D0hM+PG=@77zt7qe{2Sq;{`=3)8F z%o1b-Hp2d)u9xWhU5AC4XE1GgWbu0Yc*VU&U7hgu>Fuui#mkWx>l?F8&w6@~m9*E- z#yDQ*z8VNmu=lTuE9^HxgXDzRpDa7*)eH=-)nLCh7#^J6DP=x(8Yg?t@eP!yIO{U~ z^vqJ6(02zDfGQV4dCM+vYX(ys-`IY+v$H>g8@#g%f;C{7_`3rJ_~mE?Cqh(rKf^jV zHYH=Sim;wpxgN}O(<{;2+5OGl%kI0($ERB>ZHfL(@TS=<$ky@te6-nzFZJiqp`}F< z=zHOOYS--W;p}S$iw|@-dKs(L2rG}RjP}09`iy(&noA^l*!sUg`s|;B%3Fck<)hKj zt(?p?zt1gx_oELFb?!PAAa`IJk&}m$*|!#&f96Q<9^DFnyeB>{t_n*=@z&v=>WAKM zgO1C?ct}BOgQ2~l+fXd+N+dL-yJw36B;9q;H;d-C!19|bRM0T6a`7de712xA~Sft@xFITZsgL+!#vaeVTvq|)|`SLwRU)7GI7F3QS5X2z(Y zups}V>ovl$_w?-eh&wQeo80UmgT!@JcutTnNZd$`>(_w`s^G8M;(0BDjvfNqZrGZB z%Kl)(r5J|@gt52e9*P*g6jc%4iWsA^xkMM~lzXBxUS5hAVOkpkvM@W8=mIq1ndn;G zf;8dVl;bFX<&xA|P>=>uqTDf)a_sFo*e4O@4J^8mn#2v5EC~i5p~p2Mtcs4@D=7&W z@0!Cx7Y45a6e-fVd|>N( z800kaZ(zO`-7c&&^1?rZAbf7gq5s(*O$`PVv-)!z%p=)j0A};Mc@!?qdXE3(akJN2 z=e?=EMC9ul!ltuEmd&chv5a6OH{!rNmXxk$6#`9{G==O9W-7t|(V(r$aS&FOZ|31) zCOcd;VkJ8~7WYw=L*4#y3bYbl`*-5(TTX_4SWzp^n2`Q2K4{e22?YIlqE?hKa3x;^ zfV1n)EMou5fZ4|Q(&$o*Df#oJhcK$ku9xs`R@Uz*G`3W0;0(7`1XX9*sNb__Y;hmO zyJYlUqf@-)l8E{}2@J>q1H`Yo()os zTNUlH&;t&gdrK@cOvM(5`;rziI)x`2kXAR4)_)z?HWX${6^85zTR~LGdy6Fjxcrk8 z5w^`}_hE9~`!ToOwq|R)C zJ~sf3KL#4VL;zHq{^>Z}BT+g*VYUVh)nx8$1*qu~uC z5Y$@Pd$E4t_8&z~q)QBm(pQTbx+<7FDK_jAR*zTJ5S&Q31T8u`5?#oZND7KSMx?S4 zIhHEKaX{Q_|F|$^3;R>Odz z!1`aq=HrCre;{R_fzthx#ec&89U*%u59HyizLGdIhWuAnGNrKO|0gt@U34Y@K1_ol z1YxI=6^KwKLVc;mf>`RoP9{qfVbp-CuEv09Hk-RbMHvP)fQBJY5%#mP?nv&magzvg?h zm6pQwm$m2&J1np6R@ViFDv@S{R_t+ZN%qq!>u%5Bd_rL_-bFYN6w2=Zdf5dn(H>>t zc2>WvTmRbqt?+67O%eD@n$qo|*B8(SzeZqbR-|iAmcnTwh^Zn_Ad)^J?H;t)J;HLn zal5;wCun{U#Xdbt%Be-P(r@|`Gpu0ie)*Gj$hV7w%XA760*jq$(zd)6nhCh3t{ZMq%OZNe` zKYPE%=645df5r*iQvLh(XZz21*=fgRftA3rcLViDZWT0tXlxO#xSC0)#nXAqmgRbJ zCy$3>e=M`p-Th0h?aCz@L6ou}Y3}&JNZ=;z;S4mW@zi24c<&-PtXxQQjM-w=AB#A7 zFAtnk#ufPR_ZTgGJ=-I`0hYAVC|$);&l1C1&E!$yWTFz4D!RS;=yqvBl`@x^BH?!NrUNr?qtykss#@fVmj_KqxgIX1Z~F_QJv91 z@+TOEQqT1}%&FW=ZhP=#%3sl_dDpLTW+LqS;5bm=+i_wn$=?3Nn>m_a3_5=}?hfv? zZ~WrUlf`}OI=-#TIC~1?SCoyb-Tke6{fi0L=S~CFhgZQ(8sAFyF-2KEe|T@--@ zHIMYqPN%a)k=QhBXeG4Thai4N(Hu16SD5cSKY(%Heb+h1`lR|kQ3Y%^cU<1_hGkIw z)SR8}Y-q&<*eooAN}C&dCj2zJjB+wyZ{pOH@+YTe8SIk&Q3a-i@N<#r%eLW4X=~rI@ zK2h6F%0QtN*$v=jzhX6hMq7vgHaEl3R@BN)N-C1BnSlt|ZPV$rOzrLv_bivt@}*^r z6ZQT-_$_i9klnB#-k`h0eMsi7F~E%=!!S=;bevap@4mC{X@Jl=1cP=($}75}9DmW0jyohjF_~Lakv3v_;X&{b~yXeMMc6f)ey?qsYX>{9b<~cr4JCpuYM5%lylm0UG z(f3Re_=4kW(nzkzg`9Ssv&+M~Au8Qp9b}&8Ly`=r`}R$x7@!pSmFY&|p<@wW!Td%) z;U&p(a|4TfK9#`2Jf~AfQ3~uR3{Ily&oQqEN}Lk1cZ>a(BrIAhgU}}hwyaP- zKKBQgzj74J*r&t=wcYZ>l%5g!ccH!O()|WSRq~rj*-QQVQKLaz#1d3t@B8Hr)_ci! z^wzKP$1clh@)vO2_QgueclLyv=r?D6y0%M8U^!us6KOcaAfl`MlnrxHuNS12keH_? zn^0-F(n1@@3Hxl{6hUwO)jU*`S3QQAT#TYSk>-bp&Fjxt2B6G2sA?`w)qGE*R}%c3 za=ZOyASGry)qDw?+lyP_^U-H22!E1#FSVewM^Dc8U1b$%C9{?phAyd)sZx7r$}%S& zVg9P?=)5O$qyF&0Az0rrL{kaENHrKz-Rb**$;~hHQl6~9U7FWnZ-c~ANgK+f_m8P)X{_u|M z{OXeKP_gqqb&xW0A~~Vvh9ch)rPk*0zJH#Qa~zZq@H*Lb-tgXzq;lEL*H9gkAnf@3 zxi5wDlF}5!WN2fS->vX!>XX)0`eM0DMk(ips6t17`h>rRRvF=twq;MlN!4zfEIXHL zhOrfq2OXRkb^#1c`_z=HUrFMx0`U8H4sns3mtz}iRZ#b@4VO+ArJ%9jDi9QPTTx0T zU}6cf!>VG3lT)gVT`0T7r37<+P0*F0CR3H(eS%a4y$y#dNt6gsmu@H%&B-3sh>tDA z_N_<_j*a%}i)D!uk|Svki%(=TTIFUiPN>>!|9VQ zGG>J&kfe@lFGSaSZ_UA1v3*t9Xu}<5M#D+ymLJj-TA6-FTK40h$yqYgqiDFMJA|0P z&YHe@ZvSq|P*l5C z-q<$R%GU?K8pW~`=S;8O8_f=B4HFZLTfJfy{{~usnjg>ZP)JkAWa`6bFJ#w6flf0r1bIBY@2qK1|J1pw<5h}A!Nbw>y@Ug7rwX(8PQHBKy?_`vQ zE#yIwXpy=JD#sGdJ$mUDo~pfU*Ms{?7~=uX>pmJSdJ6Q`!nBiknwldnR)tQRDjbOn z8C-)p7NHpVbW-fn@e%I^0}$$bwBI23iU~VDP@>yP&`6Sy`>8sX?m%SAwE@^t4-l2_N@)_)YZT4NEiWhXUHS4% zm>!3WBi~*SqWyAHBjek*vx@I)5am;oMJq!}hKkT&h5cR33Tpyuh9vQI3-~7MQ}I+f zC|HIFUG`0(h}9>AQdU?6)H@w6L|`)RcBw4FZt^FDTio563l&6$!JkL*O9TTS8i8-X zGp$M)<)=Y>oryC?{$X%tNL@M~W_z|=WCK~zxr*}}%ka1uj5z2yU^@uDmZmuiDY&Yv z%9b`E3D%Hv*RiURKJ=G1Hl$~lFqd-53c6}Gn7!}Xngf!Os4BHvv6L*$l%{%X_Ip*? zSW2U83vCOeY79rtkV3b^mKRs|sTWINz(8e39vLUB*$SNS0K*EJ{D6{EgP}JtmhB@O zj$&11l|J`73jOXKb`3^t1#y6D>Fs;eH`>J_W{zSS%h>egrO;M8gvNOF>7~|?A?02h zT~y{>Ri!8gioDZfMsV7ndv;|;NVDysy;PuAebq~Ig5xIg5?Sbozrq#vP+Yxh;bT%uI{u++3XWM|*iGS3 zTKT;bRWz$es}5>MnWK^8BOS>*IZjV`jIWKCxSzorUlrmWK;eF}aRT*^5V8`*DwO>c z!>F;8_W4Pa{l=2BaX!}GMP_94U%(FS?erE0uP#&RNO=7;3u8{SZIfubm1=h2iHGFsvZ%f7yk3wc!P z)DdsL?ziBL<$NO``#Ll(q?5+7fI_x&JbubSbHd?T#k!b;G)zqdyOxblKH z+cx;QwGjjyp3G>shyF|9{A^*X$CD1(SCeFmZujER>{99Ex4s2^p59O4utY*VDcaH# z)zy6&zbuyc$~&T1&b?xAvy~3HQ3$qlN>Hg|EHVXkEOVjl7i74{aXY0eB#yZ07PRV{ z@5u*{=TX}|{lgctm9T5s!gCr&jVT&*LG3A7N&FzVES9zbkBG7haa>WPPJ$ewWho@pO4*mky^n&_dwt(!Ewpj?gl> zuFwkqqTJOeN<75@1b(+o`T=N3I6b?Y4{fppkqe?AHIuq#imp(agu14tUkefSr2WXA z#@;+W2y6ZKvI)0fQeUp73f84pOU5Rhj4vo1!wf3Iu-XcdT$f3?tRoVpf7j+GF?n8A zRQI8`L-vx+@B(b5*Ss+I;R=zQMLrw1e;y<6fC1~yqBJ^jRtL?&M|lGUA8v2dAcQ+_ z;km=tOZe}aq?5}f7W29?3ZCE5pQu(gHorQy zG}+CB707<#3?H^Hw;f9RI8W7U%fJ!UEI!_+NUV2)*AzRWi^pqj1Fcvne^ae-)SgrwO}WCDr95*@u3n#^Q_I=jl)}dd)_~5n@p-ibE|A zi9-HIkjFdj3eXS%>~`7KJh-~V!Y4T5W?z}BLN-nP z%D)rG(--j8&Xb$9{^+yArHJi*jkf@I!K!Xcq@5}NrCZ#_G<6{}*vcFp z(Q-H+?DtVJDXJpMmA$*PfU1>DH@}Yx17A1gt#0NVbqtO=o3_eQt;su*yn^~w>p%YBeZsbG$;HF!9*vcvS6Inigc|9n!U z6XV7j>0`>a@7F_^q?>c8p28q-+X$f)p67D<<9{*smQh(n-M=Uxhze2?(k)1LcXxLR z(%lVPMY_ACySq!eySp2t8_q`G_l$e)f7~O717We_Ru~aXcYCC9)Bh|B10)`3Fxp>igV#BT=hXMNj}%F zygWGbq6z0|m1;@R4N&wF0Zu$wp41k;-&f%idsBVG1Z+hr&OZ4osUT}e*V!1z_um9D zYC%E~Cuz(=1<4sabYVt8e2+L{wD>OEME|r7&-JaXR|L1Fjh@_Q@WaPBluqj^ZVDL_%r>&*VVWtt5$-@R_ zT5__89!~Mf=Fhs+cUT4H0_#3QAb+^ze?mn*{nK(r3WMlFCn(5`+2$i`3Kf-k=mrTp zu7gdb)HQk?FqG)8Rh(kWHv?_j`yRdE)8@5+!#z!=f*5dWgiDK-I~ZPI$|8HLdO0b$ zJJwh|xS45Leo~t6H;S84J!r7f*20s6C&2nR%@EbR+Q4HZ0nLuQwO>jeDz9jWr|=x$ zgeD%%mnwB18|96L9XV)CB3*_+f>ww-O-A`>#%-g@SSzKvPnh%~nB+1@) z?Mt!DgP<=hc#r6A{*Dn@uuk+OAtj|Dw{D%(7f1u7;)sV>;#k_HjW=%La5Um!+R9#M;tE$BLOy9{S;jp7_PUQv9b4l{ zz?`_hS_|7E8}!tYo%W6G2%m?pn1N9$g`+5i`=it22_@exu(Jvq)PDfgG-Hb&6kR>W z?gEVGTr@zOI4&A98(KOb{HprgOw;C$dkv|ej-BF-VJR>Nez4E@#LW1(ly2~RpwvSi zQyEaB0wGU9NIBJM-x?zkb4538|7(upiH-`Elu1IpEl0xTgSs%Lfq4f7KcR{lVWrX_ ziqfE$BqTJuGomOy6*STO2BVDG0_sUJ1@U=j4R634cIFH%FXk?@r1u<=K>)s$tX6>aN`d~W{8N;i`EIXoDvS+%$6n~Wwn0|0l@av5E z%i`S=m`{k~j$`PM$!HEgrMYRho;C0+AKZm3xpSa%!14lWN;)$=?%Lp@R@oVmomKIq z@q;^;(gRkfv%UFU94=F^Y4|6OkKJB8+F*x}S$0yFX<;8Ol`17o&lM2YB44-L8J5+y zDHv*y6^1s6EW!`Ov@gR-#+arQa@b+nic+b*c4wqg&T%Z*?=*gGmlKH>)oob7ACv$3 zMvB>ljTIg78x>o?~Y?XokYC|)MLUjCG#&ZUh1U+)r@s7#OGiL~OsxbR>STo#~z?!@iVMn=N zZC5!MA1pccT63toe-7x?1$tdHAOoPD%)S4mNH6^+0`@;1D}{OHNC}3elVRyXw%d}` zmSf{fS<)!JrZ4!upe%HOg;!Hm$6jK2>D?t75X5*$0(?|_4^?DpgPb|`Z);y0KBy9+ zooqgpcreM{Ms9jD=p6^0v6`gfq^7iZ0rTukxgYAb0W zOxKm)>Qx0Yy9|R#+%wFt#keF}KZn*Q^A{O3oxFlN^{ZYe1G+#QmU&!;r zI!dO?YL7T$B$2jeo%qIUSLra8hX||SR-Y17di+z`CsH~sEoymooJC{|)!%(MA=cBx zM;;otxKze(XJyXSuf0GXLmR@-JVo{ZuI(tCB=M1tMm);V@Y`!Y|I_XaqBU9xm$c~J zij75UJqBDyN)d;VOy)GXxVDG)??N!|Sb~L(nMpIfGIV0=KMscK2q)%DcF0yXQG$su~>|_j&HI z|I=!$>KsmcFONeV*Ly8nZC zD0+dg0-vd)%)if6tt%K7e5T0y|2|W^#(y8F_vwEhDQByH%DX+3`&=N3M$EO?%4(TXTONqcgv8nku7Nn3)^O4iYMYewHH*%GjHQh;%>@4*hF!;{(G zU;f#h*|c$E5=Y4Ko0D8V-f7Lv@Xc(eD?!j3eu{cL*y?)NHv^AErrrU#7fH|3GFIN9 zXIO|yM=qQzbG*Oa6MjzAea+r+@3(L}oYXgFzyjW4;ja~P7@r#Xu25!M7 z+c_q*0yz16=EUk$){5t1{8H#sf}(pnTJaZOpG@&S?VVA!vIyVAI2;x3&#y<-sigea z8~a3#goN7rYjIZt@~fRLRpDlfno;eEqI@TDHk&ESNE*ffT+ts3a>O3)Q zQLFio?Ljm*)gVqB50bWA!=)04eTcZkxCQWQt5GSAjD1tlI&XVK=$rQ5DdX$tEM`>= z+&3oP4xB9y4<}{XdWrHc_NWh^l%f;O`n#jA2OZ87c3BsmPkG{oXB^SuJv`BLF=~x;jjg1f=3GyyXI;ONyz3bbT2E5lVg>XI+S$us2O8%tjxH?j^q}SiIFurd&J7s6h{sZnn^O#QzdKB1?x}lv{;6GY z`;(p9=f%K%N0*Qy-R+WS+eY{6bfh|MW$_-NM}!0B}GT=$v`i!OQnlc(_Z1l<#%yHecUvuJu$1$Y04Gi>u>wMg)u zi(TEdEsEXfno!8&WZ%_@kze25CKC1+Mr1|nxze{e8_ku?d&q#;+4;rLNMB>$;i}7( z(}@WX*~E<}X{Uip?I$#G*s*NUaD6u_9^-c5ZhAFEgK>Md@o>jlxp956ulLld8}_Ny zi%WW0W`(3L#_9ykP}=iVoj zsM`1$?WYh$1WaTUgz56_6ye^!zk_3N3rF>>cQeyneiU%+bav$uJAdVZ-d@#H%7~bk zp9A~#W?vEVz}%kX4UWMcQwyV_h7#px*PrkO^xoSaUw4U%l5)B|v&_XEAng9$@Sf?r zS4=2fx;t-6CmpSI&&{`NXUeGPYLv2NpEeF0D3?*x3cXC+a5fg0+iO?q-aT5Q7lS1I zCVw}=mjL^AkND&BD~Bx%i#U#EXa;Eil84`MHXsEPG!~=V6o=LV&A{d9ur;%y;w2qv zx#d@NZnu8KR~=Ahx|j)3fXXm{TG%V zSV7XheP0`+8)cw*OL*j=d5am~^<^v9-7E8K0@q9PHr>3=a*NErCI;WzO!L-~lJ^^w z1VmH6EH4ad$-_klUv13FW6hZ$Z1DfT;7F9MNu}57P`jj4wo62i{=hj`b}Po5`^QS5 z(fbF9yESFE^oQ%;iHMVVyBsSeCk>;}w;`l<7Qv6?WfL_+NDJ`t$d-&k z{6fESEq!hLuvRdMI*t5ok_h2ZXH6H3{4IU+{A(~X2#7`|SOn_?Lr&n4zcLCn4I#aL zcUWb~*vTTOBm`n0MeLX+5iX{8JNxc}dfIGsa4DJr=XcgdBIyy1*is? z@Pdtt#3z-?Z>fvAO^`y8&7y?|mZolNUF`tX81gL)PTGE1WqAd!a%!ofF@4Q=3%IC2 z6F@T_RcO=IEz&38(aqXXoOc$t%~2eyvhe2lq_0al@q=66aFA^3Gvo7vE>YX_$dEpJ zYjn4#t9xYwM!83+>JIX0ZEPNzo_R*NAS%7Tj_imGV(y7j|9Vj(o{h@;6vfq$4;Q`$ z9J#aDWV`Qa9AriVR>6PXM!itNMlw9K6BReLllfO+!#w^S6*;2$g5Y5u(^G7(ijN=~ z#$56FYK2c;?S9(#gjlj`Mohy7Z&!EVyyp34_4EKqbRI|W8yY?CwjCM)D%~~iv>h5B z7UI>-xpx~^9QSW%oVew7XjpJ<-#-pm9YOpC8Sh1K)vK8EDO9SX*2X}i!H0OFiW(=O z#$v6PNe50er&@!JVQAuagn1Z#*qJ8j+}P6VmImeR)O1S%GR`Fu&5DVma#GHvk03$) zLcoKBWf@37&>s@$;ADEc*is>^chR%@WAsde0zptv1P==60e_64mgPLIWt@uzh7y2xfv-bIb$`Z3TQd7uGx;^diMxB!{A{o-MDHw*zeem z0q>K0gjr2F;}jc7S6d(a_pbeA^7O6QI8g*4rGEzosi@yvYf6Cx9 zBYLMLEtHs@so{Qh-8CuXmbE3%f2y7f2%=+iU`jHo22}9Ui@r;A7t}KO_1-p}ow?Iz zdjtt1krEW>z=Hz1PMEDm6byUlwE3U}xG9}Mt-LG!ZGmbGMvQ{y_e&$(i0$lg+ypnb*$BS(4gsfh)REXX z(Lo71=Gc$mR87mV6n<_@+_yzF9Vol6u5WuownzzBWB;dsscx-F=#I*BaQfA~Uu)Ab z=_}18S{ixLEoCAkaNr(WpBkz>;IWKQg%}B2pB<{4a2g~u_!Z*O$B|ZWLZU{^d?y~N zfn||C2Sp((6HV8Br#2ntb8`ra`m05> z7%nT(Wz{}SG-ag9HgM~OI1GJ|C&O1XB_u`_ZW#KnF^V9;3=(LH9E=4+h=Ub>EbzW` zSGs<~9NC66;!1*zKefP%bL)aegG`SL?}8?OoyWq$yT=Gb=9`ylZz zEoV}imsMv7{+I)t1V&UR-{Q-gUg>xLPeCZ7W%1H*99B0p{u?k5cuV6ir#Sv@Xxw+d z#-IjWL<)~6YvRzl!4soJ=rzE}V){mQN48^9?uDWJj&csK#svk4+BQno?PBt8nujsi z=T{%vLR>fAu68$VLEC&?w&;gPF>Q+5x!&p1Ptelgo()ms8g+kIesF=Ai0s+u2qX8p zH9k^dP{{vQh&qwZm+jzX8C|gqSNpl^!d&iiiY|7xeu={1A1DeS``kQJDYX!lvH!co z-ore(;nACl&t32}X$l;zEE4bQCFsBMC7@E;vHd& z&U|>MfbE}x*AM>Ky-g&Y+-}UklS^@^=k{n8B2$j3K*A`{KvgSFgKQ}I+&HWVy_lDp z=))&Clby#-5denACrhh)Oz2HC6|N77WYt&f7zA(O#WQ49i!Wl1t`WNT`PaAq`&(uD zDLC26j%~=1B5M`ZXwb#Ts5{um$Y@aQg)jgKwiiMlBtGWQ+w+vW+ys4ZyVN3?b{jiT zt>A@)1`u$biY^zv8qx{Ave~%H0TQrh*oPMDmQA<29c39=OTe)uTinUPkiB%Zdx=Ut z(cup$$z)ZWVJffFGJ2E%R0e#sJSd8axy|1j~A-MRRxJrK%tT})f*kT$8 z!u1niYY5R4Q@$@bI~h0L%ft(fYN~0e@-k)0)@j)ISI-?BWZ;s?q#OgISDe zGOq|raokyFMVZ)XZuT|e@Tu1C-ZgT#LAtJT27jb;U@18Ecc7F?Xwk00R&SsjNRS(X zgc3-Yybx+2k@rGq0V1KDyD_IG7YrNmYFt%KTSC&v|7k^U@(Sre>w0`ehfAkPtB*zg5aWjVX6Hm<{oF5&A6rbEb}V!_*H!LzeWc5*LPpf{L};~#)V2lT8q2xq$IGR7^rN-VfO z&AB8mxC~aG#^>q}mYuTIp2nMRSjg|O;O(&B+5Zc%vDp3fKOtHxPI>ye@9RUZ>se&X zrSg;x87-Pp7hF>RIW3P^HjVsC;bezpd1szYQ>h^Ykpde(+`=P#;pUt922fR!AkhWc z(G6Q40=8!uJ;rJSYy+$owsDX|?J2+2#($b~Q&w?G*w^g`n!Uu@D^S8=u<(dqxC#Fk zi)YZ%qxWAd{B0JV&401Nz*rF$9?=Uo{^lE1UL6u$$Q|9H^&w&Z36%*fc8mTemb}#l z@xON6h`a6(AUXYd6t1`oCaS$Y%$(TPc1FPA*ghN2C5dBXywZ}1Yi~SOO54mD5p^UZ zOF}$LNlqq8MO;k5d9=}Al+I7o@(vKwS&KQ4PMy(OvCy&i)IKl3N0vZU<1LC1E7_B* z35(YH`Lhd9i0`Fij@X9_j8PIwNLK>bErofpNyAjCIB^wii=wdKxxb^RRM??>YJdY6a9y#R$HnJVRHu1PBfV5YMlwQ1J>A#yi>mPn_g%$DrNAEYE zHk@i8#nuh!zXBpJbGnGaCx(TfZtXn^J#LX1;bF zF4%@Qc{XySH8aVg2$?nMtrjcrD@6Z(@#bN-y*OWiJL?_MREIJ@pIaFRbCR14Wx5jL zXW%5)t?rB`OY~3_(dsdBpV06?2~oAi_u`9VHp}Iz3T{50La6km&6b)Nq6)2rBBE7s zIB3)j8X5frjaES;qZ<$?H526z6;%;F^ zYAY{wU(1VstS+nXg8JFUtng&hEkB9ElgQ4iunNggG25rmZ2a1l+nj&cDh55nq@c=X zO6rbs@?-k!n9YJ^v6 zWc!fEQc!DEqikY$RlRYZ)9_cwAOEfVncr(o9PaF0^|%141ZdCk_AE%{pg{xf?5=8D zUv*l4CL3lI<5v|ysSG7G6sarh@ZozGUovhD18Zha&U4mP>|YnFJ12bNtKS*DUo9iw zk9ns8?XO6EV25!KZv4o&Z`iryB3)Jo*S`TSTvrD-zX4VA zJ_Q$VZW6fU6hOh&Kih#?V5jcDQ0kOra`4`Pf5 z9>+QRDn6JFuyZb_9nSe54rKav(iu}1sTzCHmtRFu2%^sK1 zxa+Re&NufPdGFLALDD2YFc}xoLU;NYtOo-;iasufPxfu>4|(k)TM>~1#KttR9vxv= z4}cQh=X@@^9J@Bw7u{w=WFN8dCs>cnsmErC_g+L{lg*CCME`8L@IJe8*=^hYHI?0n zi0mdd#`>!|rh1xa701X*R$;9@pL*;VKDD^}lpu`|nb}+$l@jNCUD$PYor3ZA%bTKy zC}tzcg+b>{YzpEvaKFEOU|CV;nhyWj_Tm2+l85Vg8Iu3)n`tvzn#^`DdsP~Tab8%M z0z6hHKVRC!^}Y&XObGr#)k0Fs>U`Z7*AIuvRnK(=Nz z^LYMzlYCJj%B^7jR?)luKST6e!>9L^&L;=u=j9So&vS*j+xPgas^<>l&+fLjtrXMG zWKw^&?-^k3eQscqGpy&{dUsX9i#|RfeJbMJDxi%Gy5C&izPmE}rO`nVUL|N)_$pD1 z29C?+?aieiLu*Sj&|7wFs5YSMx$il=f>TZ7+Nu3~PzsRlfy)GLO{?pYt|McR$YV-d zHWhDfW7Z<^X-?wK3n~4Y&PaK+bRc!U#HqGy`VCOF+4oZFo_TK`)gRT?#&JNx;Jigx zyyBcCxi8*|S%morOYDHuDeVHzrZYFo$ja4xBx2wYS zd+)7~r7LXfm|GYeXu;Y?gg6b*2fAg!>;A!%1B}98y1BQy6|c0-DibbI zqdqs_7ZmF5skSPVpcjXxW~}QdT(DVx%C4!9X;mK@p|xkK1d4}CeTIgwmPOVUCRGYj z0o@qhpyHu*<0-2gQQW}%cv}siWV`mgVkqy9ZmE3HBu>z2C1)ik?IvwtuBdH>ML3LE ziP;YxIzjySlMNo3=Io#5i*!T3en%;k*bXo~qQ4W9MMqnUf1{-U>$=&?L`c89H;ni7 z=|cTSr%P?4OB*KaD_*<&iF&mhdh^>}GC)6K=hNx2TJoXCMSoF(bGZRb;No=T6hTN} ze<}HtUmOPOUf0XG|M@^gs@YD*lh<-Labjld`F)|!H_;220NM)OQuNm1VO7NS6J8pO zz9Tc+<~m)Eq?N~uC@x86S?_jMYv!O=RKi~2gUz;;>Pqc0bMf0o_mMRY-`Ho9iV-?^E0RngA6qOg-m1UQNFIw9cSztG@;XfbGB(~TEo#L zt%Wrtqu=_(zD9J|6%bSwXZ#ZcD*{os64utM;uu=ET(uI5xz@V?A}pE^qhA+E#yUqQfoBHHB^rN-zgkqdK;u>|k11yf`DuW|zGfU&+h_ zp2uzV|L+8-wZQeV>cD_3ZNT{RCFA2vx|-|*BMxpj8a7O0x*K1se5qZF;-i&{Yw8Yx zM5pO~-_kzCyYqk&;E~CnCum~oKv$a)ry5qDsTdJAm=66So~heJu!-o7c9? zzSDi^UB&(Z3TJA6&j1F0F8SB3R^f`LF?d)Gu)l+H<7&`RUH79mNVAsxW_2<6BTQfm zPKg))d5@3jaj~T&c_X57BN7JJ@&$wB_)Uv8rAH&kRBP%QXrGIOSqx#9G};6dnJ@1~ z6a%guj{3!&hT8D9S& zk=4t>eA)4YrDvMi`k{BaslDN#Wwv2E1b;4(RqZ;K-$MJm8;sI;H91zRq5B-6zA`_s z-7)kOykBqeO<6sci#V~Hq+!STC@Fr#xv9Ii}pDJ z-a?}DQf=VtY37sFh+@~7mKCxmwRWGoG z(aZSr^$|Z-=Vu9b_fp*x!{=a;z1Ci{o<|)($i$RtiYAORf3h3ba$WZ5Fcr|DMfedv zmN74JB&W)$6*UhFTZ(x0jEf_6g|Pd+NUM6FgN&lu7FOCt;oc9^HLEbh{pqp1P@AVf zGe5+g>RLPxmqOHSCo$+mtN-3YdIh(LHZ9xKMe|3YprPYvpfH}kS{O|Fa= zhrJ(twuv8qUS#P+({+NHxnN?S<>c@JVh{20Q+^FyDL8glQ|xoD;ByY@w&-a09pIc^ zyT!2!bN|tpe3e0?RyeLKE_cu@j`rQ1c~KKv=e9tuol+O01Q96pFzi&r4shHw8Qs`R zrhRMfGgD18_^4M5x2CZtrpTcYH4YnP0h2s@aq#LCI8e|ih#6>I%03H@)4gD8tx1TX z*pd)8PH)>Ki~vmmyF425Ce)PO+t5 zw<6rwX|Ebi*a{HswBXfjE?ryFfR?#fxVOa-X}l?3hWhlm7L6`lF^MdQ`MvZH~Tim>pyRY)R!I^3ABNf79!w<<-cu7etOPf&% zi%wjw4V^;J3;|8(W}P~+Cq!tNwXKVNuEnE}ACOpM>$tUsKj8aomsJwR>aVxo7WcIq z@b1#P)or){NGBpnJ_AZ>lv>+-xxb(6R&Q3*cx5JZXAh3XlHxVU@d`9JYa`aLC^%;d z!V@RoGj1^t&r2p3yV-3V++}{U-X7@8Y|_71Cy1m_`F{E`7w|3|Vtr!gd64%xj&BXH zLLa!zX0o_C7E8bMVv$2AxY1MxC?fU6phyDrdkg>+lW1p(E^wRK76-v*j|8;mv^1);{(OwJL(WNt-VFIt9@IOj=kA3$whv>?J#z! z`9&U3zuG;%xRdPdwd_KeNj4;DWia%3Q{O*Azr*v;#7-c2eq~?M!ZXUY6B0*JGv37h zWf|&lvPpbb(S9SDRVQgz-o8B~yMBZn_Oa+W#8W!LHF zWvU1P#E`~h?DSZ$)+J=1e0~Oo2sMe>wyh>0Cd6phrGiQ<P-p|?fRHeW4;a0 zV5Fcw`<>?_G%A^{!GMBNZKR~X=_3ypkcP2PWk5n=Hk8-d3r8F$grdi2zUde*Mdld` z@T^mC5(Z}GP}7#rILsza$CMWRJTjX%YB4uicCmD%97=jMUlATNn!DZ2Zrv0=pSfM~ zU`~3GUBAg2{{QZD&l^{JzS!-fohJ24W+v+NJCfbKuACGAt~5$;?! z&_;hT0WUSX-q;ypSWd5RWv8t#XR_wq8FCaAJnuG7)-+wl8Lh!8L%Ew=1S^2S>^}KY z^<(>CeqQUQz`3YxQr3!XuZO03R7aCz>tRVNs-cAuSNo&I(WX<5EtN!8*s5Za;-5Us zti!GwK7Dx17>jC&_sRC7BiN-vS6QxoKuA$NKCiV^E*sM|>kcXF-|Xw3CqRFVjTe8R z<9?>Mo@Q3b=iNa;+-5X&c8lu}tX z%BXB|sb^j3fsT^btVpb0&#A2UZvJDxy?~U!roaENT09gMI1~d}<6(!E;>#%0n-=hq zi9YDl<4AEri5dR2LbNtpiPkmi|OLOANNR%?c+_xbgrdDTOcxW-Wu+ZpMctL*WXSBN<89$B{E0Miy}u8dEqBt`s?uy8{VJ{(H7~Fy$F2!IT=Lq z2Y$DYR|lWnHW$7n;@#HA99?`9s7QH@&=>yX>nT<7luvyjg%rKAARm7PIR;-oAZ<`4 z$r{oAW>M(%ri}@l+U&O-alUR14^q1zI3w~vbv*>7j2OTz?L8l=nb2U92h7HM^Dsc5VU7 zZ+z@~KFNc3zY>$+@NFm>C+2QL3 z;5`+lX5^r`_qb7wQnYY>Oym5X#>tq*S)azavt<8SZ1`qjfX-w{Z#L2UK_3$j_V$Q^ z3ZXw~(iKPJ-sg{p4Ma>)sx-~U+k&q zx<(M>eKyRE=Qr&|Z*qjO4ji#n{d#vTHH*&gYx#FOU8z-;Mk$3w#n+Hq&aPp1v7wZ= zdFxsGX@^i#W@W733F_qv=&sc!B{ZgTI6jOOhj<|b>C=o3ss*Gy+EF4`<(iZJh`mrD zGN}c|`6vHiW2aA4Su&|TBwiDgXEJ|Ir-y80rd`c*(p=fh3qiLH>p&4_GqbU>nVgK^ z_dDclM7Zk?5+;g9*_T+!=sd#D=hxNwwUSD9o-Hl#=nJl3koe=1=wW`Y z;n|-KDKf(Kr}>eS6G)(Dz${$Vt3@vGX0Qw(5~G}f(W8hAQ#&ANL2_g3T(MT8Bq~-j zA;`95`l3)i`i;Fy;8hMPWe8~|6L>Es%qM;#zdxw)KUiLWGOT|SK}>XK`Q@Dn{1D@& zc@|i#DBV5I3%g`;L`N&!Yb3FxOkWyYMweY@6e)?C(116EWfXm3;WeCip%WfQf%})h zcSjOJZX4?^3BO4e$2SW3HX%zcBOi%<$O&hx5w>2(0Gg@yk~QFw_oG#lFzNH5UW$V9 zSsWv^Aw*)jqcEnKV)A1H8U*j$9#wSKQnqI|G8j1RrL&JpzWm0GjAyHu`lh9{Jy(#Q z$hv&i-%%q9iK2euARvV7*Xx$6*xE`4oTNiAp(~Qn-bW+JYu4ZV7#shQEb2)sH(g(D z{j)PpXh$msD~A-HQuV#)&vO{duOE;rtJyb3>TJx9E=>1>Gs*aa%-Q&ogvbRU4+l8b z=C8#Q4r8z?E&vNOVr*`p~p8*-qqL zlJK*M^?HJO>xMskM5S!xhaYTlNZyjnw1+!|BUyf?iWbmh*9!J|awhsko+03!kiX9(e?OnTX(hat zo^@P*BVsBhY&wfT>fHDqF}qGNT0|*Yq*zX%>i$$tV5RMAdX=QGc!{;N1c%&ye{64% z(>r(hHYD(6JGJcJEsZCGi?MRC->7{XVj{b>Hfi~Y8xHp;O&ccE3uqe>MCi{}UD$&hX8*@#zXlJn@6u(q1W%N!>UT%} zf*Tjf46vcs=!SD@f`FK7b#NN473O!eZ`=7wj4HW-8r)^cj#oq3gDk6F{$;-g3$bqs z^u`k9lIZ@Czo5@Wf&n_~V%Ia3y^@s+k*nR2=x8h9H^P)fgl@d|i~9H9R&pDy=-oT>(>re1Wv&|h>S z5TGs>1Jtd)==}ex&MY7)(#81lMoi!ZZHDgJ>Czfvn>f(NXPZT&s;ln~f|=w_3vqv5 z1+y?f&lI`Cd*_>b776NgR>9{}(~&ZD5KJ07q!8A<>n4}eE;Pl3BhE7vvxGDY))gn5 zG-d+w3G0lSO+U(Mn_Iu=NJr4qP-=#e_8Hyg!Sq#F;PXmi8bW=ToX$xxYn-KagD)7S z?9a$bFOjt>hgm_bV{@nv@+3n}4MfbS#5cLrF?zq%MAm*iImfG>J(G`mT}_C>##y4u z4>@#D5F*~VKg^d^{eDz;Wk^&{$Cd#oP6S90ba$snTIVcWs72JV%C^K68mWfW9TF~g zkdP3Wo`~(tC-Z{s^ZI(q9U9%!=w&GL#K;hI4UeG9C*Btqm4fPbIn-ZB9i#~$zA(WO z)opWsCs)%fDp4}Gd`r0&`wk3DO9%!Q@CO6mQCM`U90Wi+slAo`3=lzlslo$2aQ$99 z%FNUTX6K<)erLkJf%upb_@>0wo9Nv%x;fR92FwH)nga(6jfD+1-d%(Zbg1|nBK`Iy zQ$&<@W^16cZU4}1ax7c~5vzR4ZSW_qN^7m!u67g%RY~%03O%R@Yl@+&hA-w16D|}4 zAQD9iCZ-txCPpO5tkPZuQ}pwbJ2b?_FDdAWCbSPeW!I|jRZ}&ksHEbPGKiw0y1xr1!^Hw0NRU3?w@z_j+Lg9%VK~)J7pl`eg`S6k_lY4e zExn-Pj}L{D?be~kRC`(IGL*7FVY%{8s41Cg6>f?1A`hzU(ku0>Qhju+|BfSL^MUxaf@9#Ci$JA+;3u2UMN8P4nLsg%vhN<7eSLHcr za8`LOm@1kyn&zRwHhxWaJeK^DMqOX?ZQ5F~_*+d>aR6RTIg8J9Jv*eJq(yPH;DIBp zHo-#Q)lZiXkm%|=uVH}Ka}1L;eACw6FZSGlIzJY(#6TQ{FKNQnbMxt!3r1j6gUk#+ z%WCO#M=Rz3;#a=dF9qV&ti9mtzTnq`__bRqd<%WC!iWn_NdgCpRc(Bl-Sg<9ca}|P zqfQmpV}h(Jfuw>#TOZ3Grx8k4t^iHQlKw9Ix}TS7K`@x*Z)XrnZc=q^I5oocux(-L zsACN}RkS$kyfkeUZ5mCxkJ1-jr09m7zAsX2JD56L>i#n6dZX!7OJ7F;})hMwF7#!n*{bwrf6kHp3it#yY430;tWb#;lQ6{kEX*C}J^L z?R`)y4>Du>@C!whZIBvb=N2_<1^JuG1RRSF> z$2qGFn$CJQ0mY3@Hl8b!dpWMPepV}Jvo&gI$k;ANvv-!OFQ$AQET`{mG^s}YEt{Nd z9&1i>Rsp9fo{pNccNUsOBmP58P8N?W=Q*=ZRa_k`7od=8BtxVvnvI35g{kqvc2~6E)JWD#a)+s*MmH{4dRIeTcDb;eq`xpW55KTZS!P+zqIgt8 zTH}@E@nV{&hwTZP#u`;a=c(v&)&O3bo-gtQy@eM!xMl#Fy8LqC&kMkB_=Srk{R6A} zt}j7m_tjqyYL8T@@Hl>>x6;|OKI@lJ&(*X|Tc2A8QoCx`+$c0Hdd3)Nx4cZ$53EeF z)w{bIHVCOTJoq#+*3OZo?2#ru3Z0<(%f0%#<#{5sl)BJU61Pv zT*}DkbQQtbBDUd1%)G6GVN%0S)f}xd{NhIL)|r1SW#Nle=m}ESv#(f8s!X%6CM(R) zb*aRsD+eu&_G+R(UdU+Z>g{Rf4_;jDE9oHHD!8VMLC40`_{vlll{TE&6z?0j_Hn}GH|b*##LU$H_S?#?M(8~QPg(#) zhAI6ATFf116)de`pw#xd zR^>7n2C%c!Hd@mBHFx)o>`ac1TM9ylsugps+IdCtogI_5v7HjyD&o{+giRdE9!1A# zM(NEW(b|~RmL!z@nKs}=sQ~gTV-3xq^&U-QD;a2gY{Gg1vU4YEjexGZfF;M`%bq>4Zov(p+H7l3v>MB6y2{jM0552rBbq2B5OA^Mj=q+Zg9;QLYtTvyDLq}BDp);TKh|!OhX}{(y^ct|C(s>w>q()gZ%zP zZZNb3=3H*z28q5{A9ZJ{kSO_8M8zIj>QeWzmQ2HS#sz|U5i(PU1&fNzKxZM-wv=Y> zElahqnqJf!L!XAi=&$POKK@0N2>!hqKLmxQ6RX5G9X_Op41F%)7NexMeI1T%!Z%tj zlT>frsDzLKK>d&rneMG*R=2M#`32qPOZ`i9rC@+89bV~HH=0dZD&vjft*Biz1zX+r zij-lok%bY#E$pung=v}sJcXDx=s8(1F7LOwxkJ%f_Q((B-KwK!8D7liF-LUzJnyoN z@;ZiqsNs+j&VKdRKjCBaIoSMukVRq(y~CYSQ}E~m-h7VXj-8hG*g&9jyIo#xfz$9# zQ2l8LGna+r&0OHQ7Z%;KzwHE`5i(ovE{4&(19-CQ9yc{L>b_;tg>J@pSL|?mcu03^ z$l$7~>(P40p-R*9U90iS+lq+~#RQcWvfl@#b|KVpk72*Tzjx(IY7sYz^4>H#Im$9} zXujdb1eS+XgKo9YsAV>OZy7dFJw`4rFD@CIOUvcyB8GWMBjk!kZGLfML$+BS0c5|w z^~>~X-Ph>uuth!M#b!fPITb3a^rW%3%}RE$S2ZKplYW zbpu@Of4qJSODepz);)Q8nNcrLdnQ9|05`pDxq+MByq9W=_7#~R-a7h>Pc3*P2$_s| z5~>zqnSU*9?G1iZjLyX3l~A%i3mI*6SHIaqrsBk(h8np&^STVzqB>y|+kjWt;w(SO zueTtBItpU?qym`|Msn=xp{xCC1xU^PwD@R(VUBaSZLYK8z%$x)JGEZROp#OHT45EQ z!S~pl&T&eq_J5owQ}o*zTpNCVC#f{I$FXKc=%E?y^0Jc)wRh@vpa0>pXN#zKQvtU# zCAu-yD^gHu=)B{p#mD%HGQpS;N9ln5Gu|7yb6S{+v^Rdh$p};<{I+;Jp7hAR9M02G z7zBJpZV#++-^d=HsI_@uV-oFk-_*?g!g&`30xSVN(_AL`Zs76WO)aZJ>T4nWSnFx?cptUF*GKf&oxuIZ>KB*MgAg}&_bAjx zh;9UhR7(cn))H3w@l9b1SrjH-up4X?-l5U*)Ub83iCK?YHTRHPE7?;iM!@rj=j=j! zgs+$B+JSesKjam4J?cMQ`oY5PHC?;y0iX7OY3_U1xsrU^7Ki+kzFox__snMGCVH5H zp_I!esj-87k47}5n=f2RRjO<^3)VQo#MQg$YBG4& zhKlmo1Lh1Ubm`_(W&%c6ktsE5Q=lIW2oQM18HYi2$?lbU}#xq>a% z?69M#)^rm`p^)uBTT#!QNIiqy;_c9}X_Ieh<=nT89>Hdd@f?=z5V*C-Jny4SRaGrG ziwD;G6&;aJx|)~kSTiVbL)U-Vok8&L_0nyuH!^=tad(9uVbb4YhYsN6r(z-a)S~%8 zKa&mKZLgItoAq6JMahI!idy;lw#bCGfs4;JVU%5F2!t?BeA>6j)Z{-n{C!w1{ac>L z8tRRv^>xl}vAKs)JsB2`jkGmRy#Yot`0Cs0v^vV``KN9MuEv%wG3E?=CnYKd=7?pzCs;cI zuA!oriHa*tETb$;<<98_)=cPvVw)Gg3kQaOP^UXR-y=Lj+6%bsViR_ZM7tPsLbOw%4~f+ z<#>I$%r)ANJ)4f8;rd~re(qx}EcM4t)+hd{r8=LoY^NWZt~;Egwv;DcoaTDG2okIq zI-bOZxLAXvikc6r3JX#7S?1tHme#+3dXug6@ijx?;G~mDV-&n?=d>0)1?^V+W1?6{ zI;IdZsRk+xd<-Uqc5?m+`K6n>vVa0?bRSaA2?5ZpbuI~#X*3GVK}CAhm2Ah=s_|4q)h_nrIx{jYx2PW99= z$6D#G>b>cn6P4YtE~v{qo>1Adkv=)EtIB&nM%f>A<=91BLOL2sVGl_>%K0sY-m~>N z$gnp=3%+LKE*|YrLS#_Ml;2Zd z`$L*#EPS0p8dc!D*<6bYOT(Z>)!_Af9)G+5!M*vF%L`ha`DF|ujKDlEIW#_SR9C@D zHx4d{IqCX9?!qn1Pkj!H?{Z|)2_YyHsvbftjVK&lf;q~Su+{y{i-op7Xsqh`1WC~? z#BAK;AZXCf9$VK_p}8j{#w6gNe8UtuRwZCXGB*Y)0i($ecmobsDux0kcsxO6DS75E z`4S}}bO8c$oh5yj6{<{AZqV~tDTRE>A1}&%6Y*VfKmiw_IahYC^`+lBtXFPK4)Hv( zFt|=J`Xw6E=c!y>9lv$oHLHWOA77W$2Iw#8QWHaRjpccKGH87YCwh^uzkLkc8ZJyN zioXkIj#W^uno1}k#I`QRh^G?BrwO^0RQ6F)DRBd3wB#!+g&F*mOcCOeC>}C$$S>g; zN|Y2)${&&WtWXkK(5x`a47^5vc>uSo=dqHU7VKCehwY?^6r|3k zMFQV~$?#WS?tI*R?>r^mikzt13QiN)FGfVMLtmZQNGFaggtKNBgZXo7Q3a-RQP;5~ zv2blb=`&fj^l>b)IX#r0qk%4tB02mr5yC#-lKMvNayW+w@ql)Y-)i{$NaL>~V}>L! z#LMFe+-d$-o4q2KKo)SRq}LZ~65o6)K#bw(+4+0{b0g9Di?dskgba36naX!UbAQ(V z*TI^mGzsh|M-}M|(t5oyhY)M_VY(tRzc~>(e~C|Q=R&W6Y)uSmtRu@RAd;zXf#<7o+IsZ0eY`|=jka3>najZ z(oPaEax0Hf5IRxKM=uIO>KBKn7(<+Y!pB*KbJF^*ix<7#$% zyWX)7H4}?Q@y}mIo;@nF@7AhlQpJmA=5gxtXC@?mRn53v`J-t>ZTn-u%QSMBDmkb_ znih&F~6tp#y=Zo&(-eJWbLn*Ueh+;YjF0F{bn%i^NPG(C&lvj1l z+I|n2-KaAff=4~RYj+L8&i%qXGozF(V}vSSL*uwW<2DyW8LzWkUXrr$jZ2Nq2p2^z zLH@T!IRaOknvE!R&u1%Ei1!V%@YRYM8Ue{6e=$Nr%lVHh04>mI4!n0uc#cM<8Re$ufjZC)< z9%J2<=0pfrS4n9mg0LJ>56QyqlZ3P!0EAK155Vvu7Sf({)MRitu#GX;&pt}+t}Q+V zNcs&c65@(T)u81HRMM01PT;&kaTe8uPbIu;`ieGHmjm<>MVYFGPyAg8yx?F!s9EZq zr>bvWBSc^JcmyyhuuwO3t@k{j_+iL#ddE%>g^Z~yOJTIf&D+N!{;tl<4 zd;%atSwUE613-scl=e3vN)D*Xu~Z?c67j-N?}6T)mbtKu2my2YI%~r!I$feUr=Qnv zY~goY3F>impN@>X)V+40g1wps3JBlc&&Kb0G^3Yv7 zofSzGT|0RyNnaMf(v)`@oUW`lC|r??*a<*rf`mt8ot(4{t#5gX&mRY+6M{2Z(VZa( z8$;BsQpohqs~!%L-RKTCowl{HPILJdN!Ufdk>~K7^sKyv5!{m#UxN`buoeM_hhjzB zdsHGL$x!2?&X8{)29vSG3&^sZP$#j&*LY5-8MAA!p+C>ry~hhpnpH|^0h(_<$+ScS zgNmL;FoMI4%GE~RqM`Yxq=}cbJoc6a)7hs|qfpP6G`n($C~DmXjGx&-H(zOoanj!dCXf!#_(?OMO&7r zgg~eZ5E=*rgbo9dXL5t)C2}a7AJ+2`5QmcD_p+c%`)qs1aI&qzX>R7$30T|g6bF8- z@Lh=Fz3EKdE0rg=l6h07D+HZods)TorV0jomkKf^@h_9u%+odb zJTZUY&N2xOA&CAS|9#uk@L^>=9)uO&{3qj#ifa3Z=27GG0&N6ynG<>%dp8I96m$fu z4Ly^Y!Lk^FkJx7VSsO)~z)N}A`0oc8OKF$(MSq1m8~YPT9?I!S_lH*v!;UD?lbNo@ zbm4$;r^~ItNK-c5PZoj{xg=Q9WWHYR=moHVYfOptt4Np^4f&%`SPSpNvdd6NqdFO5 zGIv#+nH1QmwWkcRGO!Idd@5Xo)hsYz29Hb=Fk&}^-12SF&BFRQloT#Zi}`bi{e=7{ zZG+rR6=GwqRb!fuwX$6)H!fvEvtl$RxGE-(qh7YXAU{L1GGPP=U42kbLmA4+v5@An zL}PpMll&|JQChwakur+WjqD4BR+VCAiQ7NFIP!*Ext+UzY)z}gx@g(b_%`I^+Jv@? zx>~WL_CkIaKSu?dQ&rP^#JAW{Q)}$}d^KBTm;gw?pp ze$P)(A@=K6#I2DNBCB04YYMTrMTZU?daiY+prOwwMkA3FCPCBFwUV2ki)WKA!tP|C z1liQM7{*mfFJN?25+9=W^XY%=%KliZwZSQXc4$%^1=?4z52tV5n4GT8Z|-cyi@(&W z$j;yJSyk+Gc(y&9_HMtFFrR_C$gSHMrl~5#lA4w7 z&Zns|54Bo%@L1-d63Ob0EU1Rn4lAvTY&?ao=GbOVmPFxwuMjaS&5F#=MRzO26hPg* zT2A3*RLS`rVRrAR2ce-5fG#yLOXjmvF1HJ;En0@D9!+=6ts`GUG`n}^qjVIBf56!I zjw4y{e;v6YVm&+|>fqx{8!?V+I}d8>PRBl2%e;4eCin%l+*z#ZL5+ocH+phEE@o{g z;`yzzvA28W3Y%r+c4sD@oNrt@mK>zh{PISk2TeVOTu0zlf>#BkH_mi}8+Klf&(WG% zJF+^?yg%)1Gc|u|u4(6+cN=1gzil{ynNLFBjue3FcoczunN}60QQwIy+-uUFM^BN# zUrJnZO^(m}64v6%Fj&T`^>Z#MZ|ru+W>9@gS^9l>On|B_gM?!D@u-&kxlP$EJtjRD zi2i0nkN7%NM`^xesuGm1`C>*zX#L1S6}PuJmeV)*1kl+|*1U_Y|6C!ht!L(=rF{oH zhhF(+oTSoY5IMGC*XepS%HAn02?Pd(19jSI+2NP;4R}@@204-4ZrQr-&*SJ&&{hwK zY)Klxlj)!Z%Z_u3MQb_+(~kO9JlzhOc2Re^^A#NTH^(ZyH!#Co4$G%D|JNhx$1TSV z9TL|X!*7?Y=}w^+DnlzL36(t9`w00Tl+U#aTN^;!dEH-51NPlMSyn!fa|x2HMMs`3 zSxtCd-uwo&lIHDsY{3uYX`eqLNj>$Zo>q#-ZZ){SmzZ;CNpjsKU&vqKfOD!XqzZL+ znA~iYNK&Iwx6!dDeJ8Ow7=J_^pmcRBzoGWED05`W#Z%KZ&$E2}681}Ulsn0ozU#x4 zHaBd1UW&CNM+dsJvxMy-&r>oeHHeGh_lMrq28V4>>A}#(G`D8ZS>MibZ_U?sEm}TA zdjnKv|2Shmi~Ki^)vcZI! zJiMu$u62&fJ-^(OPGp>|#HF657=uo6Dkh%M!|5UjG!LOgIww_aHHGL2(>vclM5#m$ zo7eFJx#)bk;G0Hx*xoqEOpi*)v~5hxo?&sJoQTJ(oYuS*Ht2oBRtTo8$9Ce3JRG)l zeK@<)dXZh{ySwrX{D5_}ZU{QHxp&(Q_+8kC2mjqWYM?`&&7NxayX@~C2iuylA2lneIW z-nM`Inbj~j&X#!?#)|Z%mv{SftW03@rw;YU@1nCTQkY!eB7Q;DX?#y#_FzN4?|=X5)TEV<`;(!4 z^wjHKqOW@AP7k!!#r%*kQp=ffbTd0Tzieo$81;t8=!^C;H)yO3BhYIOcSLb&|6%kX zGn6#BINa^&nfOcOEsLN$ZfZWO7|rJ)dQ>TcNH<4Y8?a9xn9ao=ULWK7Q^KhM`{((j z3!~#2)V+{D&4jdGn+g5ON68Sthsl-W5RDEiTsk0sTm&y%+KuOGa%O4!g^n1MjqN_I z{zLo?)Ho`LWYRcTjOtXtLmM{44k}7cyPliDA75Tes3^CneG)dLPk>v{Rcif0ut-+0 z=mh~4tyG*_(9a}zBHDlA>>Vi!DG7^PAnb80%93XwY|I-suUr2-R({%-_~-D4U@*5U|I^pXVmsYpG1n_cY|L>z8R#7GqZ_+t56i(6|G!zO5LV*U4 zyI)gO-5w!hJ=K8!zaRau0)c`;Q>g~88~3kcp71ro1rButPdBZor2aT*kmu;whq#XK zq%*CWeFH97sa-9CQ4ZfyhXj4UIl8H%oL;*_+>vuLyA2gLA2RxTTfypqKnthq$n+Yt zhbauar>)WL9?Blyai1@#5;lG%=l)(@U*4RqHT-?Ove>`Xy}ItMh7B|M}_5M0K(gspUSjWZuY7){-OsKJ|JYs768pM%#q49KANc@&y5n$NZt93W>-Uvcmc) z3kO#pYBvojR`&xg1q3eLn#I!O1soW8a4Ao($HpjXb5rRh4_gVjmwc*x&$nz&4a#LP zd{o9MD2qSBG(B&l>=TiE>bzt@MUjo-{cLO!$5ivilgl*?Db6>&HEpDzA5HC&CNxL8 zvZ0n6s`#xn+7_s=)>A?*d)JVb<&Bv=^HvmMG;}4jpTL@o8z;wzAusQzPwC%gFPMO# zZ7EO#Z?YP7R|@sP(vWIkjL|v#K^A_D-I3=W9Hqd75buo_U%YCEED-l%N01 zP^o!&`AM62A!YXSNY`zB>!JTNYHGyMrIkGcfA)vaqf$Sz$X4j(_SNHkgA#v;h&pJ` zs1o6((|9i^!eu@ehRu`DqGvgfyDH?d3Y9_etdrubyP)5Y`Gob1YFX zH;*Rq?CY)6-j)2??VD-m0LR|(=pP?^8^{0o*g4|=`(x{f|F6$a%RO=A zgBC&U*H*WV5kAAY@k;!(AHR}ulk zGY)zLKSh98Ll9a+@En&wc`#nM8MXP9wm!k$9b+BX(*DkE2mAiIb)v<;ormG_*tH!h z++$o~%Uy=_l;$BLZoru(+(WGUKMq1`U(g?}TNfI3dx4zm$ZYHJFi&^wqvZ?zwG8aP zJa-ve?bhRm57(g9zmBc#HxlQ^uAXZd^EdxKwC@`JTE2j8=du3BVbx@@VHeTEeYNw? zA;TIr2ng6)zVH~YKBX2NtNOk7HdJswgI)2P43bEP#;kn7$2Ut{uMeFnCdIk9)EwEt zYih};@nOPuKv{tdk~`(oQ3vuC5q^~G@rn&d-Qs6M_U`U^>Vs2>oO1|rgpQ+zR(V9q zL2lan>*HjSVf5bOdon{hhuq;m4ezbM{fN-#6acJoIfX-pg0eZ_h*m&O>yJp^A=>`B zajZIFNlKzh)~<+MBRtt1JS_!gu?>Rn+0mO;cLl?7j0TxR`d&bUuHn}`qdK4rHnXrdu(>-RX9ZJ1Da1ES#@m3<9VZU(@80vd4JUi-~hQBDCh8(x}WBr`ZBg{5V^XIq96C2+x zlTWH5BtLnFL~mfg^|rcg8TJ>UKhW#?hlit4_x<_H+|~n4*gEi_b5>tIA-Cja2u5@3 zKD*9pC1GrsQ6gH6GlJKBnTJZNBnV~Bj3|8H1O*NWGONQ-z_w85{c@l%{$)@ z@bp4!_GsRRaP&ep96yX;6Mtw1>V^ygLh@h2UJ8-NeAMut>jSxcyWi;5&Fl&9AA1_Cc+e7|T0j?O(>m|du^PDc})9A^LcS`h}6S3Jypgfu3#chdVaPnCf zXRqZRbCk~*5p5GOJCuK|YL5LjrDZQ{8@N-Ql8P0fIR)D2OYCx~{pp+E)BiZlJrg9f zDl1O6b)Neic*jbdj!lVhl! zdKisNGz@zBN)c6T;X|mNx^P>e8CEcX?@@(W4vC{UCj!>uH*59*bgpYqqf3rU98T9pYi_)^y4 zYxjq)cEXwq6}Qcc^obYhV$_ELI)T0+;Uu=GD3<~g=`t!~*AnAzGcn!E3MPFKYhC|( z-7QMD(nox!o=`C$x4Wo~pjUKFnK5*^mrU0miRok1-dnO?m(k&Yl789ER|4r#yY(?|-njrXxFDlnelx_yErz1G3x-cN|B-m_YDcpu9U5F0QA_ z57R$8^btgsLx9QS6t*28&38v55+kMbq9rX8cW|I^sX+?)fnjSn(Y`ZOg;&xV-7-Am zB2FBTyNucnqzgi;g&7YphpQzw%xYK{u5b zWCXDQSxc6-h$b!e&0z27)$uGhqE0Dsla3}sV#iyJnnB(77n^d))zOl37vAPiSm z%~<7hv-8MC>1jN{WSkXS zmAp`qCo~b-Q4^XGN)^KC-~0;dg<64o)FV*B`Nx*)(a`bdqQuC=@6e9$k%&;U_O@*6 z^#PDOYRx->T@{t&VhwIPte&QdDjH10GqX(w0VRGd(uC*VY1BU};J8b?L+d9WuLq`F z)M8smvoONN&Trn%b;g`Culs}}!&|wy&FGzL89Fg-ZP$AWXzKV8)d+l!*&Qd}FYZ7ln{EF%2<%B|*b{Z>|(e4+xwrnDb&dF*#pIMTBGY!^Les#OUXUdxncYeIdOv zBaJ{oi&%nX$r-E-i#BP9;BJ9*t+6;37T3&&a!_EM(iQEZB~x7*--C*pq<<$jCCZe= z3hjzhLfht(pRxch@8$OX$&jJCR}i$4TzkbdQmbUa6kbOc_-KD0la&4jb>aboz7ZUU zzW?Ot&kc7_|3k-{_o=)X(tH*N_WRgf_Z;8hZ=%+SfcC3F{q7N)*FHZ?arK#trMh9~ zCaNvdGevlLrXo41_pGxd>)#l&nZbppAya`f%J|YjX(snE7DPHWe=akQ!A5Ue_!xtSO@&^ z*kSJ7(BQD4DY}~}c5~AMVz?h>12IZMP(An1W^Qkq);Tqv4}H9&(LO9APE1vkGHLY} z$M0F*F)(Rx)-NvNUy_1B5!T0o`A1(tH;U_#m`@bcH|F^6`Tb7V0p~NKo%5+q)hbEB zUj93HA>l@Cc05b|biWgPOq7YvXNON{XLLLcI8HuL)wv~%X;xDM<3P5YCCIfjZ^SXw zUz(#&qr~g(n6G2$NwkxyAhZU?d!_1PDy019-yiTN1(yv!K7J$uF+R*6JgP4|Tbx>A zv`b@8%#K$MWs2|#oYx8|elS_fUf{$u4Hl|&hYbW-bWI!FHz@96$?6iT zxS@kwVBxv9;1KfotihxBeZ;tZe8T%sTz;Z+P)XWPs4nH$QsST2Y%7)8BBx7E#ax8EKM2`U;bi9 zHZ*a`H@1m|kc(qcYndqsS`Voo8*t6o`j?!?n)()+GlFS03f>3nyS#nE?(<&^kn6c-(L!gM$QmMAqLedkJQhRLIRy(Y->kMIPfTe zvg@F0=0Juj(J5AK9&RZ|23MKI{z27Pe3m8c2K`bqlO?=Ru3-_Vej-sHNp!hSMea@KdsguBOp;S=BTO)1SM zZS3cA^ybYcy{mB$In^Sd(`s=Mo3$gL!?#T*2HjW|zFnD4T)DC6(uxDgc|Uf_#QiE% z^1uuva2;wW5ZO$maUI$y5OKn$vf`A@syIrgvJREaI#m;))61m%M%9v_wJN0``np*5 z42^It#)eq-+>B^0-{-C(4=w@V^=1=SODu+E(%nh>@1RIP`#ZGKE!ru8;R$97gF_DX zSOq}3P+v34k)c*I$M95zN4gF2slR~ahF`r&4`hj1-f|=c%5gcGU4K*AL-Hi7O5)R$YAK?kX>|4ITCzx zTm;I_G52y@t;p_=FNz5rRHC*O#q8ER4m4MX)0;c{XDkIVs0u1tYUndLr>vBC9Vz3ABkPJ2WYf)M!?+wLKyMQz6dT~&4SHuBRSb6&#g_1f3&-kN z`_h{im>f+-p&V9bLpdDs=qm6z=aI0no7+wHIO*?9>7FpLoTa|{Hh!1`y!G#jq$M}!P8d;engAeFDn;IZP%6F&57b`E)*Aa&7aNg3AHS@K*BjR@GJ;;!--Vvfn zQx^#f&~nCM1xk|R&c^Rl^Adk;zL}e{|E5XN9w())2BPNC**E(2spGT9Zc^RvM+LX` zk0Wm+nHEtd%q_dycJ#0G*Qn{sM>;flbR?|a7&R5mE(f;EZ6Dl?)tE(r-o}^iei%pk zM!vLc4?%aGZd$7`zBPN1@D)bJ?)bPV2UAM)JB;GuiI$`P}{cws< zh$?^g!#rZPCbo2Oe8#C}-1R2`fJ~F|-lzF+&)w8I7zoMzyCGJg{wCuiuKB!#+tT6 zhdiA18&jQ(2N=CZ;>Q1>G68x=nW{hy@G&-wF&AyO8-?XG5LTqg-{qPQr?nd$P^ow) zVB%pa32@s@jS;DM2du|{YTi(Yzp|d^ik47kK&~P~qyq15$PqA$l&@aT)Vg@o3pKf6 zH92j4n2$`~0yb7>ep8BcXts8so=m67>4E%*$xLvN9jt!~;S)T-XNVLKJPg&LQ%wV`@^;Um zFo3cjR~A$X()d&U;+0}-5o6ZZ{&BR&wqXA}0Od6R;8l?FX^e%ZeIYwqTR+B(0`Nl) zu`Hqtm|4mS`1Ich#fO>1>`}C%tw2gTFoiXMc&;D`b(mi(W~AQ^)uLm&USI$Ga&h}T z)b5;i>ZnI0HN8>1wqds-wLeMwHQ9)=z2*pGsOHaqrHnm#7z_J(!cKu)>R(q#MvCfI zN=PL)v*S0Ddl_cH&3v9k&KgNiny=DYs=p(?$$WoTt2XudhG`GVg<=uk^n1xFMQk{L-sg*SokFZT$Ab?Y zGTD!~(#0qlr;lv)1J;T5lC_G4ZIg&Sx2ksF#A7Kt|W z8dd486rZuizw0eH8iiC87?>|a7@8ZT^0O6GI4y~@EPvNyXum!-Bn%eRF>J}B5e$x+ z;A*C~|KOCtF&q&4+YX4m1`DUn*7bh1ZqmsEvAv)jAlMNS2(AVLgNw`W=ZXi)Nsgl` zz0-@Q&Gs|>Q;i(~6<-x2hpgx~HkPg-T)Gr`G^3hZD=6q!XAUiaKtjilH)K+K$*F&U ze|!YWk=g>~Bwx!J82o{NX?w-}j;a(!_=2P78}S6En#{uf4RkkQUIZ939;nQkM?F29 zhJiTG8Va@=a7iXwF=r!E3Tpo*UhN=w zFV)~W5jaiEpoVg~1B#5zU#2zfmWWQm5592{8=SI4s$0hxkb>^vD$h0CXM0pEK6 zvhF!9U*nMWV)5zeJof2~ev3-2dDM&T+oQ{%r;DsjIPDM7UyxL^>T6}RZHBz9LZ4o1 zW+GDZZnaWoK6`YA@xE}}4s@_gd6#nDz0qzMSjZikiJ@NV9;0 z&Gqa0T@qt?wQvL|Hya_*A1K_Ht6&otlwS@-Xraxyzko5|q69y7Ry9-I={Jf< zNf0RU8sJZfQqGX2t|O{K*ms%Y~*V(DHKNUgUBiWJNL zg&=F>N!EH5@%MHD+3l#S_o8&m*_-mzjX!D|Tbo+Ozl=b_1i&SBpd44>E59?i!>kkc zxWU&$a&lLkC%O3D-b~v;HTL`Ery;~8`9ez-nyz`a3FuL~l=eE@ZMc422+I?C&0AT_ zJpoNiM#b?VdmB@NN+p1*f*(w2E^vp}95uAgcT6H2A`8Wgbl zaAD@b)kELDwZ;T*XGDJCmVY67)1ZrfceQ%Cih&@u-46G>Y_c5e z+X@vq<&*2qxrKgbu^<=S&?(<+DrVvErsk~#J7w27C&U+MRj-kJ}ac1K~v&&LyU zMtQ~Ayexcq7daJ^o4H~Fs9Tr2CxDueo0}OxnMUdk=cwdH_!y!v<4$$XBz+u-B`Li$T0!9gN5ZxU1S+;%xvBU!o+YXtZeL)vN z9{~sQ&0&`%_a_j3Ea0%|K>FDSx`08o$JpCNxNzlevBty5zY*nIPa5Bx2f4<1I`gs& ztEv8eAngVr@y_wF1pjIwyCU|A%Xpta2&);T6fCaI*#)6~DGN;?wXE-`Thiw?uSr<5MQge`eKxh~lPBiiuWpL0L z6ong$^@M8MUIra!d^VX@afj&`5Ny1&b8As$3Z>;J?{EwgOkGoeo?kmrBH7|w6el5~ z2qAev8WMPCo{RhuPKx^Hc@{_l!&iEyM^u88zeoD_05AA2jH27#oaNvSw%7#AP(GXwhHa;S4X0s&Y&DmRW-4GcO{Jr`g-t z#WpqjONgjKl_S=dlspAWCu~~;-r2KMz4^LIrhX!b@^(HH7xfEfc(oN$^UU;aumVtAy>W&6k7e#yjF`JQ+@Bwa%P|xpQxTtK1yjBgkxugp73k0Nz znDfC^66dxpi#V7u&3z{zlxqAYQGe-}sO9#U;G(C;AZB4&g9=nV^qtM`QMsf!>G}#N z)sL?snNiEq-9TxRR1D#x3fBl&_ru4=6}IruV5cq#fgY(PbMd{d>rJOaMjDDJc|Ux% ztj$2D2S5SYLNGX5GiH+o!J0^ue<6>Gs!$tO6G#CI!5joB=MInAf00{~(iiz%y*G6J z4Ksc!Mm6ReS@Z2f;|gO%T*6$Z=*#Rm(v^-~)SlqkrqlE%8Vbi&q0g~?!q@>s4S4R`P2J}j%?6BUbWx8L%$xGujP2J>o;tuP#wk?SGm~kC0 zaY<3^$rB*nniY5;L(3#6ncO6do|@09!7o=|VSbT>V$eMI?Fg{wm7?SsN2g#3yO%w? zi%lm3cA$PbP;dj*;r!0Fr3nv!`{awA4Zzt7xBU1^P0IUAmHyjX^p~pqx3_dYc|zo{ z{}|+CDg61l3s$>jm0vIj^*GW}So}FBSUi-J)B$7Cszua4kCQzpdW+f30cG-}6;SWl zf}-*j(5z3#Y@cXVI|;6NF87&PdWg%+p8OvR8S0Mv;BJ>k~82WCUtnjbYd=b zU<0^rNd)_m|Lr0IkviNmzWUCdqph$@FM2J%D1j&DQ%yx7vIv)Zf2yLwK>-P)8=QBn-YnmqAe-8 z2n6h*4r6}BHv*P~P&`wuG_d+2MZ#hlaAUrOO&TkW1vL;{N!Er0j5;0|OyI14ENhUt z>U~^JH_aj4%A=rqi3P=+`R*b!wQYMOKsnSq@l>3uUu~z6$ZN@2Ov+7b*A_ zh^t1Yy8|sPypn6n3y=)I4<;mnFLOg#EcESob1Z*#<2O#z#!`zYU*lMO)HfpQU8YQ{ zbisprSb_z8cv~CDunfB{9jB**r&q6YZ6U=b=#p)?FfXd=lf<(e8-cQl_wKqL^-HhO zu+x+Azz+5YJnB`{MUUQP@t;7@U=pjxqA2XCUpN3)dRSwkQG?oLPPEz1)+O0+w3uk& zf9BNRSQ$}uK&$aRH!(u^3me)X8``>MPcv4Q4@lRVEXINibyc*?`i9+Nd0Cu%S=_$? z^^ofxarydRk@p3j-QU|HW==m@dR5oNY*-eKs@jQ|s*B?1GE+MrRz*R9o|gV? zi!-?G7G(Z6QAofV+k_| z&i3&Dh*1qOjIG_&31+#Eyue#xzj@ zV+uL@yM15mE+~w*Q)69-l3Y+r?bng31Y?D@ez_}y4=a9i2j&IrQp(I!1_GfUNbI|3 zy8D-k!F6UyM=!sh4IX#Zf$|!C4%ZEiwR|eE$jk~F0%TW%>ho?zvxA~m*fDOuuE!d? zY20o-X-b9i-Bt!gl`|>*GC%oRI=$RQ`2a;nz51RXnMfn5sB``&he{!ke#0Q84Ssfa zzdY;eWb0O6glACyBKVuCd@|sJ*%QKj^x*kmsb*jtp`H17Y7CbN&~&=?V!B0I_O5Q7Qa<~c+cm?dBSHl@5wc+FojRUu-NbB%(C3S_1u2rodEQf@KKUlaN zVk7~nVMete?45vyKS{V;L>@k=;aH_0Y!j!3f3vgDTi99MK@!28H5&hD_F55~ZrE9u z0YL8p^zIswWKbvUY;-?~;1_uv690pJOVk2JLDmx6;AqSR71-?GZW{hVIN7+3pM(bv zjz9Rz)wj`gq54;8J?)~9eCVh|5$wJB4%^Qt81)>@K2}WZ>uGPn1g)z&P5;3GP9fC1 z6^c#QaGG8aP!KFT$2jMRfBY&~;U9Z1&eRO%ey!>U3EE6^lI2Ju?tKGu1Z{|jhG4s7 zxufZeVSryX9?dGwIArFWVGm^6cOr=b+5ClC&xdGvIQ!mo5oH3t)iN!r3jo*xm^;3j zA7ppBlUcP?w0*KTRrul^ICwe83~o1) z)uEytxKK(!=0sc#1!TJya9Q3Pmr0FHF1Y%Z@a8QEhl*Pn{$rG^D6V#y?U@_7j}YEaCp%rA~BEFyU`lARjBBCP*Nu}s`mhlK?97vRp;WYjK+qp2;q~VfzUY4g>B(l@ zLHs+D@VYhiKb#H!aAtt6yJ!9bcduS3It1sO93TM+kU;+0@=78Er~?L&Fj)B$yzyZ} zqBA&%N96z+ApCdif@0A#Kfv%`&RW2!)O+xE==wiHmk=?5bBrvbM+MXq=Zz}c^5hHb zOivte7NEVJ1?D!dX91cHsw_Bg%FH1l2^0X{`%o7Jz}u1B^LkQ9c#3dS182~h(#Gqq zcNy(nCE%AJ=0b{Hb7{3mwS==aAoY02aNta5OxwVyaohE^5i&R>b!G;7sq{v*9Yrmjs?- zytmlqdy#G=2HufsR|Cd6I!78mfGTSjFO{7dAB>wX9cs>62V_y;@Z1up1Vg z=RUN0F=HRXUwuxM$+}+tv2MNi<9VIMy&lA9wptgBLB$us53r}g^kVi z@_hL9eE!LjLS|@HCf_8-jYl(dnCs3Q+(C%7N z7!em6`xIXRdKsmD1@4_1ub%l@*VcVbvDj&o1S< zPQ!D+$mWp*f5&CR`I-zk2LFz>flADd-FYwFwt73|t8cg;Kopvj8s8=uB5z0|5Ib>^x& zrGX-Fd#Cflf#>J!4EHv>^J01XbcPQ1Oc||bw?!xaq(RT%p{ua%D`wj|kzr?htKDFfp%@_C#}ZPr^(qh1RvK%7!t!NzhhPr-GX!&5;MOPmbza* z4c~oSGrXYMx4QGReYhCU@xV)nUbLiN$qqcP{&#S@QI0s{6;inw?BJ>NcV%s&l2 z>O>F@B5DPM-5}rWqA`Ge$Yw&TPd$E(iF!QX2VF^gcRm|7eDVAay7l5rlciiRyX6m) zkLxs+Gi9c#d55u#AZY=e&*m4%<|m5fx5IYG(x6t@G|D+Tc&KzlwwRV1Qbo|Z$de*_ z<>dC!y~EwRp>4yi?tE0|Ipg%5`-R`my-EuV<$ZZE4ltHi2sv3}TYzXexyn6aY!GVm z2k|?}ZvQA~N-+P3+pP0J2^{Q`K?!b-5VwiAI4YQ!`Tao&;%nS_8PcBn;Ilyyhwx7N z<+v~xJJhQzN^E%~(#q+$F#aRf3N2xON7TurLUv%D2F@YrMiLkxQNYcKkjv8N7(hBL zW@z@rg%!c@GzHtCxTa@lLh4uA9+N7Rg^6b-4YEVwLm^bt>)$3Fumi7F&C}}xXt{qd zKn7)DIDAdPk*Ets?sljE8sX&@>5#ydqnjOSa9iJ$NH8Mzb4I$>E}@^J-rd=GN9g#A z<;kLLU4J23s(m~mv>U&MuMaT{_mCJl4ELo#9`pyb7%UUC339I8(bFAecJr zmcUB>IYz#pu{P#aH&ZquCN?_c=Fn3XE+#fI3{=@2J*;)_#kQY6BI21iZgm`9T)*C z7v6+iQaVr;1ct~IiU^w-5cN1G#2V;4KfmU^z;&CShRAgYY}Q92V6z^8f**;2&3Zcp z2qb`j_bQMA!iOn^++=y_@@7(Y+~$;XY$+T%%bJ(=G&OUBX~nvFb~+J_xM{SydQLh4 zjqX>02M{h^1%5yvn?VB|nFlo~lSs>Zl7y^cm{49=86O|X$&L-d)^C;3%1Mn5Jp%h~ zAqxm+fKVm_2wQ+~Bn=1)hAjfP8vUga3t`}kcoC_Ysj*VGtUlnGM+82jDrZnW=%d*= z2~FG#Iu#VZLi?uj$+OSc!QfT1=HJM$1PP5*%~*qUH(w^Is&0V4WMm~hNwY|_Gt+hx zsxD|eLDEiqc%rB_p*2IMJ*po8$J*;1;dHOIgVj=v1p*GgLD5dUO?SNBKnT+;km>Hy zhM?2+(S|Iq#WqnLU|_{8(5sBXs^Vveo?8rKrrlCWf}gIMmwBt2g)Mt{)JwCq-zDfJ zPz+*3bl0l)hH}%ZAJhJ(=c6N3(vLl@I?LQSiSsaulj;!1=dEi$YV)5BsWB zb5`v0qhE$V3BJdI{h`Wlzz&cCv@7B_;ZQ72n+VdGs>DkAJ}Cch&A^dy5h(jVSg%z9 z4fHqk-|BzWsyTgu#ZqFyCa4la8h}Ntd=dh*;CNMH@K>!7@L>eU%MpVMfkiAff=^ST z1;>jMgOh2@8Ih3ygFNRdi<zy-1&#Y)n`Ld3K^M z>^D+tQ5L@}Wrg8q z!rj%ux|4NbOV~i_tqPE8B4+vtYn>_8NnYI#8xuqGP7idwS4D3E9?|NU|XI{&iqk6WA( zJ5Yd(Xa%1Md#T8^>)jpau$7F;-ND*+(xbaAA;#9pi8U5iWns|t;rsh zFjgz|NP15#S1!y4383IZKV0lQ8eSYMpS&@Qzp0rXzv1SCk{oWc@ddr#VV7PLUDoIIWXupw37ko&!pR5z@YPeZ@gHaWfC3(XxMyz8SD<4q$vd%1% z*92#-Y?%g34FrK^pmF^OUyVTf=4&QO@lzU(>6k1irKzbMzB-l|OT0VyA+}M`^(2}Q zqqes=x)L_bwaH@ZJrH+Q7t*MER(sq}*1T!vy0drbgVNu@f%o-K#Gfy*Bh4|Zi#Fu^ zw+}heasiHXhK71XbVb8CA~ zga}sFP|UTCpR1C-s!cxyKm`%}JCV{b?{HoPFKtEXV!*{ONIq!`?cxM2`lTGzL!@Y- zql^R|S>#_Q88L_%S{wt|?nfP8EAs{?J139UY6q>EJlX-rshBC0v{BTWkG8iz0PiUp zU{Jo;>I9c$FU9cirhoIWmy@0JNumvm1mlu7e}RcQu3<^R%{wXM($o2(|FXnDci5d@ z-`;6en{jPap0WM)`5}?;TekU{F2guB9jA1!>K>sC07Mm!(hTHHl>=Y9O1F>kQsGY#r& z>Ep$DB-7~TCB@@AYyA5k$gQ|xS{_hq&!rcSiXc(TMXv$2;EtzUUjxckcriEV!AK5m zuJM#|-0!}6?<1~xBbeN_x%EDn4c`CLGjiWNCd@(bKp*w|3dsLD5XnSnd@$`h48nKc zEB2s;W?jb;H|AS#c=CLAV`;0yCBEq}xxYuz)^zd{O8nGIC!|W+$Y2RoC+>D`o4J&l zkMyK+GyWZI`^^sAgAadT&yZ_D1nc%(5Z1Y<3x#w+3RqCMpH6b+BtoL{M<=x93WHU| zmG&Vh{+`F)`H2DGo~t|^oGf*_t@P~b<{TYZJ{osf>}_aHo8F;|B0JG%stHrk=(YMe zOM~Js^vd+(2NWtWaGLt@x=`xV+ zM_w{K_|TW!O~$(s*M?zN>wda_CZPQx zSDb~Xzg$S<+@@QNYz-|rBm>&T2#M+=s`!sA88{i-3h2?{q{{2B{_;1Xyz10pu51xT zT=5^o44PK%fh@`}^K%l>SW8%5%wG4A7oiZtYZ6iB85Cz4gz1&ZH!y|2Rhf6kDU^Ab zAA6-YVqV5KmFbA6X-TC%WY!yx|Arse#FneG$Z0}yhTxZhos>l@km5GYj8l4F1tu55#RQh&{4{loi2DIgvibJr{C% zeVRR01kBr4Jof0QEG8Bz4L-&e8>f(BFTdD_UMd9YRt4+>Gd2+vHp8C6!+U9)n-40m z*%+!rym{un8Y&d_kj3}>O*Z-h6Crbg?9(mVvCdsYjurZiGqyoaES{w5VOEXde%SG` zzSFzC5t!X{S|9yM@sS**^*R^bPe_O@)37U;5}%X?Q*?`#!&4npDe_*~V}m&wjRlGN zv4VRj71rP46_}>QLu9sM{5(r)dvUYTQ|~Az(-NvURES{t^?@(l+*t>rq-#O(3o4!W ztW~xao{Fy(ExC5jp`^GMWTVqyQP|(q$~Hi_{w7O!;cEc2wVx=Tm?f$d8G|ea#U||+ z56KS(8!05{a`uZNu^~U<>b-C3O3T=731K$my)$?f*t*&-2R?MJ=Av^XOC-YGVnFr?Dwn7ypk)*fjqTHF2CO~fcvwjIaYbB#G_J8#N}vkQzMXN@V4kZHuS`GPNr{Tkq)-U5M^s5+^3W7O)!esR7Z>#`n@`9AW4>%?O%T&Q z?9lnXF?4wb@wAFW;`owo$rkI|2Kl}}`@h!8C|rV>XIFu-#i&PIelcX8`sZbklDy;F z1u^Nv4=oA#>j(`wLIxZkju?E}haXB3a)bB_KI1jy4guJk-wZP=a(Wb=1&Py$3}m5^ zIDjun$U5C|kQ@u6*dM_b!(&>IGhaP_Sv4OVcY0)s;P!Cg@R&^M9&wJF%O-ly;Cakr zW&}Gs%dG{LUVCx@$&WnElier8lTYaHD1XFkw?hiLqpl)T&`bK2)FA-8d}WogSEp}i zv|vQp3GX$dWG5$c>-qM;C3QE;vMgD42@rT8N&3$rU=FKdT01)A6Dt3<7ef7zIe11y zp4$Pz4{@9vtmFX6dsGk*ZGX3XZ#3JW@!{@UAzEj$F=>uMQdKF5rS-{F|CCv3-c4f-p*8 zIfI<2pcPxfg~lkgcFjc>?LW&e0nG&yN3g@`*-%{pH@j=U1FL`Z=~LKs>2HOlO-54N zeqP`<%urS!vHts=wdtY@8IG6wFJeF>B6gzgoux6qPb)U-`IrrU9wPLz(U(Y-pm?p1 z1k5DSUxd-c1Gdr8EdrD;&A4NNr0H;KKZf+sV7ila!js1oCiB5Qh84IY9IKmP8(92#>F8`bc8`R^tV31*ViZXIZ0J zf}{nOD<%kGgTKR9G6GJnlGs-?@cjA_o$cdwoh531PHv@&M>dL4D|-llC4fjAUY^ef z&U{KSWQv@XT0}0nT(pRz9EWjXvWo%1FMM*5We`H8_!()E=nW4DA~A{Peq}|P>|u=5 zjzv@uLz~JR`Z>P~d)q-9)9n0lha^4KHfFMz)_+8^Sof1%MPK)ys@{+Le6!4^S5sLh zmIL%(jT<^XTyN%!J$`*~WcFOmUF{YRKnNmj%2@g=+8{v?wC`*-iWY$AC-EVcG#!mk zF<6QF>`)QjB3q~&@UBYQO}-XM8MZELFM&p1U|ZlCi-Ah(OHtI{;u3+d#y67&BDatR zD=pG#Z`dPQDckz&%YWbPwZrQ*|LKKLZY5JC>G>CL3A>Y*@`GW*cW%92 z)+JR>3o=_@rl$1Zy7XWQZd62WRF44-oT7QxAnYGRpyM{CmT?d5K6ltnd)Q6p=T&3O zRbzBIdmB*D$6606|I5@!>cG($OEOzrJg{-@&F3HZrQ=mN(1PDhspkd0Q)$Wrmt8=b z%8ub$8xui>i})wR@PHmGG9@L*+&LnMwMpKafg-1>QZ%QEG(mo0Pe6F`XK@9uSqd~S%;uY1{_(#-iW(ieE~(typagvV|n2hxG0Se_r+Bt5D0*n`!I^jOX( z+3mmg3}Ar{?#$l?xsL!vdtFEV&hIFE?Be@H{#fF=Bc&d^&-N>_)oonCcIr5LveG zyJEC0%N`1YkvvyG6lxf z4ng#Dkce)=UB^-yOfE0-`736K0YKBD^)&s(u?T!M52Bej(8VemKZKFJ<4IW`CW{>}%{BHyE#WLisbV5L6ePyXKC|3{p!W(PJ?7RigYMzyMEG1nn7hXonbh4_% z57T9L+*Ltth*6m<&#cqO1Z%A~IPRH0D{d0n;+nRQDBGED4{-p%`keoZphd zeP$pZb1LkdhE)fY5Z|KkyE97YGu6wSXK#26uLX`BzlI~V2?n4P1)%n0J!<5bEV`KAT(gIdo2 zX~8mZj?PxI8-fgb$~U{?A4+E{0vZ=tkp;3@St6G_14G@S3? z9uwuStRU}qhrOILB?wz^o#XAGXHnxLv+=tdd=C*D;b$(U1<(-4!hYi-8zBW{D}?)b z_g7$pEn{1Pe@PSW2KZt)$>^jyDnLI+kzfPV@pWJe914hFOBDFKzImunSNcyspd!V= zwjIVa!K{~ypuueLT`_!8g1>U= zvDILM@q`Ajfpzi~f&b&aP!{AKIZ+dApMYV4Dx?t_8L;)E!JiQBpyWIk?qEv|BqSCU3>2CPQa02-z~Emk>GiQbwH-6xJz!f=|K$LQboCo>o=e5}rF=u-LK*cal}r zm>%TYwr%!!G2|$FpOx3IkfE?dqat!3s9oXcLMXsRprdNgl+kn%grKXZjfy%FyNdpQ zlVA#9#x(gygJdM`jL;7up?)v>?SUwQk%3r37t6HRlgu~>QEq}M6bLJ-!&vEYtDFiE zS|&8mk9#v2mGiTrxXeN=P61Z4TdvUr!Zss>Lgxt?7}o!>ATM-EhKBXRNT%p!QQ$Yj z69P)U!RRE*lm03|3+bmoqAM9^_hB}w595a5l2dI-8uiS0AK-jsKG-7qH6;I@@`iaV zd`n_E?Oe4tuxf6`NS(v}gY!lCy9f9e=>JmzOgY8_TvV(bCq!8{HOWH^njB{Rx9zwL zbdnt?_Xor(oh)k=pT7H{G4~==Dw5>l<)ezm9|9Y-=hgIR26d<=Bz~Vf^}AqfAITyy za{X9keGsO&Na?t?po&QTT>(xfxfM{fAE}fN@z-3!lJLK8HiVSFYr@J4{k!77?>-3S z|N4N=9{8`*_b*!ijgtKz*8{SMqz)xFcb>Tul2%xC|9dxD65^Z|rS91jx<;wC$P4*%mNgd7uF9&=J$B-(#JdG+__(W?Q z>6NcBU7tD-cpRxG;B+8G_<`k~5X1mmuV=N=W&}bWBsSss1zNan9=9S+cr2KB=_1%h zS^bGmO|Be`EIXS7y+Olf;VBo1<3b{;^pByTe}04GsevxOJ@gmo|5E{U@$I2Sd(qUA zIRqmyXPE0M2>2ZD(2TAp`Fn6-lW$RgQQ3;9Nlxeq8&2VVh^FpRXoWM3nDDsy6;~0| zR=MP2{X2MatZM;-0>2gN2<3^VfD{Dhtj~%~>ID3le+R?O`9{SFT2m0__9K-i#O(_F*xkvoeov+W#rlz} zlF&BS;&kSDoJ!2Ntv?mjld(;+KL5&W4AH9+ckffIRo5bq&l{LxCpyS3pOk^NiUfhJ z*pxao^I{Q}@JE%a=FIc`>cv40*;9*S$|rH1T~L{urcX)5Avyjijb0WRebx%M$RhEu zStbYHiIIDp-1PavwH@aT4ibXRI086#psP|g?@3Y*tBnW;*Zlw5csBiOEwY|N)W9@HEn$J!^TIe*&<(pH@#lfp>tWLV_ zr<&tk$Arou9q-O|oIL}fMM75u8fnjglYb_HtpwyoDW9+T-DtN&-!o1y5zgr zs#$X5*t~UU_l75YfZ#u4xi$tE=`Vx4vw~IvS9c+MmR)2s|9cELFIz+EW?ZP2O|VT{ zk3qnz`6X-T;caN@Rj|NgUa;bay&z~Nn{mp;_FHdP!22BiHDsC`bPv1|QCWza0!4IR@v_WLV*cyr50X48}1z{F;q_)Q{f*TY{HZ3W39Bf&l*)@gI!Lt0JdA!@s;HT z`x=yBmd^8mR|T1c*08c4lF?b*%Bo(53FjK4EzWA;2W|ZEtz-$Km4ZfzQg2#TPML^5~IsJD8jd~t2g5)4!o_2z2LyOjg#E2{=>E|dxdEuN>~(cnYleEwth z!@3haOGENdCV#+SbFSuT~*l>6&68@jD(fWvgLKr+IqftS0Z3~?( z@dOn6eD;cW=E6inA-=|+`z)@$YeJ>PdQ`~6+p6w8G{!hyOZ1?pN$@>!&u9xa zu=4f%`Iv{;!^veC4baUvI%^R~$D|%R4tTS)cd0gpN8}wh6+Ca><^6l;=rrC2aO;_J zmhS9a{?`HmvTo$jI}Z<-)aOd$)SHwC;?g5K&c(~76d?fg^zvl^qsru*HL!_k%+D{4 zx-Ycgoz}FIB!JYEdK2dyZJ4ymaNLU^w%TIv%?)xh_oruN&$98i($XKSUX#zt-p1}l zI-rqpV^iHK+hnF_@8R9{_6&~1bLaXuQ=sk5yF}&Mv&8yrJ;|EK?NbErq8ICqphf=) z@#%Ql5omZ7`8p}n*kkc^1|m&})M;W+2Ebk%JPY<%3a1yl_ejhbSv?~< z=A##^x+$Y+S>orKM3gNJ-_Xa6_+@(9>crgqdVRtwOZxE3wA}OIbauN(oaruFcDFe= zZY8CPD(;|k#Rb-(HTl*7Z^tjSZFS{|-^l%M#3!yvl$B+#=H`a1@kVNfqwW3gnI|V> z*N8?&{J>*x#Fh}9lMx4F!T0tArfJR_M(a%4fut659WqAUJySZxn1-p2A08Zv$IaZZ z7A*LvJwBCMK`H?jiYqQx>G!4N1UoDIu5qPy99~z>uO5k7kG!#B`V*xtu(0>cpcNTs z?~5d|eMjvy(q9sLHN}1_tZsU1b1VuP^tUg(0O2RmZ=f|BM6J9E$?Vb7pB#vE#Dpkj zMI0F&9I`s9ChXqoJXkVJtXtP!k6hAr>d}o)1s5aYUhW_mPI@JpYvU|#FYg+fsj1aB z68x#A{a4n~xVLT3x_07L9&GD51qV>7G0xFzrRwjVRy#3A=jiLx5tJ?~sC6@%_cUxV z0nxW-(??SduU_H!2GFEc>}Ljdbg@bO&kKL1p8WDWNz7utg$MS+6j%K&lBPElku~+m z^&jq+qb}PN=T*q5SlRc(fqadIUs)y>!WZ;H@cZIX?3~E<4daSoWYgh-`Nb4*0V#7F zv_oG}-5muks^UpKW1{{G|L|4OGH>FQH*kNi*Sq&-YAdVfROfPi`duJ{@Z*VWLGb8l z=j)6$$%o;ai9Hj%(l35ut_&9rUrhIdka)YDzfjqWhz-YLy6;;8lMP#96-883!Q!6XRI%@SD4hx`3tDs~17yy9 zD>fyqnhohtC5O<8gtKNR`?nE-uYihV!yHtPE20&V^QmtD+sHVaxuzF)(J;b>xvw7A zNGqcHQy-}1|2<+q_5HsGsOet^Gy0a*!{)yRF)y8NQ67xlJ6KkE3gZs|O9;MyQmt{? z!Xl4=p7P+gkdXI*sR23 zJ7x@_`FU=nVMm52Vn2RW>2r718!hPXjfEJ3JG6&zqYz0Frme}$dW;Y0lNI?m90__l z)Sg7!Sf^naRBX#!FCA}4eGw%il_T8`rK)m-p7HE0teT)_R!+M6B4Ik2F$0w= zn&azWs@6h<+%(<8$clJBpW^-qGbF9ZOc@M=*Uy8WBdk6CZ0*jHq11>|wB)c-5Y+3a z#itH)9OF^(mk$vzFCe!sVj)d%&7QB$NzPzmls&`QqdIo#=>txANVxh(J=>m9WHtYO zfS|T^w43_LnC*ceXxpPDJ2=FB16Er4*W8PrGNGS2NJ3)Sj+HiHn7+?9b9^(1xqUD~ z-Ro}vI3FoXLEk7&>aGJ=c{O1zLwMS+UXgEXM;K<=tS0d;oindFHOL|#6F9Li>Q2(@-ECc$n2_4ym%8ixj(8%?Kh5ELmA)YFT` z?!qn@Lr9zIN3Md@O+4e+YK2*h*i;2(^qxW<7}dVPbh^!mPYH~YWrKJ#O9J!zg;Y}zG; zKdWf$9@95YJjt5mvCVbM=Y>_cGt_?2y2${@Sf(8^-4#OfjL)oHhA1a$V|>XV5aAsK zTdexdaN9n)-xk&TWO`BN&hy>di0Vb!2eeU0i0Re)8kHMqGz4Ap!h194`{;4R`LC-r z>#}?0nNY~;^*I~#sN@&c+*}2@bM2hi<`aww(CQK+ zI{X`%8LZR=>~AD6kl^h2aQtGBLr(x5jcfjQAAHn+%uU}39wN9NAChcwsGP!(Y>O{r zZ#nDeB7Q#j7y+4veiJ-Ya6Q?eR_GSUXjnd9CuOt{1EDXGh!Ar2Li&U_P=@+w^5p&< zpc}}R*nSl_~X5}+yyRhU@- zuJ}S|omK#@Y|CFh0#(@36U0M>IJzf^M?ot3Urilr_v?_+PM&CC7ebPesC2hB9%Vu;QpcPL5f6QYY6ilQlyL&$;qI`N@}UVIUaf_=`ej)EaOfPrAgKgUFh zG4k~cx{6!3*v(elb{48S~px zImumsoT+kcFdLZHaE(B17!&b+iw1<(fS04g;|V2-?s~`*@(1L=e*W#BW%`1*)>7oB+)6Iz<>7Kj;_wCmjscySX^F*jQ z2qR%mrv!gdV7QDgCz?lO3UCWygsS2wlrxr;Gj?fZ*fa<#U|R<4iDTEzin*57+6d9) zDv0ocSf%0Ts%FbL3Zi)x736FQ|7x8BwW`g-r>g&MO15;ew{c=#J+yw33#*ZL>W*Zv zEDgU<#o?{uQQ`cUQ(VT_r=|0E?NBqJF=|3t6=$n_tlkSK3hDd$@h4_sLf|Z=a_Lvg z(y!;LNx$uiVoh=szmtbA9e!w;r92_9G-jiNevjh4d3`KY6H@FyD^NoIyF$JCi!Y28 zZA{+5NjYB%aw!%~hdv_okI$_c3Dl*uXYJeiRd;$I(<^~GkM^t?c(-*xwoU?08tqvr zR%V#H-iei_M+xRx@l;}GjM!vJnhPinrC+Xc3H1y?QR3{Hpg80bHu+VSSnzo8XDtbH ziuqK+?vyQtP4~@}Yn=iLE|qClm43bOCrEdoClCEYy}eVW1DDXJlMAfG93++9qK&E-FQ=0jIY-)4y+}X$?RgiMqHlTrL z`7Sf0Mm`Uhv!>`@c2aeS`3w!vhn_lgC=Pn3y+k5H#C3GuuQ91LuHUSBw%e9{t;o2^_%$f@$tENmm>M032)#&%E?|!4Jo>~t( zEB)1e1Ih4AQXF2e?8yJoeoeh=zdCW)fq$W}Y{u)2$?p$$(oZrux`wje8%YZyo{XITyd@n_Pvj_8VmY@S{O>1;o9S{t3Q$dw)C;Mbqr0lJu3Ay0zkENw)TXK(lT51~%T z%8;Gj5_CuF1oe|o?M~H7-Ssa~%GfQO)_HfpQAq*F4`FecwcUdF+1uRCSCHC|SCQci zcw_c{Yp77NT&Q1*HFSH6z5sE>6yLc$#JKBwl{ZYPyowL|UtX}<$&sH;Q01?Th{pMk< zjV_)ZNT}yzgS2+Uok^X$*1+90c^Sn-qK$4n^@;WGrB?TNYw~-#w!wD=qav%-eNE*0 z@Xe2U50KW8Hm}wz4%JL&{pgM>6Bw;ozDl_F8k}>~E~9PEWDf&KpI^khTkpN3wCyob z69lqxzgQu9I~z&`-pE|r=BW=QSZ-2;sAyjwLmCnWgX&NU)rWut>P{)NBeRKGR88JA zCita~9Jw@VvdGjzWy2!l0_HX~Z4~AXr=hvpI~H8ci^pGu6Bkls2l!e(ec&O`&|%l@I%-Sr>B3qfFZA8o|)a<@)e~}nlY4EN_#5eCn0Mb+w0>KXWupo$+2+Tw!db3+-{k}QI|c1 zkG?+_l#N_B6}^P0sn`+A&8jM%*q<@swZa0aKd_To=T69!iC2%I#dgo(qaz-J1lt|0 zDe94Q8Kh*vvFv2n<{&w?uOn+U{tmZ@D9Vu~Aj)uFj&1pjI*BHE z7fkACk0s_d5<2&BF?w}Jm3RR1b86^pQ4b#34_rlZLto8EID!y%j8X+ZR?+RMZ1En5 zLXh_Rvn7>kYdx#zoNX#B3n-p!MY%Gf<3A9h0t2NN=M52aJ`-5G|(YW`^;sm1G$(?Eg`ozrVcaa<+ z1I+%FF`WX2>vkV)&|-~X^ho`^dOFs)mq>E^kuuR1K@Q6Q+kp>xP}Uojc8rpy&KiTN z%N_53E=FB(1H3&NG<9F9ua18_NN}|1lqH5QAM?_GG4i}14-KC#?Pay#@m;cm4Q@Aq zeF~mFo_+0%H)z#*j%CG}8|h{v;h97{uYZi zQ~j%Au)4Hz+p`~$TDpv9iE9oP<=B8RB-;nzBo)Vcf6t~YTu`*BWs^!CFbbl2-Vl3< z9PHt=8(A1{H_drV!AuzXl=jWjMXRMf0vKr+6K=mp$Nib}N%1q|$goq9@*nG?G+Lcy znaL$nggFkSmim++t2EjRL8ZM!`{6ZabzA)B;qF^${Fu=`^=l?qQ8c^6kF$G6c4~V7 z-^fr-J>an(^R=w>>Uh^yMHJsn@@2#5O2o|!E_8G?WGTHW4=*%s)6o<%y$ZA{EpAiU z1XRjH1C`i}A=8m+{#FXcUFVx))qv|lBqZrOv;@#GZS1;+D(mJtU69%RqJ(vElXkd~ zuvsqGyE5_kxLiyXXc(R&l{;6<0DyMKYFl^uU7zB|F6ut3Y&9TKT0ED;8#&LRRMi$w zrLHFH@F$9|+$el}<$HFM!D^&AWD67>Q|GQLyozqu=*5TP7E!CsK*CDhOcOH~oW(sm z9Gq8b?N0(`dpJ0t!Mw$+SF=)4{tc<&fW%^Jg@r0UJ?->WGn~ESZ5-qdUBLRs_r9@> z@mGc@`qc4ga z`rLna8ro|-O)iUapMPtvG6FDoE_>X-D5YEaMef$my7SWGBR#iUJk*+kC z3JW)sU!En$#0X}C#iS8lpL%anfRX%w#k2_8u+9~%eUp-4(*^8I*B=-?(WUaPdt5$m zhJK_UZcrjNez1)cFuzetJPUgGX7KW@K?)%F{{%$pzeLvm-vG$^FUbEl0QEzp!As=- zwGYCsN8Z0l7B--#J8}Bna4u54*xb(^+I62{?^iOc$$G`?G_yZu&+2KkrLEtYrex>< z!xGiSa^tmUg*Xwgea}8PP%ba*dmi8JXtwi?w=&H7Tk<>w?z;KX%qS5{ufDB;4~MBa z6gOm)a5|FySt6@utelgVR834f@70oP9gJ3~pgeNLE=;Dm1q2mTY8>3!RM9JPTx(eB zJi7{9BUdbKy|Yu2zRHFzq4~z$Cz(6<}$6xCD^Freq-Qd7=N_+{RLI1LH4s5_KfB(`)n zhsjv)Ml0Q!4`kSVYe{C~muKg9RZjJev_Y5J_t(H5%v-okzL{SJTZYX2ag!JP=b3Ln znAO%sQH-G>s8EUL=fl;`T}<=AC9gJ8XK)MJ&zEw#DEW&HPBTr{`a{#mFj&TV%ZK7Tn+lb zGJ0FT10_KoS)1QI+JgEve0ulT1_Jg1peR(3iZ*?TcK}e7>v=k#i5eRlLCCO36~6D) zx*(TWXT_i>lHNH%3Kt!yM!!MvcH_~3fEDk+6J@^hZY7YTo6iZL&~|O#&%qW8_4&Ig zh|OPC4DycseqzBA%6#81oZej;y@%2F?$TBnYYxyYmp8e z9oF;jeG*r0k3Z|ObX^p@9vHeTY0OJC`MMEgxu_YuLb{WMy)jn1DZNV=K(9BWmY9Vt z-GC}M(qQMoqFQ<@8LcG#vITz?(?POwl8CN{; zuZT9z2F}QfuSXW)JaRHy>u|9;bo0%amLP5o`8_W17oJ))Jx5*6#?5dPVL6!`s=wKO zQ*t`}-JnrBTQk1$ZCvKrdQukgKqYs+)EL>_$pdgo1c^%IT!OC-j@Qjy zF1_#c_fno)pX}i=^t68O?tG|Gq@}o;6SgV%39RjHc(4?gmn02hI;Cu{I_1)GvW>Qj zS>})p5nfVwDu?_a76>K6%KdvHwcQu{ukX+nH+oravV?Ed`D*j{E=~AAMwi{i|1qfzYL!fuao?#w8_b^d_AXFV1T@rXzA1wE4k#SaP%XhLr1?c7`6K?wW-{<8thaJu4)m%!C!ma-V z8A12nuf87LwLWxK#$}kz7NT}$S2dHkh6Hi)rc?@r{JNGkZK2*DESrU&VLQQ=`r3>Y z9$ehH#rF-lXKQBNH>>dQa~QCwe$F*rEicpG)tT4gff06~eqSXQ{~K2X-RQn5eAx-N z*O9?9{Xm_jz{ubkG}hg@(cTx_pLT>;T{afe@|e_Fh$MqQ>r(Gx$e_HTl&Mf{ zuR%}tP1`lA*IAD`^%z^gJ(7V}O%0ce3esucu*qGw+&o=c+dIbC&hAjF`A;f{(|~j`c6Bx^ zmU-m0`bYDx4uhS8Th=E=#s$<$s@lKAyGyk^IxDrrZRt!v;H2OY+A(NSksuaI&(Rw9;5=6->s#BpnOFzxu!5~PEQT49E-c^-EO_vH5H^U|x9OrqKDp$qIL`i4ZAh9p8C)_ZL}OQi-)LU5#64(zk!~^0a4{h_9_& z#McQbX}Wfcl->666p1yWfYpn%y(NAY7m7=sdX7Qc3S)^6uh*3MyeRBxvlqmC-(S07$AIhX zhju;>uhvlo6E+kKTrrLK4A!Ji$daqCR(Hlc!=}?}9Im=VFNvc7z-t2Es27*@%;NM=YhSwWrWZYVgrq5mxp-5QBo4N@!g49_J2OS;=vfdh@2t=EzYFm4 zRK;$eDsTw8zi4iM{;d6UbiDo|#KCVJfVL+*@HRQrX}SC6%cKYMw6jQ!`|uYdM>*S^DnxJ5_MNT3(k(vh6E;9sSB!mG^}o@oZ%2m(?c z@hCKXatXMOZ{$tbvX_cY|AIn+;IDP_N9i{B;{HMrf(XqTy8?Gw0?E*y3-=c9rgLZ6 zcoAlw%lS@c9`^vXG{nUz*BBTP3#K~Z@l}<|2d#&t$Nq@Sl^hVJ<UP-?iOEhyJ4f*>{GL#X;S?J0ZqV#cG*CLYTA&&Lk-q__n>@Ox^jQ+EKNPjdwKIU) ztZo(mb!<$+?o8eGc4G^`%6dyXfqHwpxwNzg-8Whp;6~|W{>3IUSesq>wWLQ`kv7Ta zR7d!tN%Z{qeadU4t!s-VZd?lq$I{eRkA^r3A7G5Huh{L{`{VK&U#a?XZZSZ_jukB3 zKd(*(2`lP4scT1eyjZ&`8pIezB2?-U?ES{%R&^zyo#m2jZIrU5-|^6 zXWwIAcufSy61!=lb|Nr0P?l{}cs5Kcg)h5w7oEMTDIu~+-JvJOET}aI z7I+B?ehHFz3F?0dqHf%<1oOwlQ>FFJI2kYWXoh`aD%CRNqQrh*m1roKh^$(z2iEKT zEFMVI!tK7i(?Du1QR~XX)y?7D#bJan&0bPJk=wrXewG#I5hkUhdWK%4yg<)sLJ^Y(sCJ2xqmqLzDD zUR7l%EuR{1o^1$>_pW!d+%k0$ycr=})?}{#`osRJE=8zf#5!RepBhq6#wxQ+MPijd zWx}78Bi1TG_l|tNr09fdzE1d$_=e-{ue!0J+Y?2z;rY%(H(I8NuPA>i(oq!U0jE4G zpHI%AnauglN%2)>8kISoqMJXEsTXg|UdJpk1iQrCV@V?s#D=XE#JTFp5>b=1!;;%m zG{)iIYIQLsF$RlC#FTH-I9ZHWBV+qI4@gqz9?K5ghTa$*0wjqYehw_o>e9Lk%+TKm z3#US6W-n5)K}kWNxx0V)fW|oM07NM3K#1k<(qhI6?|W+(K$A5O`I+YnuuZ$ilsAr~ zL(5`jP{G{KF3LPDeB0^$jS@w{(kB(r4x8N|>PV|Zj(+o5X{WbcbQ4^fj2XE2Vi&sq zb3&Z#@K46jFh0eHb&9SdX!oz8NpqYZ6rx2`bmvH7(Wud1iBeZTO#V8K6a{9KOcRpW zB`Lb3D1MNkuS~wXJlEanEf&>0{S-X(xJKJvGG2dKI&wMDX4w-^(JR|f^<(3QpUKyq z<7+Y;TY0EK_szN7_f*-$er>Tgi>k-XvRM6bD%f8P5@6CZ<#! z&u3!Vh{VZ<*25JkA4W$8vUu&QQ>ezx1|HIV>=e}AcglpC-bg9BS;|DkVzYCa1WObg zMfAtk{d_WALsBdY7&%3B5D4pwTF+O8Uz=KLJ>18?J~(RTQzT?3ZF)g-0&M(jbx+dM zg433IlOyZObSn;xKcx#R1{6t;!c1YJ743`Zm%M(}&X+_gKcCRAIB+E*fTk=~0_Su& ztcl*H4Ep7xwjmdO&bF1NbmnP4E-OxxD>k2ToRE~?>Wm`E{+i+srZ09p3}e8msW3M^ zr@=~U%3X2c)e2sc>|JYH#(8fBYci~>d~Zy6ZTjHy9$e!;!D$aXL1gi~&9NwMZiHpS{CH8xh)pJ8cUVg<1KVY6+ypkS0AkHVg%(`0pG^~^>7 zr-MB!Z|fvSFK8-~ZrSkoe3s(|Q1{>I-W^K)Qc^Q6j&TxW^_M7aoJsQZf+hkEo4uRp zO+;zybg!msUttG*g~A4gm@d=X@|S*j_$UuHg>36bD&7>AHhGPasFZr44tA#IADZg1 zO;aD1%(jKTw>njpt|SPH7vGiv!avqkN>&^mf6_^-dCbX?a;xVldmYk&=Djq4z-|3+ ziZ`{D&T2g9`G@jq^9_~G!1#e|$x4FaJNfN@lB55FTvqdgboS zm7q8|c|BR)rJv@5xTe56nd5(wKmI4#>EC36|3x-?=L-B!F3Ep#1OLq>{$E`4cdj@E zEbyOEQ~W16;ooHP|3$WVC(HiNj%ogr`|ICa>VI=3ixcf=)O9mb(8ASjg8ayX%C>BP zkV!DT^xE44xRKew3a(H41}QHs&z_@CEjX6O>#Bbg#~GayDEw5PYbw&zqcR-#m8A^- zw6TOIzG#dSsAj5}lj?pttEoL2P74>4b-*%q86Mu5B}R%%`PTiJ|4DuFu$bIH<%#pQ zj)8YPj9!Db?E6mmE_esAkdUz;;O-0*|GF`yK0tVPN)t>446jZ%JDNp+sJgJ@xmon@%j%b z$;lWHV4z`s_-sHJJcL1r;n9vy3=pXmD8F2i!Z29KiBH^2b=lS@_;xfKV4cZ$3c~(q zJPo8C*l6M$APGLw9rXWL;n9x{s{Exe^!?gOC&6G{OJ{jLFJ3aTQ{@$=FKMm@PX3oq z$TRkX-c@)77ZIndE)^bu)F$YAQbA5G^ej$piwWcBnnjC-$%GF2Eq|y7oOB3fQ=BO` z$oP{a7ict1dvLjA5c;lIZ>0HIB{SmSH80Ze^imhwRL5Bri&cKAS6sF3Jx@Io4e#Rf zC#er6=9~Y;i*`%1y8dfmqW&}Y`yCc3uXki7Z`g6$l<~g|`qPja#LrzuI0p}TWuNlI zjL|3s^T0xp6e-PD0rS-GbY{sV&C4TlB>z|u7;-Xy=Ah06Qh(;yPN;7E&6v)BJ`!>0 zNPNjql4k$V7Swu z(?)`+Mgr1Cf|SNQ8(MOF7b7SaBbUFC@P9A3c%hK}^-M9d0d7`H3`E*Fg$OX@06kNr zN;v6(P&_qg_=kSF=095SSYRCr5C+v>|HM$jqLM>rs|nS6;=-dth7Hz z(>$?~`j*XRvD|lKmekRNFI5QdEOk$suVfy(!ynTg?$ty_L#r*!n>&YXtIg3w8Z@sl zk&|OhbAFw`0Z!Qx$bTaymDsXR8>aTz2t;kvk2`+UMWU;X&x#kGp`FV|6Ew>GDm3?5 zarje>hZ?{$l?Ss5Sy{M`%FYqzC*SWrlW6DR5*uS-!7H4xuR!fwq(kAZxfCT|=sr_S zXql&T_jjzyo+iM(NP_>jh|TY#%%W=hPXX@v68tqHHo!l&0ZV{;nFN3Re>JB#ag&YH zp~0!28br`qq$$Wojr@A3i2k0@O%o&cb#KNMR-|#PadMKoH>ijOmPR(xDtbh(dOJn} zn+$>H`xZL8YRY~`;-RpW7$paIWOmS~A|EGBoj?c8vwdBMYl+<5#YR zdv^+ZEzRD(*L&O#8=IZiqGCsEDro?4Emiv0rdWv0XWqQ;8=}~rN}-64PnmW_Q$#fX zKb*Z~Tor5IHcSXe3rI?L2~r|0UDBx_T}nweLrS+ugLF4YcS$4N-5rarcb5CwdtdkO zy`Sg9^My6Xc^v;dXX&hobKH}HMo@9O(HjTF*8P#!7U%Oe`kg9cuIF}Ej2@4x>AGGm zs>Jeqv1^g@9SZ68|3xCT+8HwI-yIf6ZE7@{ExaY3CTds^t%VIssucEoH5Kx!Hel?S zqQj0vP-ym(Jtn6+Aa<^L;__P|)Af)^+W+)b7dr<(I-5|uoD-d(4_#(=uXn#yKNsW! z7KsvFS!i&M?T^_C98E?LC`QS(waE(ki2HNlBIy`;g?=JHa(hV#IfdoTkk=!Gr;deJ zE0fpD7c18Pn0jJ5KO-tet5__19vC_FE?vs#`Vh{jZaM^DU~mqpvBe{@zDsurth=ao z^`_+Jilz46o8ZYX_O?w=)yu61n_N>8(R`)l$w;fvfHhhAWB4t9w<+IU=St74)cf?W7S#6oM^3g4DR)cb`bHqR5)S0-wc}He_I{C z7ybz=jK>bC+CzNGp=bhroKpp!#A|fkiITjHJIb6S*eqHf`&~z;S=IMG-ICsf6O5*;1yXpRh64l@>s1tAwcE&;mZx(X z-wqRE)8>lm_i3-|=w_Ir`J58{d*FFT*_n&^J9 z0Hq=)-z$q$s3YVUGx<|O41yHS;GJL5M{tq1qSmBOAtA}SQxhw$f>S)D7xlu8xl2FM z3xW4tOb-zdIWpzv9#0#lHu*&GohVg$X;7E`?Bef+j%$0zFI9XlEpBBor81|(ZIahh zh{MBTuJae`X>a!mKf^yCicXWO5Gkqu?#P;NW2vqva#OTIeG#bK>?f@m z^-OW}NJih@OHPP3IXK-%Rrxw$(Z4<|X;HkiQ}1n8yqzAlsT%a0P=D~p*}g%Yz*nVr zzk(ySRKQ-v$l7C76;e(=UXlD#B_JKhmj+sSDEhYtV7C9hpr$yit$z?X*TqF4dq9<( zi}9-k#`ZuDmujE~LE?i&?8H~4Z+QN?LduNoi#rVMGdq-Q7UH{QjwN)S#Id22l-`&>K1r%@r>^$l0?K1SIs}fwzl2BM4yB5 zobL!Sfj*|RJ|^-aDR$3A>o&CB$mIio!o~tK6zr1KKg{pCO(^ph5xZCQ6DeJivz>Ib zom9C|APZU{Rp!fmimo})U1;gh3jZell>RrtIMp#r>UX&E)Qte|;#KEd$4I%rU)7?W zT)eXXD{LG}C;}<~R^9Q;8dDUSm{J`n*d<7;F~9%v8x&TD@nt%SjYG4U^6brDaMyjTINnP~p|z;P}y{-|+EY|Rj_B$+{hNj;*&iR!8q zPm4kR%J@W=qJ{CZuZBni5k(26UsX6FxYA5|CuH8d*bJB)sI<*Vbg@8C>1f=gwuo0K zPB4v?;5wUL&G_mvp)vhRp=2H?O;8ZuRAE13`T4?D*mLks(a2NEWUle1FN@s$2E@Ub$WIW3$#9HHxNYMf4zgQf%z1%8%1xj{jBN&9c*dl1d+g^bu z$td1eldJZ@@G-K!S0iV7+#}ZM-HvZgAUP{rBM9Xlm%R6AALq(9*Nd(JnhZ&Q5c@{; zHlN;=Da2DejcF7nJyYBy2-UxcHI4FU4}BZI-UNw966n#Zsx0N_-^VdQYp1qu=;7z* zMO>q-*7sM4M+U8g(gq5!_hJZ*0|XOJUfviX9{zSi;vh^ZIgt|Z8KYfY_OPj(Ljb~q z+wy@QKMIg+zMOME%j5*);ml*lL)HUr^&rC!MyDI^Jy-%a@q?)om9(D^YAE8xvZEyD zwf$s%V#FFIUq3mzlBxkd5WE~dGeJL(%UFS)25hjhh9S+I+Bw>#B;gF?C~^k_d}d#Q^^X^WNG*EJJFQUfNakwvj;(WPkh z&pXY-uxSH!o}cn(&`A@ZJXpSEM^2(bD8jaVM*0rRbpWclpQtR*cz77h}Zr|nEC!%@RJS6I9Od-hz6eGe&w@)WMp=Pl~&IKPlybh=EcehFMp*DaNqhXWPg>uJD(4>-R=aWs_#%b$t&=MrSgJeHQ zy1?=bf~3pI<|#;~;zyq~j;N%9+BDSgcu6<{#|2HxNB%}VdoCPhf|FQhvNlMVIOW)Za5&%spw_KvYp#L$2 zvi_+?jo@mfr%7Al>)^+?E%BuOGs+eh9kHP^N(C34LemZ+<9+VokY6r3>kPx@4&OC1 zL&4&(c!op3%8L;R_F`?@Qk)bneXlLe*|8zzc?93|I87^_1 zKb`s8cyaI_Jl?6g{ezWE_i`dI(3N{^y?gyOKfe&kh+8hG9W;+wnI)` zx?`c)a>~!Wv$ESsS=wizF$KZCm0fSj(mcpGe%h97Pm(Ezl4Tl#oWlKe%jYEEJk(HfvN>8%YuOxpuLsI3+FKjDcW|-aMv6B2TJvdQKwcnx( zMhQGv(q7Ik$05cbaK`0FBUl2Z$h6h(-^lXt8#}yJQAlIIm>G}hjZd9)>D%+lN!0;& zhf=E7sHW@~0=>?52`R%lsqebi$A?5Kk43;99k{j2Yv{9BfAgzth8KGvjtrR(OJtQRd`+0<+y6mF_sqZwL-?a$ z&;Fk%;9o0eJLptJOfx1+Ppf>dsiPjY4wtleK15A?6Lq|)%-=#tS}yk{rtI(83ZJHi zDm`oJAVb!Uk``D))cXt#bK16B+%;6MpPFJ{e4DdmsXj}Z!Fu7xf$Y|||2l5^dT=ig zy*Kar^%sS)NAUphK%Je!_FA)-JzG!tq%|1yAA)EU#JwgA)DBi*lfCS|7n_c z?aS-=6a0@i-3^$uW1EqDS4YB9FUAjj{~8QVyjGX%*pobS12;3OPZrdrGPC(E4$`yy zZ8_8e+oldPdM_PKtzBlkEZn^0AW8@$8QeJlqQE;Ae71>g7{hG_1CEnLN)yWZy9<1~ zZ=cjXZrojMu)Ya$Y@`%qlGhY$&SLKL;2)T0ON5ufQsiv@^ohr_#0%s}&$S<*tV#KO zUvUyXMlhov!H;DpqOf!oNy76(RB@vzi>(5cqz}WnpxbwU8*_|2?{pBKif>;qBp$~V z_|P4&{|jmFX+h&7hlJxayi{lMh{`KLBS}GaNyUwn6t;>E(wCH*eyLU`6nv-pZ+sZ3 zLZeA8MxL?JLB@kIXQCqNuvA^RO+xp}dc2{zD7pU%clQeW(Ra&iXcQo@EjoZKV7w~o zxSsjxiQ1wtAs88p)IffF2aBwNiVWZdUklk3#nvubBPKC`B7nat>gvgkYX5nKy#^-r z>X<@+wGQFPG&EXgThX<(l9)7067Yiu-y5kK8lBpI2j?u)5ddji$A^90_O^x;>H%00 zb=6@d4x#QBy=Dei$4WeyA*vf$;n6*XIzf9t-G-{Usn8GNCUPY$H z@%vuhh)WL}=fLuBEkiw^FLvRB9_kQ}pZG#Oa5)1yp^y@AvZt}8SR z%T0z#6?O?9iv;S9qqUAx%Lr!Dwc0364%9A8dD1aw^f^%NLo_;zns%?5>8j!uu20>5 z71H+~DGBs`c4;8^79-Xu_Jv&S>1jIalO2g_d%!*)FS$-^{%pu zUm{8kK?^%?NAu03H4@BZXQgw4g7hs|X-3Ks8N9<^DkAglKkLU)H3=U7no4}7ftrnm z%jy;)hYybB+&oUZO)b8$&60!MKA@xvB_CX`s<;$JBO)Pv(MlyW6ga%d-ts__uoih4 z3pAJ)Cl&S`Tr^BSda}oJM<=|KctZG|hQ!c|R9c>W)rH+Bl-zfKr#6Czxgkr_xXAfX zMfgy_xP;>ind(JwtU+wsYMm)Ft-ix)Z0}H;$1htP^Z9V&E& z3g^m{i`WhLFGIWD6v;QUukqAkzAx#JDesFsndiVeE91TH`?j?#XjF&E)Wu@h9W%^e z-u-!l$u8CR-i2Mu@iSAxoNHg!mLBkZev&bhwk!>$;)tO#)%*TOg?Z6)paCtZwb{sX zk6{cncz>!@7z)K-_ZZHgm|eRNEg8h&dki>fAhy*m429zFdkh>F4(33r=Mev72wVA^Y6YzXt@kcMNym#)5Yy>5~X4(>AA<*;pR9t^%m0O#%2%Y3;k zeaOsH;t=ZH+GG^&cKqDwJK7X4qVTc~dxwCt51*{3Iry%}FRTemr2I`OG!50^`p;_P z2COhs=YgTYA2fgGeU2r#JEh(|qANJgN z96ehvJv!3L$DV!Aur@B5Wbczk&1~5r%^g07oMwul0F2v>UX{OLj&Wv|HzYl>uJ75o z^wB@TtJP|!gLz#;-DfpH7&Z9v=9eT5;~_Ez00c<2S7XGj|4OHX>+T4Cy1$L+DoIj& zN5URRuCRuSRkp2;6}1`^==QU1iNg2kY4QiG^zKNlqhINJBn}>Z(6O5*PK86bs$zQh zac__ELc||1cEp~qtBnUg)qGJ9*jfVdT2#`o`s0!Hle$=|h@3e1W(5RDczx*V5fCZ- z#KohW5>8!?k+RI3{D;#x7djAtM)@nm1B~vcgysD)GXAO?-EZ%{r$3tG+@&jzqFv0O zj7Sb`nImM3Xhc^_SZA_O^J`adu<`yVeC$Tv2~x<$Cv*bl82n@M=N3K&_H}QfwrW?< z156_j(Nf=2IK8kl^tECfR?4Kq1BSZCF4R8K{D-`=ffQ ztJVjH%itY6%~ttmfLt551P*taoR~9$WYQJtJ19ntgh7!4mrfF$Q1fK23K0U}$JwkJ zsd1q2%XalDtzqH))sN2J0>S6b-QB&ashNm;%&ECtddCCE?P@RSk3-Sh^Z6yivNZV> z%v+Zea8?TI6_yEKtl+qWzsRw1zAys^V+8Yvz`-OzMVD|Q_=^8Dlw0XM8FzU5^c~oG z_;-Ir0ye1y3mkEryJ-c%P!gs83DsLrL0j0TOg;SUx<{w(++NC^@GSyeVc)C)y^K1p zo6W=+g)fS{dMwv_wXcH(U=Lh^b47V^A#>i|!L%p6WkHx`q7k#3UKXSw-vH z;f(M*Rvqm`3M0^Y{7QsSbmURz4%L=iXtHeAFQpCy_5Ihyu=h>BS?v*0lL&a?f}=9KDgTpvP}CW% z#E=RF*gD?7Ti1F<3o~2}`zCfPPw9Ec7Px!z+ky8}2mt$DVTWKp(SJkx`F1P8^PKT` zJ`02AwF7j&d-!|5(~0JGkq#e}4Fp@y!o#V+)T);dbGk0q7h|YGO4+X@s)5lzhVn{t z(@4c7MsCB;g7L!Vz#i!2h86o#k>A*xV=M9+*G=4^UI-B@*`mO#A|EbEPR+*v$fX;* zXA4};;uDWKd_HZTi~XDrh(C-FenLmakm#Nzbt2Y@ah!W=_^eHuAedI4z6@EEhTipw z;0&4XQ+Y96PAm`aux;UytW|r;oZC#CwxHUO3kdt`)spp24E@~O%(K>@zz|wW7C*|> zJS;dm^(1w#htW5%Hou>A`YXOA2DomPBl(MAQjFyJwK-3|c!vc9QA>tdyrEWLdP|pp zV~#IZ^ij{ylCoDRlNO_Q3}r-mv-6~%HeAopX^3bY)9eJ zI1(zzYuD{1BvDw5X8;q%Z91=;f9IJEi1q2w%v7+!VT*Y=t z>q)V1caxxLrnx-!Or^FrnA)sMsN(O19W19$3z!h&81&3h~s4ggF$9HqMo0`&H~ zYSp85rMnuRPZ0{73uu6{?Q2+Zg)z1yasgO)?M`9Upft||*jhN9##Db;mesc?tGWHK z*JZVBR6j<)D!&ycmJzb?b5J9PN2QnR-u33v6zOl4qZk4E+NMI1fEIURqnqI`m6qNrt`}8_f*Z$^Rb4Kc`x)PKjW=2U0 zv+{}^?!RGWb*t5H3f0YZe?Mc>b!^R;!jOR9GqzK2`Vo6laQWcCTDkG$o8>Gt*_bGHkUaxN7e z3!eJ&6U|xXCuLcx%wt$M_^ACmFtSM=FnBV%=~?oUGTO}AaeLoi!lKe_z~rY!l(LtP zupV2MSIr>mrDqYz>_Sjb@lgSDZ-la{_s}5%aHtOjAJtqR9GO7?M?g=IVCaZ2I3gS4 zq~hVo-&MV13j`Py=*kp6I29?P-fpB1@pCvAb&l0;0|)D!^hb@u8?vo zK*qD0p+9`TfSn}*u09et@BnF$<>S18D12}QRBgpKfgAL65+VT@@|V0m+QX(c@n+kX zUmVRK&%CPU^VWkqVIXrXe4MV&-hMCxw(?1ODDnm zOiYfASe6NMES%7yX_8E%@*>t^3Lz@G>{&<|$+>06Hv6&)gBFk)~p7k8zCOVb9z zKu0+)6Z?IoDFB=Ybz-18T;M0%&Dgcvpy>zD&=^D|+{|c(%E9aou(F?wZQYk{+-!P2 zs(qZSrti;cpp(80xD3(l%aRP34ytr3zFgmDX=<>`ynk2rb>4$y@B6R0g@9AfhC^$e z+al^c;N#L^jc$McoPZRp`dLd(i{@Y|TH^hZ1P98NSQs#FMXW>uDOoTd&hvFwm_E#@ z$zN-ctG<=oz~B>Xya=^5I?QQEO5u}wl{c?{7CDuw6g#kB@3b=Sao87kXjYB!>ajH* zMJ2-ex#h~0sUoFN(F_h}fEOZXPdEF+b#yw9OUaYLwl`{FGWUJsN8^_{1I;cjyZC)C zEIzo2sICHF`GhuB+hQSswr^2i@>Dvue4&}FYBIC8R(ey?E&8~--3 z+BPIkl=|s%ZB_qPFFR_(f5T@dpq}nKYCS70dvl(9@?iK%1bERvl9rt`pFbr+=CTh3 zcZL;*utoJ@NHY7@#6NgHB`DO1v2-g#IVfu-Eek-aur|KQ6*49MA?1IEbC)_8zLSQ$ zAf!U^_@rekll_h({nnImJ#G2YUG*zKYybG}k1Fi*8*kc1LeBD>5ivcCl%Xox8}tM* z9CJLmCpo`i^k6+d%Vh<%z9WKRZdEI;0S;=4w84HaUMf znS5C6|E;F|Rcr3Af59`D0mwhh{{XFs>S#^X%P!{nF6P3QliB~6`WzO^LUZ^N2w_1h z4qD5fj8W@>8kX!v+^?{&%Qi-|;`psUepsx}a@&*X)6yqcRxxtfi#{x7`o~zdzNRD# zlzYSI<`b)Fm~AsJ}hnyrZy0+3}cR zEK|RhhQ0Oq1U&+@xv?9?O!YN4KyWM^bY^x&v=m$xT|c|*F)V0#+!k8|%ZoOikDpG0 zy}!B8`ZIg^8^yVxgM*qsvjfhH!p`FEob{hsGZnQKSaaC}J8t?%Qo+EBdNRq;uQd!N z@VDlBP3rHaiJGN9Gd|30Es*I36k4}%!4NEI1C!dfI0N0yONaA@j z^Iq*s-zBWAv7eW=l1`#osN_Bq6x?N`O8Mu^@n9*5lRA z3o&%io8B|v)EmPwfGP7LRGK)$>kj=@xFuKYy7*0LI7v3FRQlJ|=ZUkNP}fE6&+c*} z-5Q0d+{WW)HwB$w-$P3Qbph+XysdM!AaGU)baBij-feSal*%x}XtrJ@YH}Eeq+xle z8GuQ3YgAK@%omP41aUXdhQNiY!RiiTC1w0j9n-OFUaJ?AcnGBz15K*PWtM`L?a;CFNfg^T@zTtUgr)GK_AoswN? zm$r66g1Mz5x9V6|c~@sTRwct*kPVk5Y&fV^CE$CgON5h2FKx>W!ABE&&Q49cw^av^+ zK9StwygBayB)cvZ_6E{gql5$*n*j{WyOW{Y_dWLnx%bQQ5l{Fi0`7|{Jwr1+zjR9? zf(|POkGePAW ze3Ul%Td=!V@XhF7piZ#6T=2~>@CS8>dNgu)OhyTI2MI2Hayj&eqd>YTI&%+Qb8pT( zbyszNtim{B8#=un>IG-$evG%b=gqGzKI&n~u9M;00-kv!t;K`Mxx(|3#v9e|4KlC? zm*~z%?+==e?{2BDH-avX6biP^m$=22Bz8tz1!Z9SYQ3UKFX0=W0Qn-;RU|fFEU>$& zzCmi!u4trU4JrwDpaa6+?1$z3pC=`Ips5ZYJPk37dG(Ny<>n}rHNC!{_QTamW8cOC zd-6k3|*e4-cR}gWVx|F19jKP1zXt7tPv(SxA!hZ_6nO+ppaGMT)Q3r@V7;3mx&$k zTC_n-a>T-;r&2X5>*dr&X`0h{qVz?`wT6t9@lREo*lRj#2xM*e$n)xYaL!3c0C0F% zomiqk4w1~7xL$s_@c2;O*x0aOeN@tq9@9TqeDm7&5zx99CVK;8!G9tK__Un_633R; z`~R?0jq{78tEFV2uI;1_t?WE(R$b*0MtMD9FRkffkmZ~enMvuS%LpWs)1cED^Nt5e zqVUw(TxMfx7-n64Wl8(loahCL44;Fdq$F~Lq?S7BD;bp4C=m>?W#;D9)51jH5DP#kd#V&_GaR19F+nc%m)Z!T%_3;wJ0T3mjTy0Vj3aIuIA z0wu4Vk2B4foO!qP*7Q3G&`C7`tKDP0xER;Au@kr#m{j~`$E`jJ0Z0u$#!ypJcW0al zz*l!6eb?sYpQee`IfgI~c6X$Ho5+v^C3(M*!|ONl&ilk;2v&qe!ZD57eZ96@(J+6V zc)xRQjljUB;+IlpBuzN>A|s)eaE^>zga7|+1OF!iIzF-nkHvqtP0rTt>qkuX%MYn& zThGJI#ir@Hy2(rORwV*Cu7-QCe!S_LB&F#QvVUEeE7a)zu)oufFXXIqtrC)vJN8_u zo)#}#xt_LUNU@%FcEEn>_yW$6&sD{&BXRP18Q>k3zgSiCB%$4YN;v1$f@#5(TTTlZP7*?t zZYP$9buNispZuWtuu}%yBv&;t9$n7aw$#ncKd4(xwZKkye@1*XA-|X#{NXWCQ<2;z zqzaI@S>}ohn4uBPiGF@oih+z3ulAku3hC`pWw!Lfz7n;dYyHP!){@;_$bHvl{k({z zo(5`J;l)xamOwmQCOkFho##x!C9EaKM&g&moX5;^*Tb!$ zZMi5D`wip5YHXFl9X|}|xWc_>&EGl_o|XftCIxIMs|Xa}jS;jWnzw9NIm~`zqGsTo z-qW8b{U*q9C>+IqsTzW>ZWECrC3`7Ee7+YAX(KJ{Nw!n|y}aOPxFu4zNOvAJt@X#o(pJ{b&YiL*|9EOtMKqzx-HFCEmV71*CH3~tugR%=;vt7SYQ zY44)!wgt;$0J{gx9P6JJ?zj3i9^W^+pX|C^*Wk0J!LQ!m)X1zrn1%Z5KWd!=yFyF4 zC8ebb4|~IN=V`i5*(|sw_ew9VScIeMHy-xxZ`O~7bp?R4)%RnUmw?5jt~{+)J5Iqy z;ntB(v-539N5=SqXKk%^n*H(fOS&apiyC}gJ`Qg#!0rw5k4Zd6a~RX6S&ON-+rfpG z-~1jSc1z|9vi&1H;=`wLOGhPBEuM?_!-Er#uM=*C^bDMIt(bP3eE}QnhaM5CYx}xB zefaU;WsmuY=T-BE7aR9KWg;$Dv3bBd>f7@3`aFu--ac58Z*=Q^P2jlY0S4l zT80EUWx=GZ35#eH7wJsV?h;kIsEhuN)EHv&TW>5+Pt|9VKLsV6%t$%c5zQ;fF>RQP z{Ep^42m_1v?4JdN9f^s`k(+XG=HvW?H-md0n+`~)D3iR!`Q?Ttx0|&ElgO+mp1ELF zaFC_*j^B%=Y|C^znpi$=e3C{WndS3@^i=l8W8A4OVwo4{1NVYGv>S#5@+1t;8_^`O zxrWU@QT1K>OpVP@({PBn7UvP3j;N2j*Nx3sL`PpMCmNL`Z<>VjTN(xGwz6k(p%;>P z!*2s{U33?m?(`p(wc;RO>hrSo%!yLP@ihsW>r^jo+^^g{Ub22^cu>^&s2exs6i2p^ zZCNrog|FMc$E3*`i*Jg+Rc7mPT`qrin?@!HV|P}qy)=K+;#fX=s|yht{1#+s#eI8v zdbs)E+N2vdCx(i<;m?=nMKF|MkUBk>t-ub9n|?3v%2wbVm-NW^_#y4Hb5s4a?zcTH zm-m^|d;U1^w~exN-(SPartk>|%x`U;r5T@_6wK6Ke`=U1#&<|D$ku(7;t~=fbTPv7 zD7Lwe>6<+jue(-UTsla65xHVr95yj_gM!$7xI=d_rVyIDR9dj^h|Ddj7Ww@ZHI+Vz z(Ad5nHiDZ^(%hviy)>-i7r$dzpTvquO#G=SQu7J2gsu;{5QHh|obw4Xp4#TOsgk<) zQSdtr#}PUq9bq~uap5%xga~n-w;xI4LTV6*pK!F?o5U5?Ado!iv~KB*I{?QJxG(3d zJ>xKH!Iy-4pMShQaB}z?yu7>6B8D0g0Z%=SxHmQywhC|qn`;>#Yikin#;Z$@R({5p z1D1#0xKqEie|dVGE|{PH{wj0Pp`8#A_HZy^ajSc298LR$R!Up>HrR^g(Np6E+dNtx zMtX|-)FT$l)BT}TDhJ+-sj2iT$K&0zx(iwzH<8PgmHGK0#i7aPP4uSmxw{+vKY`PF z9{DE|KDI8xmMbHJ6GhL56x(O&6CIUn7Hg;Eabo6~a%dg0!*Y809bQk`o25qlEEUhs z?M14e>R;Fi=$Aj?yD!vT#6K1{d`h?MeC+=TR)z}Oph8k;Y$tgBfTg~q z)-_fdl4YKPQRNpAEu4B@TX`}F7W@yHP(Kde+Pc?Rvx%X!%!`Oey?Gd57#6ed`s7Ns z8p%1lzaHP#TseV>dNQeHMTmhpi*RYDvTTcu^4c0qr&A1)Hc38jKYaR@ST5`At5>Y zaR#&c`0NVTR^^8Hx71!`VY{V97n)=Bt*hJnMWA`HxJ&%~(alVRP>bv3-C#diTIwVC z09nk@z*0{{+PfVGv7<&8e?>-r)l@m)Gi%>nL15LZHCqiV{qJ?j8kKu>ne$&{9e zp}p4J^YKJHY_I(7xAj`{3yrH)dR_EQ-|L{$*CqEm9u;{_EYhSIb4@O{R^Dn!3I-jEbKJWUBQ@5DmtOKg0+c_K;me!9CGrnd zkBjNPlOw$XJ{O>7riRc&a1hF#8!><6?`uj@Rp=fKXi8o0jNc^~3-~yD*q%pcxU=@I zTUmfm_6+Hs)Q$KQSCDZwne~U&Qc|!zZa4H8RWSO!I9G!kz1+^jN$)b5x2HZXMcY*c z$+fC~XjMO<|M^#%mK>cIlN4pWtSgrl>)-+~O#R$|kP#(i_geg#{IwMJvuV{A0xV1O zv1<2fLVALp!kXt(m+v|Dj4*9y3DXTB7rDEoU;I8&89}^=Eld0riPcS zLM8o$4Ra24xcK$=_p2A5FK@egs%!HuvRnDfS*(+WQS#HsiZ0J1S)9PfVz1fW){n5Y zv0?&v-*{x&gI6X<=3g&8Km>U#@eYPaVFfLxD_Kbho1Uw9&<}lHZ^p|>p$YC`mmNP@ zu<|IjXu3UUBWhSektoGbT}n!L?f3f@enmwbGpyh+;7ET<&!b`KJVm4F-~zuUZZ8H9 zaC1DpCrfgORq%XM_wlHdyCHb7|NNZmPWT=$H)fg(IncPezbuq{Y&;Vw8Q!T~@yF{N!}YX{RdGMqa1U^`wN3=kcf|jdzFR{ZanFse8Hh&RLy_ z8ByxwY)Q#an$Vo<^R5F|4Z_i_(Yvu;rwb~DeaYq68tr)tPbQ6qb4L|{Ye^l1&+66y ziR9z?*1^qiX@jyg%n~!i@yG9*4XfMV(m5gN{Bjy0l`5Q~vrf3htc;V3YgIliDJ?Ff zRtUY|>Y2hdKC8@fIC$Ni4;JfdV#rHTV@i{0QEnFW6bhqClgPgfMUm5%$COMWuxYgC zax#lpEumZ%m(Yh>0;Lb+WKygg{8WC7)`9UWTHUoYRwp0Pt(AWZ!#z)j(5~tgn+lfbo`?E*X+J~uyO-GOHUbTK< zueF3|)v+fn=4dZvMAhEK_>Ix(S4k6EvP&c-|IX2?O6S&p)k^N=odyd?TLTpt+&tOb zJa(Mdy%r~>ro*9IRcX~^v4w(aYU}sEU=4Ea?Dk#WkQIm2;1472nXi#|*GpuWSqVB8 zF7Q7SmYu*6fB8mwM+uEIDEmX57-OzgmLpTn1CNignvk=9Yc#D|u+irNx~vZlv2|L7 zH%nCX2qY8^6?;ANvoqk+(PO}VbXK`~{JP3}H_pVNk7=6OlKsTp*r{=%*!YH8 zY|~g9BV_#wgzT(Uu1^6-{rb15dHXbdsr#%@$q-;P+xV zL&NZ2v=iwPV~e>U*Wf=oInp&3hOBl`P_RQ2K4JgNO3P~GW+U}%gC?ixO;21Z{^Zpv zSiEfVN!R|cA#2e|7lrV*xG!!ez@_Ud-xR$LF*c>r8JHp-Yvu*J>qtm{$hRi2*tQTm z_Z=7gFjfp?gT_um-GPVy8F-tnnJ?mh1kRj7|Kbu=0an1~97o;(E^tKe#vv$wsZgacc@;FSr>?U$w+A?9|E4bW##NO< z_j4hMW9(w;(}8Cyi~P$rqi3oWg(VBI@`RD2Ib1^q%Q?)f)GoYqO?mBvSLYK~uelxq zp)$O_PEWSXNpJ-y<^;v3jGs!kPaLXbm=OOGXFJo(ntwZ)NN+1@?5>$Q;VqEXf#2(h zBzk%V@Uo}{YkX@$oQ$L3ijUQhb`y^%^*;Y3g>JH@X5vm#3Qu)R^jl|(Shpv;d(ELS z+#-6a_2x|$VtZq_Z0stoF(`Hb#T#m)I=|P<^*l(Sb;c6v_UtV`ZIq~*;^~>P$v<%> zDa}k;=PDC?mhb%vOh4OMda*fB%C-jVnnx^i@BxP zxs${ZMSza_poNM?frb8CCn)}>&V1nCLTUafl+tBEySS9K`Fqk_Kf}O{3RWv*;dfPI zv(MZItl*!R-DA~sKq^cMELqu`HH{|Um>uo8y^z4PXj9Q+5K zuZ}fll-B?K8#8O(+Uj*p3v9tBak@lt0Cstw47rHJi@WAB?edtSv4Y6rr$#J>Mp{9d zIcvUW5%3|GZfQb61ik1#N3agO0`nOgUFpblp*`=t?^o za;AlNi5@*G+`Gv+C12vIy-{HU&(U;zevg0@uaz{oWnfm|AT@$}c{7Ma1$>g_@|tY3 zZvMWPbbGHspdfoyvIPBY%tiJp`K@7fgip^t2b$9RuHSeWqd0V!ZqMHOH!FHP*SHUR z2Cs1+^oqJbY*~&**qV{BIp6OhM->w;Ph?3I*A(Lm4X3sZ<%DplckL(z%P>T6UlH32l%7CII*K<;G1DJ0DKZO-Ry=8bb4LGMO6CV!t)=}4n+*tCcP07T(erey9x!D z-Cg7O&tRn1NZVNXSo&BLUrYU(#me1wc%}bY65kMBiNc|#pb6(zL(^pTL*PZ_Mds-> z9|SJo-snl#Aj^BAj>w=1p3&paPQeomeZ?hKIYLTfLO7zsKn_QuXAG*uJ;w>8W2k=j zMB(YfI7d0;R&4DE$4BV=9shmc9Ss58N_rrXGJ}{6L7W(4$XJK`qoNqDG`!ro`&T8l zkOBhn-1-Q!2!a+U~1S}NmjQm`N@<<7efQHSMH zA70-_;hx{fTxzO{Gmxs(9P`Tk*(?D!T@|34<%0IQ=S>Ook7j9sKXevwKU7l7@z>v* z75Lwi8_#hm$2cyWJY0q>xN23aaIq~|(=7pnkH=ZJmaZhD6I#zRdTzsg@h9&|0zLK~ z4@nV}GC7RM4m-o1qJ65 zUO07kkkI+tUXUU?9d@Ujed&=NOm32F|5$5-&p&VD^WO9*>I>^aZ5#*;450uhov+mZ zk%%P}rPbS{oqp*Fnoj|ZJT|*Mpzg9AFTy()s`9YOo*`$J4GuIX7_ZM{Jzb+L5#IM?U+Ox~Xtr7a{lhp3-GcsMoJuqku@Xj7=#dYQmpo)twX6ApTTrJ} zi!w3)QrrLYS3dEO#45paI_QxLUk!K)D;Ie7qtCLh94SIV`Tbr@9^`?j*#6Q?EIUc? zlT6_mj#P2A>017RgUpDVoBtad0|5f*wGzqT`n`}s<%0s}^NG~Z>@QO^%4;l0i>iya z*N!b;qcV5bB0}o7s}!qO!vPOn=iP3SgJtD3smyQ{80^RUa|ag>r)wJzt{_o2#;?HS zM<=P5E8vY_NRV7gvl*v=@rvr~f|dK`RPWs}^?R~L)8c`jQF;)8ujJkW{P026TSHbP zBLSRL^@fH8CFv z=^oKmkEaFyFkT{2?q}6ffSUjh9=XAT$Ksu)+QTT#f^?_l-CR2ErFzK>hJXdz!nD+R z%RSPd9Ad|aVoz6Fw_-QyMB8b(YDbj357jtQDFv6nan7#EoD{(gsc7WeGJWzBgcpu` z+h)JozE0N51D0I;&Eu06F9=b2s<~!ASa+w*&K758QnVzP3O(HIE}U_*0`9I4rMavM z1x_k=4ksbkQ>0_Mf%gnToR910ok!M_+$|+FKTW!HGs-sh1p>K^C-CIcKJsz)irZ{@ zztOL2ZQs#)^~EeYXmtS2Mx^5N3M9J_Lrz&K`4hQ(Eg;a-zSAJslP*meJjUN6+Jnp| zgeSk`7$F+tPd|i!yLAoMyCc?fc_FrOx@8C{^uleh7NYzEi^CSFE_AOqd zz0T$>QYW5K5|^mkQ6)8}{}4N_O#c!EPLtREj*VC=`0o$!2^U!_wmo45qE9A0qgL)I zfsjM|5is$Mf0)s8^*_uXLhY#W4E5lOsh#z7mesaVcm4N099VYoJ!Q|WIOziG56Sw) zzJG|Uz2dunh=N7&E&SONGe6zu)w<7}Tj>83*sXOd?tK|T36}n+K;Rz+TKeCNYExW_ zu366(mS=gILoRI zO2nb<39Y#Z!Chok|04nOH1NL+QL}FWyufKrg;R%z5t z{u2Od{SSb4`hN!nm+!%v&zYaLyeYh2MLWVb{kvcP0BDc?3jqIxsCV!GIZc9eB!w62 zRt5ZcBRwZkCv%HBtIvxY{mXrugyWNv{5VB>y)xa^yQd?BFEHO|1R(7hq|B>O@aJ0a zFWZxl7VSCMYKS~`l~DO zLyI;)6UlIJFrDp>%=7*(yMi^I?A0J*m`|WAdB=Gu&zTZQ0;&qaqNH9Y5M~r3mwZ|} zH+wksO=_naCF=iU?5)G1YTNcv5kcuL=`QJz?rv$2kPt~xkd7tY4UV*gbf>g*cXxM6 zH+u~}&-;77eeAuz{f7s0Ugvqmea~`^nKkQNV~}BzF)umJ3E|Z$cyy#t<8xc63H$+>q&8M=^KA3aWue53=zj9-$S$y; zlPy?uc*T@}-;x{=Vv7GwOBUG#@(!$o9d%)o1I>do#B80CGz!M0m0U}vg0?e4NN8hq zj88~!m&I_g4PnrWHqyqXWee5k0gJ0jEZAg#rL>twW9Xz<*40jV=uzXxaasT!eX$K~ zs$xde_(_~H7kx3MJA5BZmayd8!kfJ6?%QNrcF@SOq@=-V@AjCsaP~#U}=bAtf#e#okIWJx?CP zKRx$Rc3}S-Ma?|98vk@32==~F#Ltss@lV%-VD}rl%RCIhxBi`PYXu+a6{5$9*>kb_ zwl#Okj#fgy==@6g)K>q>U9#boJN0KBXUXnm=F0*^V)YTdZ+zbCCC=wUbVE&WW9tD`u1+C|yrRgpb?3j~YMIZRXg91f}{8B7>5x z4IZg)-Mgq=CDxk2Kf)c<_^~K^zO4=LE7qa$GHc-a(`S!l&Iaaj{S=+!$##~z@D#vz zS0fYu6#NkE3(jy(2F_3;3C^HAPZyMi<`G3DW_jwWo2h_sKeR2?=T7NZ_)0bF888L9 zsXbtzB%d~vETMmXZ71zJw#8K>6OVao6oY!&9`+)4cvmZmo%*shi+D?(07ErnGK=E9 z+);JgF&@WBpuSUZEPXR6_nC-cR$%1IhAeD)HE&_-W3NU!400~l2D-;ar?4If6ks1+ z6>wemV~PRz_d|F@6hNj_@rguF>=Ifc@Pma#LMF_hHR!S2gNUI5SguY8i&oWJ(E8k~ z(GH!Q%W9!nTroURn0rj6d+mMvsF99= zro=np!q{$X<>9bY#1y;%wGC+P27O7ZPv`?=I?@i-#eq^hj#VC!7V>D@9Ks9My)>2? zahWiEAP|ebnS^^*#4s%YoS_PxHhOSjd?P|#gMqv0LvrN4S+xPD7`uk?FIEO*jZxZ{ zO*);$6Jt3f6dFXvT#SmeqWSBEGNwD2tNSMso#o!fV`IUkVxusN?Kz3tMmCWIztm6S zz2upS0fJCx3QgK>F^yote0{$gYnXjMq?Ri9j?0#*d`oXd z-b>t-b3P~NRe(xt{*m}WC4Nu|VJpPgRLnZ!D$@jk!OQ^(J8vr$7|7ZfB zngFOK{;$Rrs&R*wNq&@Qya>xqRl^S(mn&0ANN**&Eg#kIcGoHydIa`Z21-WUnvC6I zHo>U&M}vwkyngfAg;YolU~;qY>+N-Hf0N4SSJ>HGtj{0dAV7D$RtYea1TAEbWvFDt z2hDZ(o9n^rw-3#o|C@WYj@<#xjeX3WJB6reM}SidrGSIpzV*9wzUTG3g6hxy>L1pz z&!PI$zxrE*w7TqDS~lc_f=QH`OMn)eefBBgmGM zsTV6zy_cppiIAHushw4Pf3y(o*_Z1NG-DQMdOufu!GJ!!IM;&U{r(&PUs@7pQ(iuJ zeeJhFU@obVgzRdie~Ws)Su4+OszGRI5zYmVj^*+$zb?}XwHG;VV@eVh#40&ZOz--W zmwOqWEs8krAi9V*vl^sL!K=I^44iYJe6A7555uxW;W6znZzqWBYFLCTMvEw{`8GZ3 zsp5c*sb{cl5=!58p*#=R4rM@8VNIeO%KNr}^?u`m^awOPzgF~n@QZSD3;>r&yWE^V z40B_c;$A@a)5aT(V)$Atvk{7W1>H|(sv5g+wOAV<(gQhF4O$SHjSAdbC)k^*X_!0% zIS{!g*l(z50I9GbIVNx)3Xa6hDLBN5o~dF&@fV+|jt3br7BAR(C4@ zUCU$%VFbNz( zNW<{4^Ishx;W|$(e&MJV2c`P;|BIsf0%gn$`Vmen_te_{X-o??R$iL;JK$rz2HpQk zTKfQE9AeFTfI50e?$Wl0i%Cjz5+F#=W79w>AIPfMx-@>wS5WZwn;8 zYpg2gCGl38Z;W}fW_P5jf;Y4s?#qEQk_r<}v)dR=k5@)&aeoQ$t@mX~awOvVPIKOy z_QcCW`t@q5`hNb&Rvl2NwKf}}Hlhg=jObo$L@PzKliijbZ(162B2M5#3|JvoQ2 zbei=0WGTt{Eb@%|cKLt>h9|4q0Dz483K1W9>)E?M(*A>z5ASV$fOgs~&`wL@+3^Fk z(~2#Ak(O*~1TRL7BlHud|N8Zug$i*-iVI=i*5Kt#&<`!j^Mv4G4hWG0Nv^aXiYn)H z6s$zX2X2NJ`mX9zPo!dqd7U|3%`&`8vOxMHl$M9m+T2@jjPL+7FyN!~7*K@`YzQ~d+S-D zrG}-C#Tg@)B&>$7ad(y#x1{Sg_^v?MJWQ68oy4N6t_o3{NQ~zi=qy-j6M`q7Em|3t zC{Xjs^l6F;+%{H6;5C0s5^0Op0t(hT7k!;SQ3}6?@KktziN7p6h>wu(oqB@D_8xYd z9m8y%gB0?u5{B7$27ctdabN8RA!a6f(2+ZalG!T}?=a}Dr+W_nD{z}o1`@=vn{xH* z6dCpF8#SIt7W^;1WaU1nQe)(JUp|?H-zl{x<_j&%9pW!fVO}(w-WlIj?!{kEP7}eX*u}pgvyc`Ct)pdPA zHT-w7w`NZZ+{`jYR(cU}*y^86vyU3ia}dVi>TBi%HdA+fi@)AUna-)0Dif1LW)iLH ztI)dWllm$74Tr(_olf_+ZlGMF(^^4SUB`$5J}%BYp6IPZUq_~NEGyh7B~s~c3aX-# zr%0}*XXL}KmdYw#Sxu&SkB2=@v7Hbrs6c6<=h z#Jd7KpmX|{T7Re(nw8?16H8U_gk%4QZs$8o;xI48t|w1%M;uu#wc&s3$ogn@>@KQJ zj>OW4v7`zwFzQ|B2f(C;%Wt*YOW$_va$K5=pYWf>?H(ur4i4y~H*ze6h9ur}kmcF(CFg;0gw!p#knh_gi$d+>V2!Htr7fGN8Z~O!cUIYnxBrZ>!URvN+Pb-}OX*2{G`9!%Q6$O!| z?t<2g=Wv;lijjmj#vFx)%p`)Z$e2Db)QEkCx$7uLjUd5~#AOFlyS{2sq#tdi!2lKr zYTY=&D9-KVh!G@2Kbqo(L5S-79tuf*G^Iiznll{vS7{HWjCP;-Lg zNF@U{ZRsm9A&{f&{KY({)Jw(ucR2Exv>+enSeFPL>$^_49S&0BmzH{D*tjJbPtTSk zP*J!T&=C=**u1W>WkTTZ1S2&IRAhdKzZmNj1+xN0=*Zr6!tU6U6Th?*AoKAjMltPx zf6Da|9T9%3^!KElhket-%$%$y&3v-TjBvf-ttyS65YYB9W!@@xZscI3uJ!edGV($P z9}WBSFR$z7oGKcWN=TMqkvls@ZoJ_HzP`Wv!H+EWgWpjAv&89Jq0a#+H|fEcki*>! z=qz=5ZZm_IV6LbD^!uf@5)i*+yJn&kY6|b7CR-a@mzM4P!h5L|@ z=Vpnh;14QQZLf7WHC2I6l|w-8fnUX}{EenREyc%Im2Bz>FI+Nq;`<|(qi~q>lr=~E z>Q&Fc*D5zNzmT28xG&^~>fkHeHi_KDF)YF)RnNp~J-&Y(o_bk8G%!DxB zINrWMu-nc|r`dwrJf)IWcR+!WXB@u2^4zpH-Ucpv_Q%yk$|qiqqNP|u&dn@w@Z&*B zqHYS1$S&|Y?nG}ZVK92VhQ8Hajd#$m2(~0X$+@L*DuRW6gCazry5_D4ps^2+(B;TwVa(Kc$ z`XA!?I}X8r2`<7}yR91eluc%)L49@sCwfrKl}g=f4?!N(?*RvYobMHNt33o-6PoJO zeKhwIx(sXU{Ity?=ajlK0jKt(xj1Od{o5-D>J}U>@R*0wYOe)ND=$q(6}*VutjYei znZhn`p$@LaN=W|yfX9XX%lr=juB<$5Gv$xKMc?rphyP~Ee|Ga<@Yw194uHS9cJ8@> z_5BUq#G23sy_&+g$Yeb$N=Ru0V|5r|S%{U*3j6t|^{P+ej-Luq^g8Yf=gw`q4s7x) ziI>F%XHuP0EXVn>LUlXk61z4F`-`q#=^XUrI22$9x?j)i{XNh?(yQx3X+QL6_}KfG zWS`vw_?{5z@uiuxF7T%@l5z9;kKt-fEg>Qj6Q1KD>cf;GuxD2NYJta7-I^+KQr$uf zRE#FCY{%jLl_J*Z-;gGzC1Rb9oXe!7Ve{FjFSdSWWh{?LiJ-DQj@y{>@n}k*hoYxY z1a3eQ6y@qE{(>IfOrK4%+Ay*W%u>y{xJ;E@63RZS7qxqw4yyiH<|`r2Mx>HNeHpw z`Enxl(Q3&obk?rbu`9<0WhRvE?Z+-ug3>~mL^gw7lu7c8N-k}V4$GP}KGRH1*ve9v z-~TvDH;qFchBy*QN0kXEzc5!Fp@AZWig8!G#I6j*szmyn zS6k#sVC!mN{b=BXkwk}%L`_B@IW0z^PhhA$5NOwlF0Mqb8-Pym0ewB3%s)w_0+oz? zfV78L7@60DN5H*{-_4v@&@@RPmfsoQ?qG<|v5Uun&S{HvtuM`CE%Nh<%E51=E*J6L zU*xM5X>&%ck>&oa8UFP#{u83&9lYW-CjR8v$c5tmp&b5p(#YaU_`1)L2{w_|!}0u+ z0Okr*JoW+H9%81o*5^MqG~}%rdNB0rQyboRz#6z~y0HnMkp=+icQ-LR zE_W9w!<}#VDkz_T=Fdye{CQ)a{;Wpqu!7j-lRIVyb?oUBDJOMT+)6pYA80^4_KVj% zN`W4|P+}BrC#?~Ylq}wqMsp7+0Cbi+|Hx`TNQ067$H=tK??19rP9k7HvIQ!c9)<=E z!9W*kiQUgjYe!YE=(&!{2wWK^wCHmFjJZ&$;e3Z2UY9>q;d|(sanDBpr_pD0*5vh{%@Ba5HS#0)OCvvrQVw*ASqIv3e(_O_o=w)9OQr-?aA= zJ-CVFfyUp{h4yk2(_*s21m2TM9}GY3Oz=|fTBl`hA;TDFm$N+ivYQm+P^F^!#hO#eex$-}&vm#7JPCFE#pa0ol^g z>DSve4nlt$B>nEu%l@+R*45rBSv%&Lm<_19S?B5w+I%Q)@@-(6fyf?ulnH!pq!%e0 zl~h+`XD&qXrLZ2 zRI9Fbxiolr3k-4A>W9j{*eEw3#J?qIl4CW0Iq%v*h5p&Lxaa1s>hFbn<8_Shtz>-w zj*=T2i=oDyw>qOsq7Ivv~X8Z@+7lP?BBKDliNj$By z?KJ2G_zU&0v$|hxDj&`>A6`e>gq`ZoPRMi`%-Wsd`lv}SN-#HBj}v-T#oMXyTueO- zYvY}ie>lxD=cqkC9-j%y)mV${I}q??TX&?9Drkzglt3NX8}MNjBl%$5wqcj`5{P=P zR(C=c8aW-0Upk$fE42nQdLZy3Bd>$_@^vB2Z>ddif%u4KDjwHEgUqZ++J?9 zFZRA3e9&xky&l}8T|7LWWkBG+IxstVTQgHwohVl$yu|Qn=wJgA`*tAmr^8t)i{age ztK;_k&GkitdUsUki`_+r_oJih42Khw;}5yOgF8^u*eG;WENnXoc+SVwN(7S8aMCqd zvm7wSJD}YZU+l&-2?J-h{UIO1LJuFN3YwCBxPGP8Kr2pZa=TjDuR~2#1#XsC>^w%A zH4c~8ZbJ6YSFAp8m!!jIH;pk!y(eC3(MsIE2Cc(&pq+KoN%(H=Lib{X{rvMV$33uq zQMY>ry5Aq{?a!JNX&%tN&&@+-<>n8U43k)vBK)MTr&ldpi{ukmLw1c(e}=(t7#Ev_U9%x)hfkvz_?vhpZdW2J zn!-e7u6HmXKpme>MskF;*5r+f5{n?Vs`=)}Z!Jf!1{6h1-@1T7n%8`P-clTH>7;5(Uzn?Mj7e<~_kk zZrEKNk`dRPbs2HekvZbGi7@>3Ol}(6i{tJ0>3YB*TL@3dtf#<*u2*5ierHJh9loa1 zN1+ED%|jZd+0?4UdDl01%NkW9!pA~)>)li=GXVGqN9TUc4(@gpOzR_=%{Z&MpuT zvTGGlxf9W6T&Q;Ky-PKEy%RyCXGk`fQGDO!b*g_UUEIDbRZQ=Zd_KGD_Uzp1nBNkO zrb*faP3eZ~O0c9+zUhuRqTAE$|5Xsb=vi)3wrF6OeRqCM;&qpB8$RRHeKaC8ry+sA%kXWyNCcg|_Tz{WhI(;; zo}LftPFBmsC)u8 zijx-C%ERdggpbAP`)(w|petXu&PfMlWaf7-$*Y0>{ilW8vw8{9dh0Jv#@0NiHA%G0 z>=A&Tb-2p+{jaskRkgd2%KYyV&lL4<#&3^=tQ^_P0~%s-lYI+!#VfGtGKYPXPgP=S zpDB)-raa}`jUx>3Ro)9x*r^7;TNSDfgek&r!(%J(bTRy0y+W?~be}}v%|_`TzxP<5 zn%jM3H|U374*z8C;Dq;!{}Rs*c(-wTuNe_~B^V9|m-7~8|4}y>l96(+ zyrR?)*FLFhN*CdBNq^rbtziVZMGrqA9}$g*2Y_zTQmCyIM*%dTqV9l(&HWtER?3eF znlCHGjaL5@AA$bU@!(WY_?aClq=O1uph7y(R*GW`3N_fwK&R@VHfTT{N38;tUQm-K zPA+IbmFxqR8eO20zYkh+)f((Q1@`uQ{^4mvllLdk?#X}%+C7PvVNxD6o(@eHn*pt;%RJzev?O42EIeq=(^fF&9SO9h8Ca9z zakghr+7e0|_k%PKNWX(SUcybSsJ|XtI6mF>7>Pa3wY9hadg`6eGSncHGlhiG)r^Py zU&jYmov;Nz`BuMdnGFal@p3%Th*RzrIDK1DRfLK0rV*pIrU4u8?AV>0d3Cz? z;g3&!?0HxnP&BPLH@T-fIZhJVxJEI&67268{FZ~gsdl1^Gf$y*DF7Z z76!h`nMsn3jW}!RcV&a!tt+mEtlw?!&g<&Maybd#{MpnxOlfktS{*$B1U+1ildoYS zD*luehgilbq%Kh_3AX^>Z+)aC^u&Fn<(SuxpmR^cS4Rk5tl50*In4M!zEmRl2jG7( zrg~~n3V0~IKteJ4Lx;f(b*|EGsT6X8f2Frnk}Y45Iu8tqSUC>iZV^|sW9A zymOK~mTaDhdr}BiP$OTIz`%Ntgkh=-$#|A2n!I5e_<;L8_#i`uISa%h^ zTU{JnXt<3yi8-7}U7!t_*^OQ!uboe3){y{>M^CmZM;RcazlvhtDOccPOY4HCf=u4=~4*5)4x$`9PAm<6>Rw z96M`}o6?zu=0-md#5T^BRitKsMwkIcA~+%x&jh&pNl)GOK6l|s=ZbhV>ScP^GRUgn zUx3$hUl)ADK|eT1%ges$r1b%wUHfVH&h7O&u8rcbk1&70o*?{o>j?g4Wx#Kmrkmm3 z=*8P##jh4`fs&v={0Nh9{&n&G`2yKz=akZiZ_JtB-|r!s%{DBRkhOt zy{Zi0qyOEjDt(3X_59}+?ZvV@#zyy@HELd`hL@O$8qQ6}EpMDGd=Jov!TzO3J?5&m z-lh@$r>AF$xGCDU-K4m8Dk*Fx5;4~8@sOyXmU)Ws7yJ(C&TpRtyOuIcAD#$|(6p$95yP2yy2)m;tR_)H-6&=>^*i<+D zYS6oYS8YFW(z>yGxH;#*ewmkvZT{xrXm=Bh)y%Uf1M9MqJv|CHxT^|I{kIjf<=Mi59_K6bqgsO%W}Cu|u3AEF*B$eF?Gd*5 z+?&daNmhj^5BuALEQ`Fe-w*46pewtm zjcDN2*_!Ef156=k^LV>o`K4(hL*>G%-}Pem^#s=hqZ9o%XInNMuO}q6Q@PJ=tC(9|xw~D@!yGUsPGl!eYzsn43>3-?+)ea- z&(^7Sd!d2G1XGVQs6v@mNBFLz_64x}A*LYJ!$go`x5;46vp`2Hgl)cqz z2#1NmvR7}}b+l@7V;tfVJxv7pb{Sqfloi>H+7?I~H_R60ye@Q{G0(8^#aEt4)HsV- zJQtX=&FI42_O9Ce?4Wi6csMzsHRW6k-IjVH^|L4^&|2On3cF4N7Z0@8fR;|_i%dh5 zhnUlR`X$1uNl2R#MlmPJo7?#i5;j(3OzV}qS&;BxhJ%kO;9)Q|}G$Y&6FIMNws%^nBfDKAo_{R++QQi5!=N4ysR zWT{qV8wmziIa3Uag|l3859${UwbqJv-L7$aD8__^2<|iP6KuJg;_~NR>vRr#gpb+b z2pxsMXzeiJjz8QAn$sLZP2LvxN(M?N-QA6uNxP3bm9Nej@aHdl-hq$ovg+lxw{}>YC^shoa~B zGZsRjJ$8Cx60%;F(wAd~cy^2`5B!Qk6{5kDjhU+xqHZ!;ZN!Y!tF8`rD&YScY16aN zW~WTj)86tX%%zE8&**cp{s}5zy&`eLW5y!FZfrNf0lHAEGw&KE5XATWfO3Y{2g$q9C?)CdzXmRr*Gw!3dCxWI#$pWg$n<2ef zK1tD=7ct&SVy_TqP)$^R=xgt!ivYf&UgYHPaIOKRbVu4=_n;t0^M_McHW`7#D<5wv z>sOy-meg1mj9wv175>irY7K{qP)ZkQ0TG0SCq~?AqFg0Hy0+~qfuzC06Ix0&QMIL! zjc9{6rRiE_pR|* z4$k+*0E)L>;!jh(|9~rHwS>z^?OIVqZ$|9k4;A&Yf3-#bn9W8P$btJEykFo~E?gd} zwsf*N#6DZh2wkr$N#imc9;zdH{6kh;xC~9!>o;c1a2N&e>Ra)#A$(8aiJrgX+R=uG z%YW<&{JwA8a;ElC>RuX6_iD$NLAi`wekSvV$4r9+v0KxBOe9!LmhnrNA{KU82SX-2 zCUyrwZ`KX1F1b~KxFwmSkvk(%@!I!H))IWUTu}kUPB@u2fn_bfjd3&KRk7uC$z5L| zzw#HgBoj^R)8;V<68piLj)NHHxB7JD4Ua)LJ0NTHjty1S#~C{uDKZ`Xy`NXRfDB3| zU2KKesWy);9F~t*TInafuh@PPjG^qfe4LT#tc^I~c*P2;_}YNa7#RszDw9q^$LQT1 zswyl!B6T6UATIpG0~uH34;8alL@bTuD)_^QejP2JVgAq&u`I-cv);e>cUAy8>^1fO z8MXir`*&D(iT^QdJtsKp9nOExdiM4|v*K$%_>GBpi^Z3Is=o{tF_K`!eu>lx*Ou|A z-q|V|?7@)<5BA3+lO*p!gx#Htd@1vW&cYAaDh0WuHigsG!O4tuw+I-g$Xg#QwYAxqnk=Jz+Y$rV&(3F<3)V~<{K+eg;1PskDe`KAN; z{ahnk1_2oQ+Ohw($g24@{Urqex0tbH)z+ubSHxzIRc_U{*S7bPL-?xJQ3=^<7d;7o)=?aw0i09&-Nt1|vSWvOG$WU8VWT=F&S&H2v<{pqx6v~g^+!PCby z40a(|n~voyEQcQtiYIRzY7~3!Hq7jA2%mhPut+D+(E6YygS@{o0)8e0P8y%t&h9~P zAc{IyXN`;gdvsiR_jIl9T9zl~r{GnlTiKOGVdGCu$)~C$@fdnd0HA<6+vH^7bjk(Td)ZI&(+bq(7o%)2wX+QPM(g717yBrEZV3I?_~dYum296 zqhvJ8(uS|`8|iQ-mrr=Ba`es&aVsKxt95hNYFxm|Q27RO5&y7nUTE|Dv(M)yqQW{d zs{Z`pNV0{{g@xl-kyn=obBEI+cv4D&xI1f?0b&y&9cf0PA^Ioo?<#ov&4)<>-G;Xg z(8t!+bO1Mv1>mrH#`P!bn(F+`7t){`o+V#-O8Vp7$2Q z>A^{3{ntj?8aFoQN{0-`uygx|-CvH2TCyj_yM;Z;;6;LQV=?Q7k?3qQ%FIeimZ?V- zgBg~Cv`q^hnY*bH!F+ zU+`V#`PS?5;2@iJkW4c%3E@jhE-TH|Kbo3K56AsrZEZt>E*okz~Gxi`x9{WDsrj+>n2icX>;>f3^BZ8iha4Eg#NyuZ*Rw6AYDTd zyJ)wr&TX9JhzlT+oA7>tXuq{_%U`n;#?OqqF z)s_(((JA0E-&`n|;)ecG{=s<^*h%abN~&LzhgC+GJQ#4<)MFDFr3HvOc~YLj+IJa7bvHdjpc- zc6o;hUA&26H=kl{`X79)Y-*isSu_rk)tw58UCwQu4imj(B?P{C{r&9IQupSXv8RB# z!Mj_EG71cq4Mt3ZbC*ps;E>U0N}l1l~ehdx53~2q? zJYYi=v5QN|Pi;dPR;vafriA5oN_lF~@>&VKu7nxr9Hnqi2D66ROau7EaQ( zJ`}c9(KfTm`)~@;zIk+e5(#V6gF`yZwicAqB~hvm=mQ|3S4+<9g5He~RGuM&uJtjH zkwn7Ib9E0?sl9Gf13~Q?6mYz5;~|EO=3X{SF`lbf`2vhRuF^jgc~_VXLkGsdxQ zxz46W7J=+n#QQ(A7QoLoPfU++8{&up1dlYp!HCgZn6V$)Q)qdbhg^Ogd@ zu~bas71B*5^s8QcXm-mEnf_Mvd67rLLK~Gk_MGYIY@s-|bimbW9&Oc5O1plYL*&ks z`a6lUYdb7OhsALkv$u^iYgUS(Ro`+ii$UXP03C@jLy!%_eZIopglOLL?{b!ja>1|T zINQ`mo~X&%sL9f2rRzSba%~vsfp&HEU9xdlg`bUK5^D2K1VPi^in*^gFft0?Gu*pL z?@f>g2Yi?FU<%CTTTu6hc&lj8CL*?Z=UJKpr8Pyu0;-Cp{FVAMcdIeY!mebzt_>f4 z+dKLB>Yk|@a5b-2j9lBRGu>2aS9*fyBWCVA**q%bfZ!a}NzB}#gI$|(U>-annJIRy z#_4wrZcU@LV5!H+6GfEq!1U%*W1EW?;z*~MHg~6MJJuI3q|x>gtii{2e;-poA75KN z#`CQBgEb3h*nSOMUJE;O2$eJG&o_P!9ARC4DR)DoSLYD8vWB2#rJt>su+v!4ZQZMt zn8Xd<#{g)&vxu4JllaWOIOusxz?=yDdp=Z^&ET&k-{7tZ{YFj5bGL7}4X$aSuRZSVJGLghOk?vPf;#jA$Zh*8= z(KQNA}5yyqV19=>PRxLR z>~XH`;&oq{Y8{#-F1e zskcaq-}D`!@Ijx|r~>?d5~)}il3>1E5vwm_>+Ad0w2Ua-rD))^x- zUzE*Cluc*C0cfL`v~ljFRU00(e;b8YFAG2$=}W$Ef4J+B|LOW4;tNh3%6|xr&*Rf2jwEPCt@$(lTF!(9(DgyvI{Q^GYy8{lUjn-D z{}6e>*~utY&zUi}-F0VWkH9Tf{&%(R;8s5FH?&vkip}NYzCxD^ZobgthHLzRZn6YZ zi~pb{p-}7W(c}KHSIj^#FyL_kXs1hL+t$au4`L8{j%A=ubDLz`JQxQfd@)n(Iv{eA zc*0>!QzwNdq41wG#r9vnJ*hfJ!w$3|^sBgQNBZS~lZ<$2yQy&AY%0S0Ia^>Nj=y=! z)=0sjS%WyKPK|hXC0XxO8YQcCDGya?F*n@dPKo)trwYhr{*@=rE1At#6`39r()iLg z52hvbQH;KBCzK?KF6dW=YyEstDZg6RscIE;@TOdX9*!DTNUkYSWx8 z%M{Ca@CXSBjs!gH=Sa0prXS?eIqmMAgTHzA1E|j|igMMOw_VUOtDsCDpT!i_($C(J z|H_c8$T&7{NS9M5h3IgRh<6qNyE@dUnsYEI<@vLuGb&QL+`#2~nd`cT+GOVO4x#9m z^==dWVgUv7hU3N{8&#K{Y<$VX*=ciz38VspEhNi)g^bHuA0Yi3=pa+()GK3v_y*Wu`?p-L{EUlUPy7}G}rdc0v_Rdu_d~eAI{QXZu7M?f6hd1Dl z00`v!D05lqa_og&tZ!&qrIa>HCvX)Kn04Hk+2?Z&b}S`!D9()CD^;;y-YR`C8)s4H zxA$xeIQ?m=h=Yr9G)?Riw1f7AL8LP!Wal*l0pjZ$K)k0GlL)uyqq@+v-6sceGZ7Dr znr6VERn!(J)Lu@x)h%5{#Cetin~z3$k-*_k{MMf9GLmzX_s=-(*~B~g+NzB!{UZaK?94?n%qK{hU%od8jI^0aeO(-lBdj z)p{aeX5-EiIH&Ks5L5>2Mn70g#I^uU8|?bHY&qj(%@W{xl&U6TlaxcX!U0>^+@lP|qiz_V;-oYzY)Ry4YVz?rGIeD61u4>@< zjEghE;$F-&%VOMa^mgaHv|Du{&8;gNkb%XGQ^;6>?q0-7k!+}D_C?I^NOoH3pQ|tC z8<~r|n`{!5OUCdZMGU^uLhpBR%b=4- z)cpEwB8#6wg%=ihcZ*{y*IYZQZuKC1KLx|G4%qHuk*uC5Dz*1M*z6tz03{k#lR*N5 zbhJWTv})Js&q9Gnm~yT+;Z*SqELoA;y~!?Quq*KCM6>i2QyEi|hhOrp>~pa&eTh zS-RWVk=wJVOI~ZFZa<+l_jy#hPHp6mM2^u`RM%yqa~*}~Os}h?oyo4GeV|hmIK88@ zcCEH>X8q9Pg}6*a2au1_N5kQ8ychMe##KL*j@rWR_6d$Z{vpPVmRH>_^Cj3+tX&~q zg**{~dQH8n&acylSB+V{YeVdehCE0q$~xyeJt2c>d75t$DmBHGijbO6Z109R0x!9o z1be!BRwx~$KM>PSE;evY;!1({4RazE^;#w!MtAUWd|A34HzXGi9-WNFluxn@R2P8i zU{yQK*kDu1D+L`;jq`J@fDQ+eh6m4t)gO!VzD(zh+(58uQ~_NgJ!6k4WEl^h1o9dm z9*qnkUg_Uxd3tCP&U*@-H{5EPvE62p1iXwr5)fv5_*YmzIo?a=T5HBem8UCEG0J`D z308&J;KP$LCne#mgBg5aMvs}Ki&&Q0KlF3~9qk9n8X3*lfmocMV1K27U^_@HJ~&V} zI3h(N&N?_EUUzUbxF8A0H9kBEkVE!C(htn&i^XC4=t2F(5cltN@$`(wdcyKsjjy_N z-jGM*P=nKix_v^JhrsbNGDwuAn`RXRekNy0yD9tc3h*>wg|&hl^zPuNjY*1elybCx z-T%hSmns*W!4#m}w!gi!JcLmi4arr1~ z^DI*tZaiHYQ+7$aw0KqWX$5oH0blUW8$${~k=7&?RGXsmQ3B>!oHE=HxHA%rv6A@ zJw#o6RQyQPov?Isi7EJ0<(P;2f4t^o%aUlX#K?Lp`C3U(S8lNES4;usq$(kz$B%S?#mTWjKb3 zf6Jlrw z&oH9!<@u-R3<^K&s&^X-Z=%f7>zF`$z9zMwjl~A!B@sLoM4t#=_<0R=Yc3{EKctip zo)Vbba!_t-Q5jCmvpyuH`3jW(hVYlNFynT ze6#R<-~H_4*!#!+{^(ry8P|DVGYfICW*G3`S1#mNk73C8o+0xp=)@yW!n2e>lXV;` zQS5&0L?G%^fvwUgY4=sw_vUqP?9EIQjecrrag+*Mc}7c=a5vLeCg1d+)L-Lg(c{j= zhDKW3LMx=oSe1&%@L*7u*1)rBe#_4spxC5~%xJ;yTZjtYhgRo7pezL-kV$@~7=;TQ zoQZ?*UyMR-$;ai+&1pq7+0BeU9=g9;7eAjgIdTgNlM5YDf6P>}ji6gIYZAx(pkxw3 z$6--Mr`F|1nyKJUkY6u~TR4>=m@jjIA|hHI3XMWc@oFMwbu$XL4hlC^6eRRxf&~BU zXF_BMNB~wSKt|#}1`EUj6=Za$Ju|F=K}G_EAo-6#3b8-{36F`-1eqX^AOj)%j(qNc z3UPpegouR@GMQ`%ioc96z9<R|XNmiPcK|F=1=@ygT0JahxpFvhw(8Q2j ztqVazN18O(2u;;yDo?Q33%$I)mXFVypl~7M(M#rnNX0nU-OYqmqJqu$B;gxgKKp7f zt4cv~0K^)Qj(GOgm!`cE1HGj&KyQZX);Oi5Fgh-iCt{@z1Qi`a(%f)VRhywL?i@Gt z{Q5jTzJC0|g-Czfw?BzQj33?H4w)n>uzcs7=C}gajDnJ`=G=4L@W?m6cZ)&4{#kU? zalk;VzGBR40$?EXdbk85%j3Vcd2PzxO8ReAlOLB&I$bNfXPnmlwuOa|`v4?d|y zs!`oI>=a)HbqM`Q%gQxc{83H+-OFpEu2PJ>Da>~7_$zyz(3wakmx_mhJGW&AYYr%B3DbUs$W&dO!L;34&= zAMt8A0mKI<$V0Hx1pEzr$R~uwxID05PC1dttVXJlv}g+iBT~LuK&|CU^}|kQX!e65 z%S5#eG?C7ERI1@=xk^!Pa;H2PE#$!s^l+uvU1KGP$x>TJ3$sxD8}yC zYp$~N4hZ?1*3<%DVsu|uD~SQV-1NiKP@nkG!w|FB7{P~wSbe4~X(J5uC~G(u;jn0~ zhomWir0Etc@hax^RcO#dKH&vd5EqWWs&Me7tTxHfJ7AQz@4T{%XVhXW5Ufl2wjY>9 zOyP1fX3~tk#v%Uv7^-a=L`{|RP60VhN-|4+c|a-#!2=wsDYk_Y~a|j>LaEnGs--1EvBc%)71_}H)#ix z%^>+aJ-f9z|_zu@kWTiZ*=CU+q>DgD??g1-*mop-O-q*pOmgk$ z!pRZVT7Sv~%j+{lxvLZq1R$A1)Tc%j)JyFlpgICn7q6zn7x4zUE~(};SvV^x^1&_X zN;k}84f0tCzbKden(K~xR?XXGdNUW81-Gv&&GtEKP{4v51%&bhqJ3R3ZZiZ)@@azn zf6?8H^B$Yt2!p)8zLRemTNYy!G?ll+`P5sOXI1!^Z1vSCr)h>)o)u5wwU z0)gl-7Yx+Q>n%0Kt6$8xxWi(+5n)q$^#6gmOQ|IHiFxCq?vdcLSGj?`!hX@=Pw+CC zEwza#!l0U~GNm}{j8(q~%7Vk6DAb|btxo`S4WYS^nNlPhR7Q+nHSs~)VC&F*Fe!)` z6ti$3U!bm6!5+(y4r2#Xc<+HJIN2a6AXqii|H91iP|e}M&nYb$ZCjM< zDi2`k&{56@`DYhcfZU)k%3p9Rt%NpOOerAnEu|ayU&u`P`u{>gTmJ)){tv*d0X!EL z1`R4oMg@^g?Kk$}S$L>>V8^$sHjs}00QG|Z0936Z01E=7BWK}1UtTbZ(y!H4;5iEp zh~Vb@2a>S*FA4;>O8pPu&1&mUn_5PEJqWT8{|~W+L6ywOXmI3MsMfJk1ar;P{A*u> z%!KQ#HrO+*(qvM=6%6|cyi;N*&%h|Nb-{`t!h*^ z71S%mB$3RlYUDJ%a#F(GOCbDlb4Y%>7@(qaSoe#$b-M%gY5qary|=~ZGDbU>ryn9P zf}Iy4Z~$S-H=A3XjP6=|jE78wQ2(;vz5o$|?H)<)4)0WBhtGQ94e#|EN`Eo$5#3v# z5ulJqg%2<`7o78qe7Ps>C|Jza5Wj65zOEbY&&V?P!~DDbcet7TW6~=AreD?u>0_=f z-LXW(%d_nOKkYvcm==~km%Pl;@rxgqd+&|QM_Yj<20tr#=t(a_`xGM4h%J`0G*KZEVPZbeh<#$5 zSRG1G(d@#YR;ZKNR4vhIMa}qX%%&y>rI}94fmf@L7EeY>EMbX=7q3z^=ACPdgtA;h z3@1PESC5gF=dbFNg3chOk3on<*%7|NDM|5)cRw4BAcq(Sj@WcuraBhwutKErfyZH+ zumy+FsFLh5h4F81K8%D_#gzv2IbIo^yu3J6vlc3k;}kc{H@p^ycOn-2rlSh7PZT@t zqI@157z5v1WRh~3q-FXs`2@2V^BcL%1O;0Fi$d|Gc~c(nSSh?(7^|-k)4W9UuGt<% zXP?f=b`O{1cXbzY%xj+8Tg>zR7{kSMt94wj)=xD!ap^6JVY@=>Vq7xh#r<;dOUyOL z2@ckKxIfgYiz9Z8N??AZX?f7KesYO+rq`N#G_F?*a+YW057pP>9d5;C{3!sZ#qa`f zur`lylxHkENHckz8`dWW-vRp6+k-x<|K)?S>alwYHhxr|Bh`=0Gb1;~md=9x+r^v`=~LO!c}Q-O=?e&Z z;UaJfxiT&{sR&X6U>!7tRlPJbo~(n*|MSAjx-<^QEY8V&Dg~{Z04&Smh|OjK-H*S+ z8H)0I=Ox7DcE63miM$S0Q2#JQp}zIx9mDP$JH%>q@&7aLX z70$ayuly4|UpTRxL!%jxXQy5#>lNKX&-$)N6&h_*3!YVhi;)Pea2mzviKdFFF=YM*2i64)Qo@f-AgEgoD4S&+O)F zxLuOt^lNnGal;Ik?Z@1gNPVY0h%{m__zWBLO+8s8L~Yhp-IOvTdYOYi|8C*aOuojI z-Fya8>9^~gw{${WR6=xkg8t8zf}?QJ%8IN2x}4-^#Mmg%LzxKl;E)16a0DP8+116( z`v(ifitQI0vdU3k%1;w$Qw6Emsof-;G|%Q}`NFK#9Rz?mbz06!Ph{NOrBC!cd7?jm z;u0-(;zldE>!lMXzAvBx-wRHcnEk1o?Xs(zlIKyN+AUvnkWvo13dkuB17FIwUaPrf zEtGC}(Ug=~T4|iA(et_6fX?Z2>fE67IBu>|Gw7`N)0)I)F}r&y|Ngtuk!bscot*Ls zs5YM;pPmnK*GF`TK_Iot&IATPd zE?YF)9y$N+dueDu!v);L{%4D#Al00Sj~7?t7N0GC1ghpt<$-s6v~#$Yx>RfsD(AZG z|9N-pNUroz@lB+rs@e4F(+sAgmhekmTND!jy_jXOHvCFbsrJLw9(4{0G}(I-k+l>X zFVVF>@2Kq(L=In2zmSEkNZSp(3IJ|z_Qijaq*CB%mt}w3X=B+U-P(K!z7Z}#R`(%d zzTqvY1E%L$?8ZX66*bfHiAYf;_7RMpcT|8{A)u_E5%L!qNqjkH;M1wL^B}S<9W<9Q zuz|qUAnvj8wV&v%h+?@-lZFlBpkL`yr1cxk<_ye~js~K0!WODv>wx!~TJB>0t1iDu z*0I1JEXcH|lFBzKwySOy;)A6Xq-+Aq_a$KIzj($r9KCAU(Os&O_@G!J>-U*WY(?d5 zcNu5U8Za@p>zjZzz*DgPL5>Tj{IQ%#u!olLl^;$=cLj)lgy1Py16=)Y&6GY;dYhc+ zJABN#=|<3^#`Z@EtuJtTNKa$}e}1wJSEl^>N+?z4ivGm_x3P={a(qP+ndWP>S~-ca z37H+(xg$Na9ytl5Ntr0t!4m_t8951=f5#?hTXGVSlQPEHI|XlOJg>(;3>vUs;SC%5 z!|bwVpoK{~>wFw~%S0n?sw%KV%Z`yeuA+;ZUNUHq_RB|i?Z}+ID7^%r>_#g-hyHop zm@+%5mS}*WMmgo=t2=REULnH*OOIvI*3D#o)u>UN9)#OMXmQbZVqR<>GGp6qz}>CE z%J~}Sr#VF!rc7PBd5l3?)D?RIwsH9SB)b&Lq=XOQb7FJCqI7dem-^|kh;F7I*Nt7v zlP(}Z5+v*Z;Qq{ci_-TX42g`Lo5q~kNtgf0F>_$v4#{E1u{3DVvVYy^UYA}&*lou= zHz|Tbo?yVD&*8JG2Zl>Q;@B`~vAAk%{_og!(+B3Z5Tg|fyYOzi!6Wl*1D17GpH(Ty zUW6#R4O)z^8iCmV;SU~|6aRPP)$rL#?th_IFnm|jmM2?4J~)UP2;EP_r`vAwz+C@- z=xMW)E&sCv=Av|a$mWc*o!O4fUv?RI%pRG)j4|+d_1~#GjjkF|pTz(;?N#`q^!Jhf zJ-asq-N$18#+^QB;4yw=p56`qbzq(jY4Cii*AVZ&U z#!orOg=z8+G|hs5U(vjl(T>^9Yhkd9ahjIvIboGTJ*WG~P|g@ALSB%XlQ-SkHhd}CyxgVwELJ8l%zD7g9Uj1zixw6<*28<{m0LnTV&V*K3*ioIA zsR1CIu_w{Q9;Bf`y@+YWi&dk*iif9&eoIu^)g5jP_MTX=&4@nc;#9i}ygE#6SZ2jr zwY7ryxe%Jx88b94e}P=(d+Z|ygBoUa1&IUPgU4KM(#l%~gP&TLSMX}Q~0Y+X|Llci1z%Hef7{p<*ag3fFqpEK9C5Dj0SDeOl2$zu6bYybNX`Fyr z5@9lI=IV`O@iBTzj5B+B#v1%9JGu>_VFD`GDC_qx26*~^s>gJ%v=3b&Anjy- zy`moxI(}zj0~>m-=p^6ccEU=Ly6v6ndZTQZ< zoj)jh{)eMvP7A@uX%ZQyyD(3(0#A}4XCh!%N$97agLad z{5dM5+d1kk+<;!Np$O78T!i70TL2bs29E0+%adP|Su-q@5iTY(->csT=+6I^{4Sz2 z<(<ehKbW^27&VWbhaCA=Kc)V<>+gA!(c86{+ON5Vu>sb` z9bjGmuWkox=$1EcM;ffmol;vv%u56Utg2DtoRRei-|d~SYSYJ)FB}G&j&*@@GWk`C!4Xsfv@S`e*j3Gdkzy{qKU3|Cd zC;ALv+ff=$`+DfrO6XKQ3>jH1`L{s`f%8uZ7b4a>jI9>q{jauueY{>=r7ivgg#}Ih z2Vkwk2iA&rp;(F}1{m-3beiM)9pf_Rna$1v=+{3b_G6Y9xiJ!Up%GoK%ZlVc#gx{d z1wg4c)R>>MM}BWj4}4o$@vzzaUWNArgJ&2EPV_?%ph7~Shf$g7~Brdi(lhS{draQIbWLcanIH|pURTl zNDqX~_@9K{Q~v;Le)Q;u1jx31Z?B6{+5Z0Sc7wTLXXo`V)*0^CWUsYG=DfkXSR98PQx6!a7WD}ycl?{e zyYYG-3+iGt9L<@WZ>NcFwEm=p_pqyVr#9HVM?MH8VB9?h#GF{~rhl3r1RRZ>dKgHb zn_-f5nU!1*hTJ}Mw(^kAk<>HRD)9^tIy;6yx4u>$&mFj6d8)Y-p+E6@su#`^5`H+1 zSbm}l@Va)tIMIIcyFQ*Aoo~Q>IR3Cc_+awTCQNn{KkVq#)m^jmZQl7-rRPsrk)iZ= zAmH)@z~An||9hi(aed@y5Y%4w_|W)kesWQAYoRUuO9BEeU=}!8gFHUX|83pJzq^Jt8jK|)2ZNQv_wy{bJP)np$OW%6swuLVE888JOOR6`s&>}Z1pyi0=koe4Aa9$_)BRS1Ln14?ZO zxfAi)qPiXI3L7{MVAM|^9sJ(BpX+(^^S2vYtzRAS=R(vwmlAaphs)0R63hw%qo08< zmw9-Grymy)ALo3EG=6rM4%hyE0^N)({!+0;bn+3c>U_AMN7 zuj%k%0#0Kt*Zc~-Yvr4d{;oMZ)e8S%tM0h3Khs!>c${yZnD4K3WE`w@{MaIFYHO)| z^ZL_GZCXen?F8S9&QjSUiR9*qFrKz&*|)Bjmyf1z@CezV)@Y5w)*ClY{H-+b=lxkjk62i?pEM9~s1ien$V)cM-JowxNSf8s{4r?$ zkO=5~{P$n3*b0xWwgCSeZO*0FpBGDunbPTRwrGp-z9 z_3AtLy?gj;?J7@C@(H#l2gD^U7-_BJ)wRdQv$AFth33i^22>4|tBNK);o7s=WYMQf5 zpM>%}>U-Ag86VG{pcMD6ega!|W$l6+csvp7A@J*Dk{5ru-=?x#uy)cY?X9@_0@@<3 z-K)1W^BQv1(CzDh0I4U>@X6^d28C>D^2h_D*`V*?NncFh$GF$ul|G#LKO$P4o8S8D zx0FY0?iLZ+@QwHc+`|&Q^I;e+h(Q-RF}js8ZxGzsd$4v|vYmS8;RtjxqYC=8B$eat zqdGG~ZNBit?<5Xy-`YMF3VCVmT$gRkJGJt4{T7OI#HBd%HGV5`OaM#V?ME$(N9-11 zvdvs42KZZxcRj$(@U3IZBHUz)@F4aZAc*v$4e;C${tIK<^{|VmGg*&t#eK8 zeJaE*K0VkmXxyVjI0j^MWlf=$ttDjzu9q9{J>Be{eW{zUs=7bgJl!w7S$ySg`Jh4= zAV@9*C#tLE9&;?~5wpwE*?BZ-=s~`ZdUka2X_d~fn)wzpATX?M(nSG{2^oNZ~C#zNvb`uTjAWjW&84w8Ip#P&@* zfUJ9SzrHNotYZHQcrZ`({M)LxC#oW5s?^id)iw5}v(Ei5n(kl2hh2YXcfPH@@2aDJ z_a7SnT1o&rM~5Fj)Ex`E;c{1f(A+l@Ba?(K?cWX%I#I-7x_F;O z`tM<%qm6}q_?9ib3n#j*%umb+eX8%~yxvWeUNTq#Nf>0rGMdL5ga^Ze``s-yC9?Er z9zHmjo_ST!lzRf;y}&_N^N$zeFUAsrjyU!0H>w<^(YoB{gp_qwfedpSLz(JsZv#CN zhp!26U2CFbGe6!7zL@YeU_2_{@7Z`Xm%~}15-dO!z%Ed15Ir<)C5cFF=)L3&@=UbR zSe17G>g1#9FR7|kp2iDo6t#cZaxohXwOHe1etjauwcu1-<3+84TQw)IM5iT)SCEk?qB^x-nDb>) z2)6(qNyJ9;Rv-a$z`j&6pG3d(;e9i_&E9c_-s&bFqp#VD_l~#nm?1xZrPfSbrQVpA zp;F#bKkT}Mw9z<81SpE)k&oI`0GTX3s^`mApNFLwF*R70b)8j=E#1Q$ffbnvr=6`F*e3PjvSCdwufZtmm=C>#;`JQNgsnMYP|k zK5c3K>DM+Nd0w++4{-N0``btGsc;w9+D^85*>GPsA4fZnzRm*M#7C)@Z%Hi=VsYM< zQRh4|hemLo0CykBn^)^=T~^3XYe$?8g~GVdfB!-np|V+497+$~&gql*aqy9ok7C^v z1p~2Hpm_5puZAsm=eEFxijM!xWHC_QqcSc2t=XBsU-@_30C9Rhcl5i_M_AR9d#)!h zQJ(y?myR;f$je?WxVCA$_ImSMssUoj#EWupxU8}0sDLr`X!HO2Cx0r6UJ9d(^dF>~vTBD?Y4=8y0-B?{qdzLAw$v}*TUR0(OjBY|O!n#4fOdP2eHE?z~hv6=shu2ALRb9{)xF%8R9fCcKW;c?=$e;(pMOCE?> zli&u#6TpU^1#@gEocW>HY74VzAgg2E*n_w2 z!TLPEg)(4=sxZX)kwVh#N5qfmvI_*fq><(^fJJFUf&dy;N+~wfII1zcP+E#E-?hm@ z$8{IQ;OX9~^i^eyhzG$3)!{|9*cUu3pOl2c$>wn za2MQM5MlA&kddSb8xMYez`7qC&MLi=h2>Mm;Of(1WeBt$=&#K_tt%Bc{F^L}UaH^( z_x0LW+eYKvw%^D}&bdX@F!*PBShMRC5XrvK-rjp(-%F<6{jgB~CGqfDrjJ3Z@K?bK zVbO%>MtFPji(3*{?4cc)2%33kp&tM07IMP)+nwF%T{Fs=#PoUw#Yr(Dr>++9r#q_^ zf17g3(t=OekqnXtm8=AB{ei#?nv|<-6*2I4*4bm>P-dyDIf~KuAeUe((#2YdS@$BB zq|lgcrLj_!BA0+7HHc^^G!mdOdnwCDqfnFBb~aL_Y_ROKb;4B;GZ$*2<>g~isNj^X zBK6O*Ua{P-DaOO@3u|TZC5ifA99ppt`oM$d=;JO6t7VVy=~um$!oPEl1Ol;%= zzc&2M3h@!@ZspH)PCNxK*c0hUfYr_S!hahdSPvaMKN3|&SLOp!Flr5>n3xgv{H@D&c5StQ7dZ1D_m=Z~C(XmaI_T>5I-e4Y%ZNy!vq9GL9tlXWw{iG#RN9o0%Lf6Ohm6?52lKUuy6Yj^Cl~BAHVrPNeo%G#~_ zWIPn_dJ9&IH>`Y;eE^opQY^;HqLGs7Xxq;c@9wt9nyW5%bbU*!u0DKN-#k0>@I1+^ z9TJ>h^!IBC0Zhs2rKJN^>ziciTYFlcR*Zhw)nCfgSG4Z@h3+$m=RWDVbui3z>5teE zyR3-&EoMvcw~{i8b1m>*^jt-k5e;iS^d_c~kXvr)kyW$0R!lw*n&k-{Av?)$(43@!!e;=Id-yp3bAE>x&Cc@nmB60)T z`vsg*l2bA{#wj|TEVpieY4rHlnGMTg-J+qd4Nhq$FVSy|sjEYFV~etpuHE7c`l7_q zKHROeOJEImd(tF4rb7r;ysMkYKC*W&I+OkI7n;Jwja3dkEZOx0c9ZSGF5$}F^>(I* z_j*mnd(Pg`qbk;uU)-i_ol=isLGQOR;Y#0kJlZYH9>ZeRBW&)xjoVL8m>QGzkB%eUx&VUB!kz2rt`#}VHf+!DeQ?eFGQ1wX zBhhe%Ncl$?E#G8u7OFE|sE|y)tr((%7Gx6I(zO&8;oOyaj7o6U=pqgpEa5XbnU5j9 zW)&NGfB)$fF(N-gN)7QfhuG3ZM106e3(sw*?X>fY9rI7g7%h$}6sNFogAtYMDf1hs zjz_>?CVRQ9<`(Jo8yM9up``oA*_n$%Cm1Iar@JA=MH(y%{On(q?;Hb~IvKk&G-45pW_VSDD2fN;TTf@G)Lg2~z<0FohiNqAjD?d)0=JN(P z&b!}_7k_ufo!eM?yxS>vHv3+ zQB6;x$r0Pjq7mDXyUO61Q0{%-AH2WV{c@{uLBRw4_$iP>?1}P^u2a7EY*o<9si5$^ zNw{tOx5tYL{nK2ZatRsw=pDNl-Yp7zQPLO(9~)aqq)JAx1osi~Pu@1}4HDLeV8ayD zaNzv1y^mZ>p)Ve*KV_5{5 zY+q#VrPElf9E8@GW{?{f>ppaTbGmf^1WxOWkyBINBpELr_}PDE5bm?_NN=8a)5xR z+k3O(rBx?L^Ex;-`KLnQe+9moD3$_F&rA9zTON~lsejFlw7|8sa3Jm&2uU!DShFN z^faGo5Y{RK!fB?KD#2LWS`MXyUJU3BA+ ztiD4>8DibB&uCy(lkI3pxWz-3WGg90Cf< zqlR+n?`)D9mhJzG7w~^I<9;?H{(mw1zk0Pid+Gllynz45U4GRJ<#pk(0Is1b1a8fv zX?8tfxZ)+O)QhS8rQ#*I8&W#b$gs;d;C2bv>?~$A5Nn z{;}s**HcRQjJs<59#Wf$>NzN;44=M-t{I``2=6O`yT?xxI8!NMAGD{VU}^@|SEs%^+BY?QRG2g-d! zwYWZLa1~YgSZj1sS7k|6X3c3TGQEFo*0Uz@YcYIK8_zhA&=zN0hZw>1by_1fyJZa( zukG~L>Y*epF^d|&o!YjBusT&|O>V5KIqrK6S4ba1$uozPq_e6b;L)>B z8WH{vM;Bpo4CJuu%ox>AvFk`#)H5aZvm=C(LqNJ%1E8asiVJ)xaq`h*$+C21|U zQvxAVlv#afztKO+YmmbF9~7Vgt>qPm4)(Yaa!5aST0gfLf;yF#O%J3NiRb>xzjqi%}%3>-ypc!S58Nm?MG9;L2$HZa`cjkned6lj zDEZfofkW`4>%gA^P51kafq3DJ5LDs6tllpDLb5yzcVzoa5#E_7!|~|2!b$mevtk#! zoVfe4@v`bFeZCU5)nlWN=me?HpO+0b(Z5XMeVFyj5`dlS8D;l6yiTME3@UBktm1Xm z()2rfStf>Mg#JA%9ALxcTUGg!Bp{-&bC4`9QN={V?6KFYC@v?TlI2MEm6L@~Axk+~ zWx-UxN{T{<$QoyNpv^1e%`6f7;%fo(2k$6rq|6dMaS8)#^!{+>+{VZ1Vb0JgyPo0vuzi z?`#nyWNHXkL@Joq$QLfAD5Sk=2ww;`TNs6uw^#vvqZ}b5kD!y;BIr~PaiRd7%vL}r zZP4frViXNAGPMoo#aLPk62f1?ep2qwkCvI-N2G#iQuJI8pwtOWm8uDZ`qT>iHkQVbm#LN3FfRGqNi@U&Z9gmZ!2xxjE9Iarx4 z=n@p(VJ+PGKns*Y&OlCRu>MW@T>mNn>uabIxmXh^2)+i^0OwE0g6Z?Z0EDoqlE%Op zVouGr=tx5(cP%@Q28Q9#mcmwlvy06`Nn0MTKi3U0%ab8XHrtP1;#eFd{b-geL>!4akr&m4p$qar{_2ayFV%ofA^q=74sh{UOro4R7#66BF zSD%?A^^`uE{X%V2uN~Zu**iFQ1Y6Dt)8kq5`Ugy~jLj@!032yWKO7=J7vQl;7qp>m z0c|+XAT~a0p3i)Ae2_0S1@d2DLim<35dPz(B}gxu1L@y?J<}V#pXnD6I>ro3dHvaLJSvT&j`*mvHz&)^~7ekRM!PR0o%Q z(cmt)0h!{!=r|H&z0g{ZSy;_QyoL)}cnvodxi6G%@UcYYSB$oF-|WHL3GhhIusXwj(Vk`mT~kXk5x;35HPKnW@%x>hP3qf=}VS#^hM zT02V`LX}(!UYnB|n3`^K!%jX#^YsMEyVwfEDu)#F)2}7{mDuL+Z!R=KQUiX{N_KTK zXFMx_HV2J=5?h6Tl9VFbm?1GQ=}^^DifeQM2b#+}A?IFHokL>22c9B6*OXK+7ccL`1Q^q7 zpN?@hw(-BZj8Ca*6%w28eWzp8j%rNGEUK2^nV1+?)vCodf6XDS8|9GFOe3vpn@T7D ztrXM5yRx3f47_ldq8_9p_bLYD;u@D2RMUol8oHrh8pf~xi&={gVI~z-H-k~fte;QC zf7vi5ywQXtw^e+WE=`H1Im9&6jOy5?64>s)kmniDOQr&KpIN?jbnHOK%XG*Q5wQ<=LA(-PrOjJ)+~ zGS+AoGbFm!H0*FX;{~Z=a;B`V`zvxBiLd(PFluqth(aDdP0edJ5bgy8GiHvQ~90yWV3J!M2cHDzSo8;3CKn}+D?Q5_WI*w#xLcFy67V7a(@ z+&MzWABtvH(dzK2B!=|V99uKlV_$H~P#AbS)MU)x>G8Tcl@RM=;Sj=>Gc+tt6{I?t zNh+A4iTVBzHLSon81@aw=+sXfrh`H-#zSDWV-2HIH`!)rB!KUu zFdKjhM+Z_~n9Ci@Na7bk5rm2p^wo>LC{&2*E*&$$g$hwYgeBna6HTFS_<*Y$17q}J z#0Y8-fhCgt^-Toj2URFEFB0(lR}*TA!)0p&p^S9jVQr*(;sk~o*RjwS~;{O4N=?IC&=DE!aNZDlm3}S{tl7q{*zuy z(?&p~3#$LoWsh0p{`XVPU-ptznEQ#%^Lh?vPJ z$>2#qsWzy-EXLzeGjhPrgyfm(;K?l^XcOZ$;TINay4V7*UNCE10;g zf=s^ghop*Wm3rD)>}RosE!%jo)gz)lC?~LlM4ODDQQhO61KO;@EL<$Zd4# z-OT%AnNx@PDpVBwGE6~p_?L|u_(v3CY!|P>pT(`9*cD-TsPh&gZc>KP3F-ZNAaA%|xXXzFfrBsmWRmWMVDM#x*eveP> zR8^8Xpwddoah1e@OFdw5#ZMu+89no5vKv%>oITTmb7vG2XK5A_rHqm4ZF;d#LxzVN-!Zn=AesIrr&%!-_XP-k2!Z#$5NC?)eVGHU}kKbTXlfz=7vL zP=~vdlo3~u{%SRDcn4lp!OPTq3M{RnZDWK(t?F=tIeJv=HpwYD<8u85A|FxsXO~?4 zXO}%Rh|7VeZqTz3=bsP@9wN+}hzOR@i4C~Leil-a{u4@-bXeqdYP=MTMTd_9>WLm} zDO6fbxUQ(#|K;@(*^FNN;>jl>N?9!>+<|(ylpF`Ah4WU|wuE(|MhD#=QB*gfuU(5V ztN^l)jlbYTs%9}`gCPlA_rXzuvPNV`f*DCn_$aVLA2lQa-zfichu!Gs=o>#lApj~- z$rNZ%ir(X93M9xra@Aj=U<2R@F(!Fd*6RIJ8q2vW zwydbVcf-(mUWib6wp!KvX9WfQY{mS~>JH}F3Jdpnw*83zoTeKou+(;)vc^4-C$Qft zhR(f>v1pVDZiJJAica2$1B-pvDRUhBZXCN!A#~!c zLQ6%4owyM@7Uk~wO6j)-^q^4*tC&HP?C4=`T>NB}v_a$S=s|8c44eX1gb-4t;lPWrp%L#}ilgF0)G?O# zV#{G7EfRn2pjy(G!elfWG!ueE?UOBtx`7{5*Vv644Sf9w5@{|#;=?F}_$w2Qrjb+o zM3Nk&DGEYpvr$F^fNnc6*xDPiN-P9v4L4ylfW!~B=0Ae8?!W|FSH*&@3)ewMgukGp z?*qg!sT7SShQdd}z+cJeJJtkNaD4{#Cl`j0=ufmCoXpMrR{LOT&=>}K?A#BHK|ClD zf@3g+MA!4^lhzV=hq|0nG66Km%si9%l*ga7EZ<6z6_A`EeE|Edv6mzHep*ybOq8Z8 zlNm5c`tq$E^b)dnr!)t>j8h?AQY^tJmU{tUfOE)VW(H&tPXqzaxVMGRkmDE9-hrZy z0`{6S1=!P4pLCZH>^VToM1yQ^mmn&+(psa03d9PC@ydW_H1tM!42-d^6_EtS$k9dt zW2|$UsbJmMS2Zb9#jC_gh7D5}Y*K*99$FT$svybgAjwK`2sJ|e*AJ)8^lSU1*HO~H^{SmDFeLGLvvGawTS z8Uk}!;tiT4^;s}uDTF?*9xm*HxnL8)Tt>*&p>%h5 zcXu~@8@=!Md7kedersJbbIq(db2jJfv-YeJ){u~yfkRt8?FH~Bk~>Iq|D}+hsEZ{A z#P>ceHpf`RwLVmoA8XW2c%uV*fDxqlps93vgoz^pm0k2$X{V(hAM{)sC4tlFv9IX5 za+w!j0geoAlOvnVH|`-muk1?dR9!y3Eh?Sc%lU0vLd#fC;Hdc#Czy*vL+#ass-=Lc zW&>8Hlhyw;pWjGF)SpoW|Q zUdNv`J{`;IxF25`FE}rTa?Ji5%wt#fP&JTT%ey6-y2fLVIiJW;pLnbW@4-lZ?H~X4 zAfL~87gof0cV(p zwvMe&!*-2ri_g-i?2Mko2>Py!w{x^cZ>qEPUdD#W{eNv8}x)4o{ucC)`W7Fe8*p(=3S$r2aLlu|G@P2WULuyy??8{pxPR zR9H39X^20e(RsVosoD8utJTWL0JDe(uTHCzV^U~mfFq8-M~4`>z^JNh+Jr5x>~Y3A zu55i~Z&t%mA)^}4i_+JmI0ao|NpL=4@Adm6T^0RIwm$7@d=mvcu1(9^_r5QhNgQ{G zy@?&`qHu2QA^Nm=6@jqE*c$elJ=qM`hl>7hXW82yoIv>?jt8F*D7Oo1ys8Gp3K>^B z&*I$eWJ^$thU)<>2#TY_8b3UXl`>o>pT&9GbNZlI0oS9C9~75_H8xf8Ozp>%3`iUW znk6!BsgArV=Gk;o7vNS5@}$g-rxuPK}zm)ZT2$DJB)^<)RX8GceS z4R$pu%%P>;k}i9ot8lJkR~w7|;+Q$D`*kK(hd6xEYk*yCIMk4M?jmD&E}s|Z?EUr5 z3H*{}t{ztncm`}NaXo!_blj?&G;PPSzf00lvJ}dU|E7O8(zart$3O4s2?MjQ#@1}1 z+D@tm!BqlDU5+gUJ<3w&RM_=p?(2o`u%D%%z&y>YU3amOP~1_@{?%Qk@!11iZ=&6H ziIHF&WNtrtKho!et2R2brqog32*M|AGF`k`@!A;XzAc0t>VecJO)yzhI0x}(4a6Fb z^Fh}eN##rU9O9o4FhpNSpj;aB{2@FBEs!9A6j`|D=uC2m2N4JXWNuhtpT=&4YhN7k z??*5hxvVsp$H7n_slNzg;<=TnLnq%VQrE+S3|u4j(|59_rd_`qiB2 zffR=e*(LKwvP;9-9xnc(7W~5E;1{J@*6k;|a#gd@sXh}AgPl883hJ@@7LUJk40E}a zoQK{|N2{zS#o}DrvCrSLGvL-hD7Qna+Z~jAetC3IvS?8%c5v9`N4rMx^EEbsp(q6+#3(d=g;#V%5OHj%);I7M8P;sX{udN<;1CeBI>BTMY<=!6Sz(WHbWA~qJ=&PIdpI#HnV6>~deIm2>zqJ7S zNPWjqhF{dn2F1yl_RRsNW(6lF=3{hSV`PoY_z_4RJuW=`S9%~6ZDx!-4V|RvYRS~h^2V77futc* zOIXNdY8&EgGZJ>1_pBK9G0~G8+q6rve8LDu9pmLgOXQ#2Bx zmvhZ#rjCBuRlY3)zRD)X#MkrIQQ4I|Y}358S&n;G-$vxA{Tfv(55Z1+Or8 ztfl^Ta|TO3>N&Jd5h|KQ=2TT3Pq#hS!&fycf3eZ+3Q`?nV~r&5^Zcro42$zyk104p zAT1|7*V2hCd_59%8~3yrm4pAS5Y@!&@-dgk=Q=BY6vZ(`!783wNG|_e=sWsuo@4jf zlrVD}{bMKnq5v?C+<_=xFb+ld%j)MiDtX(6XPcLInkR9}Q9aXmq_DM!3HX`C#ysPA zwotW@37DA0Mm?i=f)T(m39}e5;u*w43k^?fy9{BLOhdIGTl0HsC z!SVIQaHc1}^*95^uln9`rYFATI0eU?eHfhS@o#sJC!x?-!!?@COk%g|s(e~{IkNh< z)+h9T6>C&U#^CM$&eSUV!8)5Q8tyXu>PBp?SF@J!fE?X6Z+H}VG2KG8tTuT1V&170 zJn1b0n(w-8zE`u|zyAh>Ph9d%slU*4QA&3GDB7ugJQDM!?~8j1yA7u8Z!R(L4Jzg` z==&iA*C{3qqi7#re?em2hr~V;`|_=#R()0kO;#ymL!i{3ETJ%kx)D?`2Nh01f@9+i zrgM-0`l||Ho`=4_3{TJK`U^cIre&K0*;`)*C-aIvwPlB+4;-W9^2q_<@0JrLcu&n= zz>{e4AX>2CO8S@}{ixaN51F9-s8LMgH4$Ls3=nf-a;@GBj zOy8HX6@+8Poo}Z$awQ-!qk@L_hfL_8VNg7vNe)^PENW7LmL#kd^3Rs_6)GAS#hgc4 zlpQCSeSs52>pFjg#(#y@Anj{i(-jP+&=ohc6AUH;`}+P<3Jt8blR+#>jn-wiL<#Xy zs-U&hKymO#*%J=AP;ebxe0`zdI@Yr31cO#mB_vAyTaJ}r0J65D!BNWTmStCZk7xr| zGhfVo{n9#|UtM7Q{a_T%htm`i2kiZaOgw%sz?D*766m_dk+h(}mB@{FpcL>SN9)7; zPh?9A1dXL`NR|4x?TEUxv;D3>Ky+av&z-_%xPV0dH!Z zzQMu&)rX3lhx|~~SX3{B=^$n$0|w^gz`nB~pbG>DJ*2*(J}M#*d= zf6jjKY)0PrLV+Z97@ty(&)AI)QpA%lYX|wiq@j%180|G} zIwA4|pf$BpM4AMd1CUM(r9)OxXx#`Q|zn-5!&%l2?L&UXP zL&*J~XGo^d8Hl*^_$o&@0e~K4kN_EcsM(2|zbqPpu}6ThL&zC|P{RfoI|&&3zYJdx zjYPoM|6z!u!tVS6h7PTPRRPJg!z@b^A<2K*^5k42;Nl$LF! zfWfV^aqX{2_%AEe6kPWO20NjGQ}sgvvsXZ`{1CVXP=|${~E^$;zjAIX0pADrk{7rI_CA8pRrCYdCZOhYE692WimE(7^OAv8#Z*>yH>^=EN zNM(13n?C}1p~#LfQFg&?V)S0n8Z6v4258M-$*%VPC9Y)aT6(TMlfSl_32k$g5>*ku2IxkDK&3mB+8zrVkGM#QW|7SM&KeNsfZQ#FD ztN%xs^FOn=|Ct5-GXn#y`IH@Tp!;k1D{P9_+U)0+uYs|AoK{8T{Tc~(!XI99+(vX{ z5C4ppi10|{)B8NK#mExaS4>@6R zG-Kenl?P4DK~tARK1I-!9yI;UWLVh87hkNlRNDD_{>9$MTa6mbd}V!69->2y__40v zk?&HW&_5PwB-3BnrWR{D|907PiYy-6RIms3Md~Z6dBTL23l}VPG7GBM+ZFWzd9mvQ z$Aj`|q5;E5ipR+wu7G)QvfeNV=I>scCeTHK%35X@VHQafOWQ6tWKWMz4dAm4Pgf@E zg(F`RFu6_$5@|jVhuD-vn!b;f!B#Tv_Ycxe4z}rtG<@$U|2*f!bW!%2KK}il+49sv zG%Us!!{Zg4;o6}jw-Dz$)N?=%lLN!>v{Tqxc=0D5ZtYv?&+Nl6dvEcnqBFc@vxv6i zDUJ1A5hT*5ELFmhu4u9l2)9vwtiR`Cz)FdsG$s$Ii}an=uX)eR@IB#qF5U{xU)R1L zI08U+xlpcB&x_s1L#O&CdbGeEDA-Rv9(u7PLRYGL5Wkn+zVQPN&o*s|qc^axQE?6Q zjZz%!{V5a*n!dL}9&x>H4wiw~{cWcb!e=>!+Xj=t=I>M_#%D-3?OLjMZXZ4^8-IrYXYa1&Y42} zlsvaaTNofD2nd201Bfw&g4mQ8h_Ud4(5_Qv6XLk#Lz%DEhtef6+C4?xbc^#>4jM&5 zJZM(Pmwd~{4?T>Wk&iU}`4?S5nl>%oPf3MB?r(EOmi7%TQG|~SEW_gr^l!nRy`bX# zdPqoWk_#DwY<$I9w{_%fMmU({m&7KumVse5k0vGMN)*&H&FOs=%M04G(!b+(|23BX z&v-DN=Qh?|BPi&G$vRhz_@RfNNvzEiueu^N^3j+yF2g_&d9J5l3plqnRW3(CJmZ}n zROPuHz|Ok(_~F+ zal522I9b26gj+)futrUFT&&boZhH=f-IlDaI5aUtbvQhcHeM)NM9Ob+XQQ5TQmRvY ze*7(>?>)cZMYs6kuvgUf@QCbtKuuf8e(v6ah5G#Svj{@IsNY1X)z$gVJ<4Up)31zy z7Ig~E4LgN)0i6o0-^rci8P~>ld3V79lMX11SuKQGNr~w=dCdC>EE&!zLKImoyq3u3 z(plA|C^R5&MfC^p)BQZ#+S!Mt)pZjlN|cgWP2P?V+U)y)ENNvia9cl_6NWKBL^}jT z9DHKn#%F)CkScVoIsvr;^9`^3u-4LBi+90x5codFukMcG%aPZFE&)2&wTG6+zVBsC z>GPCO!H8v0_FA-sPtXYwF8Q~t{?dR^I8WlD-(}ri>wca9*cE~&t^j&uvu5kSE(>q{ zv(1MXuonU{l8jL+0ftoI(eY!v^7A7GtR4LP)mjr6x8APufJF0lEab}|-_fg=5oxjZ zx4MN{9^|P6a8g;An9RuDpDI{MU818?Lw$%8hf2h`1h6cb1cVG?&g(ln+3CVV3^frq z9}0q%Rqe0WVU!!_A%j2Nv=4XwRAxl$IZbgtE)84;onprp?lQX!S z8dTTAMGs!x1s>NHEC42pMiOQ3xrK3MaUD(IpwDA5{zAQkNp?Vb+tyM^^rR=LBy_*S z_38F9S6#^SY(bs%sY`vS{q5y<z3kQewm)i!3f@E;4{w3u`AcIx+3O!7BDX5C7d{i{tK*^^`)Hkv>?G17Zl&j9U;OMMZ;GOYC47S2WECAk%Ow zeK=x8vyT0$85%9Ulge#9w))bucPBn3Zu);%IgOeE_Im_N{|QspGeB|q0qYC@U307d z0RZ^wGoD}y4qeN2OC0?51BQxa27>gkH9dBE3r+#NugiDH zFdssrKk}1pKnk|}OZ7f#E3^B~*zZqh=XzjhQ}E`~IUQ2hjHtTB(4Kxa(ivCrQ!$m` z;tpEnYWG{<@kp(o{&F3wi`54p(|i6W1Ll!iFKfBl{_2E7f6DBZO6EKpkp#k{dE5kN zVXk53VnmNvODHT(M&O2|k|ENU|4p8`KNv}PGZ+bmHMQXE@(tZ-WBKwONK()(H3yQY zg-2I|9B}{5fj1;I_um}hTbVXKivO3o%8s)Uo@QFNQs6oF`^XZ4zh85b>6o94z@|mB zK>gS1wxNck@c%0>|0=-GJv~CTsI6uq^ONa-;s31-ue@%lc(ct+IcCA%?Pnto%<`ZQy4 zSIwv_1Fy50N=1LTGpkVKsRUkYhHFuH(<1|gG3JNJst9p+G>q*-*5gPga+=zK-Rt4U zQ$3zHlu%%azZBGKH#hR{gL>$fw6^YGAUAU#cpFY}qDf6t&^}~y&T!JFssD}6flBbI z-T2$}Or$QgH!pG_7Ij>^+0TSRETN{6f_7Fj2UW`0u2wz9vyCzYu#RG{`SI2UY=D;? zvB>?6IQdxAY3*ip|55i<{m~wKok9?+y1MnspA%H>`ACqjK5%d&v^4rj!oV zP z@IMT&YCtHK;;N&wyjp1b(zfbqd_^<)2lQZH5+53-p>eL+WLibf1dW+FM zHd_3lLwD@Uyy)1e1V44Vd0ksX z>XJR@1D)EVotA*G1YIb1WUmd($A!Vi7;C_})}fJv3UaQp7Bk)7cz7}e_PCtUx?vz- zcK*~SWrO<_vq!rbLt^2VNPO9U(?EcgK4e@jOE+bOn&p}g<`ztr_?PQK+E`W5UmjNE z4a$FCfzG#5wG1tbo?M#Lj~JoV6hf>k5`2QXAa7SFUI5yww;b$9x+NgDN4Xx*B+%415ThS#H9I3JLIbSDOVFB9U;(vYrHHDP zE{%oV<1UHw-RImn({-p5dwWiRTJnFjKqYHXN$pul?EjQhiM_R-m6-l0b*Ki*7fSQ!qT_lv?shwUCDESIEpN6XCHt5smPsY z`@aFAI;+>rzRSBcTPr!TwMhPO#{|Nx9 zKs=Q8#QhB|_+GR7_q}#PC78SgF68FVLd*)mjqO7|De{9u_zha_29_p`De2&~!kq)W zR?zANl}Az#s~kUUaA&!}q4-9cG{;IB3L9><9WV+e+>pA7GPIzA>)5NnumHSrq@YGNostG73k5MXk zt`68XT+_d;*S+sxD$xC!fDLXc`oQX~fL($Qu=nNTQ}4FtF7HoX=kigF&JI|{} znh2K3eCW{2v1(q)%N^g!9iAF5qOm0&p;J_#N&urtloyD$w!_D#j;z)MwXvM4BUVlt#e+*uWWwvepTMn z)VJqN2HU)_M~%Y0AD#xStY@eqGn*zYjtjB$(PXIJFyY?%2C(dy$30y4i~i-^hw{+1 zzW9cG_nRr%N9zc}%F@E<=^*^d!?%6|``T@(Hx{TfoitfYWxlvFPDERn*JX(*#LM?6 zl7UOCCW*&-bgh6$;F6-6%JqX%9O6?p<$XLjtQUV4e?HC~!PgB~Q7m)S9dFK#*If=d zhRbiS*Y$Wyw_xcG{=Wh&6Kel2&3y=ZzvVT<= zJp>77&Q7`tp2c{G0BOTJF{~h=uHtW8%{ObR4ENsjeE~uqZ;fHR_sgZBQ-pN0|Ia@^ zb!+9Ae|D{7}%Fu{Ct1(B;2`?10#dvj+;0@DJbXO}n2) zjY7hI_@s|(!n_}Oz%jbwKl&0vfZWB|Lkm4702)a6qIabjA4U`U*%{ZA4tdLVebu9r z*CuS)b}U+(8lS>3Ce4871tAw= zQx~@{W$73&q?3 zXdDyz4_#oScC|ujT`lN?jN?yWzRo{NoBAdZT^y&tM2gW!re4E>N$A%}u}Yn`YOH$= zU2V~!dtLV${@`G&v6eIP?O?h*lwaJ`WaTQ${fm^$ixpQ$!iyE~;&S^g?ANiYUDRao z(u2`>@N;Nl^ov02(l1^uGViJA_hipYLeJK)YKyM=4cgri{A*XibN{;F1DSXHAEodD zbVq!fA8UMruJ|_1Jj_d&0RF-EBAo-$4nBYg%v5L22LCE+#)}dRAlF1CL~nSNL41?v zEs)ypnrzz4Q?wY}^wx2DT5#IRxg9`zo23a>RZ^1LQkUKjUbPqAu5s^QJcojoU5g30 zZfZDRBS!v1GZJ2a=BS+WMV)`?Z6f&j&J7b#-Ym^?PAR3V#1pl(b${kf z#(TBO+MAM(1$3FHgzClUf8!?!E4Yhx&3z~v_jUzz?yKwQ1vOuK_E9Z%^~GryPCtw@ zj+(RWdn}s0J=tD77w|gJCtuq=R3Rz80$T(&7TyLcL+;iG{~~I#20HpwCrZWK(yN3G z=B}^KWgdJZ*xq^1>z?NaB@q9;RxP%iw;OdTV@3jDwaq!Y8QcT;6`9M#wX&Xsc zd-V0m?Pg8#>dCnveesc839$E$QtvIb?CO0U*O@V68A(Szech#;S#471_-9joEGeAX zBm1h;=-scTbMg4lUrxxhD@t|!3W5NX&E#*LiLlm3Nr4+q>Zng4J+Jx>$c!s|l65Ld zQTFp!$d;~6i&pzNFuT5&fM>X+wHpA`;z(fc(YwOW1n|?POIY`JjQ~fh*OYVwm)$Uc zsOzprxFTpxC7JYUy9-9|cS(f39uHP#zubKT@5E!PUwZkQThq zzn2gtq~pd-B#$poK$#=w756^pdRl@`MUA_j{J1rmcPv}5kB-x8pOWjid<_?h^`4wZ zXSbqXQT~S;dxE8wH8%t$s229Db}vsGhVOry(~*=YhNC-eAts+U#3AGyw%V~gYEfni zg&BzNa*3e~S`T&naLbDUDtOUCT9H51ql4HuFcm?iYl2DS*1|-ORFX%poW%aPXCQv^ z`&|pNZMWD;V8bEWvtIf~F`SYjBwhRL7f7u84^9b6>DiA?1V7wtRE}kb(Yz^lS8{Jj zz4O;s)^t4IlL<{+ohZK`6B6OOkzoi+XpB$ab-R%Xl9V4G0u(PE?p3;m6o;cgtDW52 zH1GVi9a?jBAvB(sO89rG;$Dy9OIwOgp5C<(5TOY_hsbI-baB{Uqzg&h-Ge-2wF&<{ zEjc>fbGxUXT~m}0@b(JU>snGAdGu!3J=&|gd$Z^rc6q7%-cE06X6odEv$7;T^!E0) zxG^d>JwxC@etc}o_1yXX9tAkqGRvdVy|rzOicdE&uNQCd^rF@4>KYmr^rqQcD_F~3 zTQM_77s9?czIbtO@$~qo1FdH7$)f?l@8x~DfL`+S{{DD(Z*{KZ@n&}>K00@8R80;4c3fxV?B)(!4qL=w%`5 z0XBIZh%tCHdc4?}c--8a0Uo?-o*vGOMwqb%2T)XAF9P*-EKd)Y_UijlcHyfAu1tH6 zDuSnacYEz&d|uwuLU&ySU`N{HTM51iV!Emo5AVCUM~&5LLuZZ+bzs3RBk zJ2O;_oW-;Tp~GGvy*Rw!j`&m9*-ZRY@Y>_9Q*G0y%Y`QI+i0LlY5#-r^hW$%WQAAG zLm!^zm5);Y%HyrQDoIH=*rWHs0X`6KQ-5zKzRl=;{$<8%8p#6IdqtruK~w>7lla0( z?cF@V8JLU4S7xtz%Y7d{cK{ky%-8?Z?iQ%Cd>~`nepI$NuV7t{*Z=fr!(B>#z1mDJ zTSdONhJt%?dZ9klNcUt?zV~$lT9r~&L*HaxcgEoCaiOm3A+OfoH-}{Jc1i8-BE2&8 zYD(vBKbO{SiqUAOAlE8*?w74gbna^Py?W37WtKeD)?y=p=MxS47Q+*Q&M=#?31DMd zc;GpfQY|stMYh2|oA6aEipN=v!o~*dIEp=fJ}@y*FDS;#AxxR)l2V$UX8puri|-Sv zmWFB|hR66&-dxx{~~a| zUa!yE$!?bZ`pr|U4k=9l;F%&V7iXL4p)`8LYUx3lB7NRvuBXj~z8?Fvd}SVsTA9a* zo+6e!A)Gv+JzER@o7J>xm}earXwUW@rio!-$#YjAOudazcyjY9C&98(2IeT(Q!^J( zR|d|JZQz!@Z6|9?Sq4y)no|dlhWMJ)w`xBK=m7;kH~n3E^9bZ2DQRW;XztQkGKgi~0>x&Rl3y zQchN)sWgrm;lzE<-gRh`Q%?F=lo*-8V0jK<8eTGv0ugB4)DpXP?l=lkB3&&Jab@3b&GHvT^wdjaYmbENi^V3!w&uMdGd6cvnwkKJ zey4V*2PjztGxz-lqQ4KMII8 z6$0M6PufvRLj~#SPKBdw8BUccnif}QvDHpjCr*0&BrWk00K?FslFj=Lm%&z+O{RUN zhSJAFpT`sq6mjt0EN4jTO-oDR$1UGi@j^{A)04<%R1Qh3KQBg0Aw&~}GtZeG#oegJ z+^7H={!t75Q6VhS<>u)s%f0^TrX{M0PUBdnwVj!1_mSA5 z=eF71bv4~*hwCz)0rhU|lwL6O43gq4(=z;{`CGl zV4b<2W7V^(uqky8v^x8^XKES^X=Kcn*UZNG$_UW6UTv9Pf4b~Ldc5(dd-#11|M-bV zzh7lg<0ZQz9}vc4_?^It5-vBm3dEofqk?t z{@lz2-C~ycuZvtb1KP&+YKY!dA1G-?KJ^L|!nRB`Xkk2ZdG$eH?W@CKXs3Ie7X4U6 z=7DMj(hF1*B12o$465lLPd)@fQcN?TAOJ~fka{q|VW-IJ(iClt7Q>z}cR_g{kn0X- z>d1pqgJU#IPc(GFw3eue@MGxf!s(?!_b;ED;LFeB=r>M)#^oG1=Q7VsjrEu!w1oo*{Dv~zM@j{M$a_x4Br^^T4zMXnCz+EJRN@r zeDsr2l~jtb zr5)SVCAT>f4${1ZiwVkJSOQOj8|oXQ`5g#xg^SWvU@y)APqza@7rPcKPlrhw7e8E+ zlIbjSq`xpfKr^IQPp3n4VY)_ekhN6>xU{KrCO%&5?m6E8*Gsp1`&Q1RAJGO}c8Omp zQy4qxEU}*A-=tjFMA#~mYGl&psdaBVPB;lbYZFH%pW$-+F5bEDsM4h_IM(=S;55tW z@v#}7<93zN>RiI)zEF~nm$zu)ctSoWK5bb2oBH|06lfB|zdPH6#-5R{Apn7Wh)c#<%=|Gy5c|mgR4Q=AD zJigGjf=mcA^KG_fuM5M)j3R+tlLMaIN>jGq9~Sgw-^ZLcl8$FQ%bv~${e z_`5r%Z?rdzQHUNc`p9%Uh*R(9fDgYvCx_Rg%xOr9hCLL2=81hI>zD2hoaY|h6nyk2 zM8wx}iL9JbdxVT|!Y-QT{bB$YXITy-BJs;-hL|8pS7HFX*JUbJi`m72|B7#Fxn)4% z4gZVzao4@t+L`VJ|76q^e81$d9cTWn*~swSfQZN23H9CBTYqtdpd0ul79ioN#{G+q z)6lIlDjci+@qwS0uepEAO9Ib2{U-8R{bMw^C6;L^VIt3`xkopC?W6F$hXb_YY3`S5 z)0Druvr^sIo_w%N#OS{$>W4l$l7478$sE@5F|*?me!{Qa0p8Ml9r zj?O~fV*h>o5`w(t6zKD%p9iWv(G^?1cC{I6!*;~M%%Rz+-;_L@G`8xG(DO0M`nymc;i9d#EQs*V-on*_o_m3Zv0;PxtzUC#rL9<$I76fA3wI3dw*KI4}uZ8xO@ytFckZ*} zR>&jOi|cPWJk1Y!_V7UWT959-4KUaAMAp0kERY>uPNf>Y)ir8fA!B~rT&rPyagns; zZJ+LV^&v)2X1gToT%BW{(SOIeP@)b0vkR)8xYjGrGP9SBpPNVDFGzn0l{{^&loaZw zDC}HBY~i|8K*%U_6-E92G>D;AsM_|btQvKxUF)?cr|}k0%lZgJ2qxUv9-~3x`IYY%- zEm`0K-r5U52E6?UFe3y+p04_oCWS*9oV`|rFmU8WhBDwBX@@l6#)odrVN8Q)&0)fW zY26Hug8pMU(8~uIFwoD45b!pf1U_Iw8wDZR(*+74*+&fnA^G7I8bZM@0t}w6T^J0W zp?q=-o*o+#47D?J@;7;G&~#3t21vrgJwm+|O_`+;CjigdTEYp>Ugp;m7f#236Ey?E zwS)TEgcBE+x4mw4FL_(t3P3fU&+>Q7Adv56LJIbI5xAt2S%{-YjqRw{Qw7jl^Czuc$9nB5u#%;O4_D1IOz=% zw6SP`?$m^GHk^*IvyYCuf#9EW=&O(V6D-H4<6j5Z2Iv*u3E0h~$#pesB?-O}y)fNN z!7{+7SmS=JMC8Vt4w0YCjzw$8Wq_$9S=8W8i%k5&ZD8D7@>3U!PKNJCVyM0BX8!qg zYh7B$Y`xay$SBqkuZ%O-ts#dRnA$~!hEEN^m))&huAvZRjtP-mPoyK{i6n@Tc7@%l zU|W~2&+XCJofpA}?+}MGp*I6{KXVMC&`jo`5o!u?7+5dykqWTCj_JEoCB$l$1^9C+QVPFA{4sB7TtUf(^d9y0$q`PS)#d?xXvz{YV34;%IVvYBs8Gz{}|cMYfIW z?Rss^h0q)(NWOfB$aYJ$@L52REi;s zOxV8g?$R&Vhpm-h9QJQa+%FDSrxL?{?G+=RJl^iM?Z$T;EZpAeEPcf@4ODtso!i_p z>kfbLz6#(F**A5qigikuj1>>bj}><#BOZU}r0ksXCEMHL+i#t{&PxBsM1l3d%Dc>3 zQYApH+y~2(zTI?3i4VRb(u{PiyzOTzPX*NrC0`55{(dF#+Qb`K`cZRzY-STowsqs4 z`MgG9OKy1_cC)*L7&5}V&}rJfEPr-J$Rux-3I9>Dm*T6^mGag-FQHzJ4tz1R*V=Sr ze!=o-Gzg1%jI~01xlwroabQP|q7(Z)h1?#nniidX7(6b3@gO2g6%w$aFQvs= zK1fjLjLrU(z1@!zt4z52j5=Nml}5^bx(f!!c$ES_jG+o)0L>@EHIRB;DxZ>&{YJih?XK3l=TJA52u$B#hu($N&BJArO{*3qqp&5h^A>-<}NSaopVCLuYPa@ z9QN^ir=cM(5msWq`D6j!zKNqrD)aB%gcy~xZ1r53oRygudNtu3`9-H%$CG&+5`>M{ zxzOUa-zIJwMHx%vmQ!V6C-tfrB*Ib_Ab!$Y58`47st{#nmJv8$UTvcar1daR|ShVIwQBo$m?YmHqBbng9F917d{IMf#IR%V_XZ2t{u&VhePndPYP9+#O>yd0rU9a$~_fS zgQ}$6BVpb?eW)w$%mw^WNo7jfIpQBgbY_I|%VDOKjH2QSEdeHRq5QhiMiP_iqsx?J zoz!akr50r{h&;OKqWAy<`iPBx`ruIA!pd z=eO?`*e69>zPD@3)RlI{PgpsO--qkTR(o>#dit{bDj<2;!2w&H1~t>8AN0C`?BE{R ztRF8zFgPfp3c@;tJ+YdCD=!9u0b;~Yicu}6tU7^)6aGYu+wc)WStj4Y5a7yV`=*lF zqL(AKX6oN3G49w|iXW%nPFpR*Q6ykoMkwFp=@7X%0tIbNe4@UupqyQcVNM!+On()#3T2*^L8_65 zo{ZovU2OCmxl_mn8vxXlHVAqo4qwtL=7-5qzxHnE7= zEfmHpL2&P|lm)F=*Mb_Z-M8K@$&f9Ip$WV?!kmN@Mb>m7VH?NqkeF|w;k{h2QKF3) ztWlv2!IXBUJY)2EbLI>+;Y_TkoCiI&hRC$GE#Ir7Z8Rafi9 zevOPDE9M9^(VG~3zofX=$zC8ry@TrjZA6>FFhNW_cXk%&ZlAGQFhk{^7 zrj2(j<0H5WP3wfXN^@b1D?1yfrwbp8Oz=L@Qy0(b=eB2G4z-K`4rbxm$>>zpBe<$0 z#!(7j2WffdRFdA^_0p19v2q1)o46X15zt649kMt5<4v6Yo5_$U8UCMp^CXU*3X)BSt*m zhk5>mGHiP8*~|@s60Gy@hrUzJeL}$`%uWXYF{I;=8IxSUL;sXkBZ8eK)01NYs8M~p4w?2AUSQ?Ev~fp18XMJE${TA2nKl)c4!FbzMBx5KC5rB3 z^TwBcqFVVcn$Ynw99GL5GN!27G(CmBeN>6u_K8##aAAn4+@-I<0T?C@BuQ-n8bzv; zgd`43N^3$uBC+*Xlg2@&zs&LzG7& z0K$5>9TgjzMxk`%784FoW{wZH=n0fpatyMj$$LEQ5reL););=7ttC3{ zVKmo|&hTx}B9aD7zH6h7M>U1hb3)biMOwA%OXBFt@4S|O%d8QVHzJgC$fv3r-M+hy z5k=Z<-ajE`2@zWoynEu-8`_;p+MV!>pu~a5d-vYZJ`m}CMhFr?qzps`LFD=wp-KS} zOpo5s5fGsp01>uy5OJUZp>Yt>7?|*nn&|NONm@i~Tj_H7Azo@P2)Bs%jh3>QcAQZ> zP97Ymyn)1CP}HQhz<9{y+XwT7(jU%ZQdY&Jmg0Lk-j%i*Bfm2;xz*9Re>f`(Y1Pb| zAEZQnAT9c!R%yFqj_N9|zcCpyY4c!Sw0X|!K^`BQ2S*J|Mo%&`YSlPZZtiACR@&}K z?Zx31eR!kgc=7I~R?S7Gb6?mb^NXTi9zQXQ=8#5a1spWWY|gDK-!PNEgL9h*CnUt= zW8x*PXfxdT`PSxxqkGO|CKV!lMz*uPm%(-Y-MNT*-l$sv7aD-EgO5dQ)0&%gIDAGT zUN8xV9kgKv)UtKAW^owip#(M%wL6{L2=qG0RYX+GUhkSxhLQJ|d? zbGb_mmGb@qcB9Zvc3=NBKJ1@ko62l7rCX=wQXmp`QLE{hoUht8(oS|Lv8SJoq1 z@r3P&*QY7{zQ2}VhYM3~^u06-2vu+d4cp_86}GAfm)l1;TeCQmU)Mg!E`O0*SKej_ zcqb5*Q{LXKXhJa_@l7HPKlt6pj@QK%5skJ1h*&~9CoFj7Dnk^3g?>fJCxzW-tIIOQ zrHT{)_S~-;(|OW^O@Xh|JpAJbi%p_4oGFCC_g|IpwrwGXcgAluhm#RvKG8W@aDGK$ z(nl}JhUi+A-V?1M(fg#5EMpb@W(azOGy^Vzsfsm8<;80n;lei)TwW9JBRPuRSi15W ziqOB%=W3r6Q*zdSm962-V0Eb~wb7RViy=);2;e|p0rqD|6{)fL(02;$`J8c9ljZr2 zCjNR89Wp;)3nAeLgw6|~9Yz^5Ab%ZwPyZJE~Ix#c@6YM&|^yeeE zzcRwxR;PFjAH1Y+lQUhJJL*9C?%Hvijr{GqLJ|@Bi&8J7r#x|7!R*PPrw|o{d6G6E zR>%=_c@d1D(YBhxTsR^e^nA$3JaTw0Nm$?&!6Bv(RHX0sV79_6_LE`Q;e=gbh{(?Z z2&By+oyNoMiReB#Se_7({l_9(=E~r_dv?FrRXgIA;hfn6@IF!*H=9i+&nq2Vin-IG zczfSXAg(h!_Y*Sx*atEzb7xy?kD)7Z8_R?Bhbomb8GEgRLq-8hYtkB=6QbAM6rj-) zgzoAnPTjf8ffGn`IlLh~r0=ua>%dH;d!$_XTiOgNK4@GWAJ31x?48cL1zmiC3}1%0 zy4rC=zm}7kc*7d{(0PM=t~DxUiIHM#w{j9+PC*vLbZ}SJb;#US%g`}bQ{pe$R7Gw}7)MVk zN6NVTD}qbL15$muKmqmBh>rKKpc7KUAK)Nz2GAk8;Rd0;OX zQZ6Fs>ykHFxcJ{26KC@5t!oU6_}*J)M7NULm=e&?1rYwgeE-6umPZ zLZ*K6o)&EIMBR@w5Wi-|kJmF7THUaYy0?^w1kGZCKr`=l#z~SJbk7^~{BG9D^ay_Y z7~rt)h!hH+QDD1Ka%1XJjOLa9Jz?lPIRGtcFV?A#=Qj=`3M29!A&9*;&ySqjflwp{ z*Z-kogQjHm;EdronX3hWS{KWKO&g=fClQmT{#Hn;N#2&#^U((*gBv;|h6|ovG{^`M z!}OPQ$nd5m21R0}3?@gvpfGqSG=*sLfJne-Wt6zIODYs04R5>-ympW$IwcjhkXz2{ zK(^c$roRkAhD`%NGa^~c&B`_+TaRu+3Q-RCuay1f!J1z52*Uu)Hw^Mb9a%_IuC~HK zJQ(u|1%w!Rp+N7TX-|AiiV{w^6R^?M>dP@@ibfRL*a=Pl>!3nhsH`z{idGa_W!hws zvBce*2oi&D);TSsi9!8l0k$-mxc`rc?1K}}CTlZI@wU;a2vQL~Qf7UZzLp&H+fT0hW3k4sl zRdSCi37XDGSa(?(9J&!Oz1osC2*T;dH5bzXG|! z2&={8?94;1!NXXpY3Z6;B?@s=Aq(->Tou;x)5Fy`;K9qSTR)qbd8+znJ6zP{2&*Vn z@lfd02^V~22O3oyGF(RbBc5Q19s>?vO|Z;m0I;|zbZY3L30U|An~|Q7d(A5_3tnJM zDQ|jp=Xt@{eU1+KRW^9^oV>d69A~8j?!`5mwOHu|!sb)~A)TBADlPp5c8Vh*N&x{9 z(F$M$qZn%fp(xY>&8?Asz((Mw_Ummf-g5E++WWCYlcM( zakOG6L#H--2ZO=@?f`qPC(A4adDrR61PA1H=TT zdkiZ#tELu>=AYt@DuyP#+}hgpKDs+U9`#D}!;XZIkzZks^$QC)|zRqaAWo*74 zeqRwd`3moAxjPZrV-&~mk2BsPa@9Bie7)Vb*`JsmQ$AcC+2HX}YgND)e9ctbHyb2v zA=(-U6y#|+JIq;kY-9?;?EL#a%>)iwGk`5D^|c$1?k531jQ!D>iaqD}N$#uthc=IC zxA{&DR=fBC(lvm9G&}lzN&vy%wM&uAjI$bqeMf5vGB1roME1+vShbS32XCuz5aXM#yHteq=3%!UCub*G1!7&#cv=Xr+!{(N? z1@xs=69$WW^}QB650CR#z@KB&-ae5RWPU?KUMMNaB~Am-))YY zdw^t;-{FB2M+{;xy$g0D1pQ82(r5gDhaCe6ps5w0C25)^0UHsd0tuMp#snz~bOBv4 zNi0LQ($*9Pmrch%bU|eaVvsOSjtlp%i<>iMafyl=2E%w}pe5lX#{o4XM)yZ?p$931 z`wPi|pzz`!8bFJu+`nEc9OI8`Mhk|XxyE9y6x1hbtIvUzhvqVeP!OecfKrNbim5{e zsL^FaDFXpMp!K6>@)dzB4RoP5#_7HXMGQ*g$P>Totyh7VAdy2&=PJ!H>CS>mYOE6G zz#`FbL?ua)lLT4$13@yl4hI6+Rs|9-153!zE@aFtOljFx# zP9*gNW+g3U8dY^6ITnSD(DQ(gOdVbX@Q-FnokmT}M&XQFQ7MiM#|t1CcMU$rNIaw2 zOzA898&gXa6-LzGW5lV+pDKm^7{0(@jv_sY1_k<`HVLVh5>ol3_gKp0R_)Lmbvk00 zNI6a8*vjN|6X*uhRxkGwT~yMw(M0jV;B)fgz>%aSJtB^cW{P9t z3qLu;Y$XjUZ*ldZ&2o=d(1#KC;2KU77lJ}&82AZM03WAWsfzFYn~reNSVNiCB2nfj zf*LaYiELL-wJ3;bOdY^CZzEsyB4(8@TQ{89I1557BMGrpD7%NnqiDQxLG@rD;Oo&TrqAm zSOHS#o^QsWlh6P~Y)feZ&dK)^x;}DsWAH?NFPY=BwH1(4^Y7qzQ2b8vcrhxk-b`-il~y zm$(q*I_u*4*gHULla3YGN^tl^ulpe4H4HB1HYyugFSNxN$CWhvLn;O&;)31~rG1Sd z;oeKdfWfelWF#5u6%7V19J9T3~$%z_$qR+ghVkRJdxU4GamM@;j{Rml23dI;YLO=K@+eGcLG7T2QCXb>cG4MlXL>Pxh-?S+IeiW-yZN$wxfO34hp<4 zu`uLz^ei@PQ*~R?SorZPwf(Z)GD-+=W^=Xs5dtXhQ{36{p6Y)}mWiXTb~tqOwNI8S zN}L+*B}t*!Ir#`Y)J%wp87`H`Jgwm>GPo6|?@#dQ<_6eGUwbAz#BTRSkP<<}xBs z%^AT8^iG7*sqC?~euanAh*_`HS~^?Uzy^@_0oQD4McqV$78>;;B3Z4~q4`H}dKHM* zk|bjyA=+0WIlgNRGge%tpc<;QoC!56r)u23jo%GTo?5iEwmr{3n7)?t2Qi;s5b1v&eEb(zEWasEE6| zbd9{(IlsgDXm8>ZpgA|k`mhF%s_G5fJ-*pBSn@?&Yj4@|* zA5|Kj(x#@PoDs7L6CBRt&CU5$lLlyM8791BB14?@+_Ud^yIU$k)|m35SWs{Ke0H}8 zgMD`j{1Xvhep8AL{T zCYX&rX2+BI<>v5sh(_M}7{jsCvi+YdG>hkJjg6D=SBIu-n<8h936~!GiClm{k&Ak( zR|(}W0{IeZXlm$ExdB@aH`iKqQpPfrTY>{w5BL+?LZo8oQw-~es}EX>u*T$^p41|% z5DG>K`u!S9+K$4a^Uo#*33>`^T3u3rfZPf>qj{V;Z4<_vUgV3%oyRh2q>OH~CW19< zQ|9#O%;n|f%y7-cAZERi?ifIDT-Anlf73ToQ+igkQB)efY{8W%T8Wp0@xcFI8+XP& zk(%!oaOJEgsWO5^SF1K@U9V>T&`_9@N|F|a z%-irGQ@%D@h$+jm9u`*H(;ntOWBg7p97>miq#2sG5q-K;n>YlNB#TafnysmhbiH9z z#TSO8dxOyYr|xjcG3ls|EUTBaY7Msxtwo7G>mkytx7ILH8A2BtO;L2SKJF-fpV8OP zTZ@~o_CUjT7S(k_K&zga9T?%Lyy-j0>s^&-Ieqfk`Eru9hzOG>g zoLXG(L}IQSz&7b#zrop_$mi|`rzbcmU$PU4g|iuW2il+|60`5$uB+Q;Rn-)IyQv20 zEWAMzY**B@p7zQvz)~jqbhU{2TPr>A2etz%oM3@1(fMFy0%=DbGW9ih-HX%npJ-U~-(Yu|m z%bquNnF(ovlz2_mvNkJvUS4Zu4Hi{hPoWBqqJ=Cr$MMy66E}tP+B4&e^5)`CO!~s* z+FAD@?g~DGLhA&9No7-c-=fDx#SXfUJ9kDKOG`_euc`XdNVK>X1ErT3+cO<@2MUT^e0DW(xpob=_B}Dev?Vs#9mp>-qGtt+f)<0hCWu~d6 zYGTJlxVJi!-a5L#IdgwOI(H6^`Eyv(`k9mbIs0|K<6%TPa??-gjW((UHY?P6`A~6% zYEmeJgSM8}veQ&{e%QzxMa-5F}X0Evnuma{CnLpE*VLCV&xGjedrF zi2AT@wQ7izP>OG?(a8#ew2bqH?}AlWhK~b8*}&9jC-*qNSk_@&zcCOCw*=i8F}qr7 z6B))oG>LieHD0?{e1iGBwCJHlsT^w#Sm!d${#88$*G5Z)N z%J1#6GsZT+4G*|Mfmn++Ije*u>)Vl1Vw*@u?7W95Jx>jY0jlf#vjQCFo@bcBE=ow# zoV^ZU%z~bl>mVBsO+sCq^54PgDb={!Bh1;)=vwO;i(h6r!A=6r9gLrZ^fp6jYuvQ0 z`eIfjTUuoa&+V1OG=3Cy5p+(Z(O}nERWEw&v>_&HhOY2R(hi)HRFok!xYa1*NGclF!r8{RRf2%HwujKP2ae#mJba=b!@n z4XuDr0hk2WltlX_u;)knZMaC7r-Po0n&a%8(FUcsK0x2jt4qcdR$zD6vso~hAGg4m zpR+ho+#v|2smt%W$nd&wrI`&Jxs+K__#IV`NNZ`OWd37Ggao7H`Ao1E78CVR=eC=fR9jUt@cRwsVpoP_F-4 zgCSgZ1fTy^LGs)t3^?=W1|Q7sh(@n{NU?@3+pynpS}#HD>lcuxNDAo~B{rEsW60hZ z86sd&P(~aPu!b@b8TvkpAcJ&?i{^v{8u8r$lM&m#vFjjFA+;Vaw7rb4WI@5nn1iHz z7$7}|NW;&VrkN3CjOx*Jd4h79(jWO-A=$aPbkPN4`iWOcoPl)!K;h-zcPz*f9xIW)WY+5^O>9tE>w;yAgU6Gul3=mIS=k~3wL z^B@NO66C)0^xxIr9(xg}t1trkux?3=p>&-45a1hqSq5&;$ykWOI`6QOJq%xtXd%b&FG2IU*h{8zJ9eq-PT_ zZJ&44EI%df8VL07Al$DfdWAY9T2IT=_iiNFXG`bT28USfDRY~bL!y>qN|7Mj^$(Ho z71%(`07EAR^HB}4PXWd9O(dO7WLTMnv*W_*)S4`pU^pVt$&Vz#so$BM zY>0{T%>2Z7)Nsdo`PAN7ICz(!EQ5r(Sbw9-oh4U)xuTyaYXYpiYV0EO3d03R+h0WH z`nO|BYwR&~DKmAmuK9DQI&?bQowO_Zric}Wfi`wGBUesNG~qk-a_)2A4s#6S+=GN7 zM4P}mk8BFAixn9AZF$lV^w>q4+FZ(OX_zd2W|RVzEdwcKA3ONozHS9&<263R4xYWz9K&sh?ruk`i#~vw zf@uSGc3{dW5>0KQFtpn@f-(?io1}#v1UGJDisKQrApV(HSxZ%G0zT17n?h!$t|qz) z!TO$F8NpN4N)@_DP!aL2L2II5yvHIF?_@*{Wz9g^TawFu7;LOG2(WGHdqtkt;{4JnlGu+`l@1;aa!jff$mP+QSj~T^I}dltHYct zJMD?$cC+ESt8p#g3&7u4Or|PcXkNbUUY0rWaFjeUtp>$5*TsZ>zj)h-j}#6yhC6@U{2H0V}#q8f3f6)rC8 zCq7IaCKBA3yU4^o*SAqf`2fcYdAB~($v;8z+s^;+7&?RkH=<6$9*Mshw7+|+TS%9< z#fLE1sRcwU^3x!>x0@tz6nybA}0koDof zQb7y_&csNP$JeaHdsjDaUhpK|O!yMshU{$>4gZ38}E z6PE;SLS`^(F<4Ft=@2x-XA2jZW~@l~oX$EP3S0l7d>BabMpXu!e9T$^ilt;3t~Eo6 zh}FoZbtF^5yUJd_D^~KDP%Q&*g1>PT>@dV2_8{ zI82n;`x8>Bjz+^L(kT8r@H2(we32y za{Tzyh#%L{xll*3JESQ;Fu`UbEYV4DI9ovaq%HB3S;8?*0LDJ&xW@A=SP)`JHcsC= zP@;KYLygsC5&Gg`*;>RXIM|)&5%iB}Nx6f4%nupCRqDl+9UQphEXlfx@5N=0q##608 zT((KqS_*V#2NYsS_Y5qG+V~>r!SA|I)5aQ{4o~+haxxY!V%Ig*8X3TM5HPk1@=^&I z!IwR#*fBnXb8$A-{+a1F{HO7#Ya$U0P2Fu>KC&|DNySP$n_Jes{zk&t=-4~cF9MW! z{jg&Ue}lmIGnD;1#PeSr==vs-OL=7iY(#orfL-}P+$d(Ooq!#Q@BTrY8Q3ThW=$gR z!W3~yIr<#iE6e3#J6#t}EGbj5tCFN9xcD#BBBBS49tB06oD43*KS1Mynx8;W%r_W>v*W>sXu0+*a4^C1vV+Kn+}8)sTWOoWuZ3qJ z=sB=KHIYQ6vvLm&^XHAR98-OdhN}v(W8k^v7#7K5w2#G{w7!f2d00<3;1mbh8h|{olp7iYv;fP4w5ky|;4L%pm z-)lq+89{w;-s7DN5DZR&0mvb|m;ka-DI*eSfmJmB0!gjqJf0wWWr`PLxcxk6B{1cA zV_19)%Swny^=w%#3kC{diCEuSlY^lxXYHHH0!`gMyitGA(K*>4P6Y-aI|9l3fF#E+ z8h)aPau=RW$QRm}Jo=Wt)r4y^!rC3xa>5+R*Po?hihHbzRK&>3o8-g4(WHryQv`}{ zQ0UKO_>G%tapJ}ZefiaQVWgVuybDsnskm`a=zU~3{X-NHIQ3U4o3vWWVU;?l&iNBL zjKk!)jgG#p{G^?d{2}!uH>E6E3JBuI1VNlv1%U>D`gBoD1#JnT_Ft7TynouV`Z!PK zYc-;Ldc9=f#>|MwBS%NaQ9Mi0#Q@%Acfj4a`X7Lh{KkX_q26!? z4Oc^h_K#FX0%7A<0lz!H;e+=7DL;^4BtaqNXU!8i{!7<~Ai;>=XJ@1a3cy70Qbr1& z`(9Qwh59l?jtR6}ME58B!pqqnoyr(-+{Z?i<+-icfdP6oNCGXtMBh;3gn&c>G?17G z_>V0^3=vo%nS|%|EFT;igx9K9jHEHI z00rT@qZ(wubSR+Sv{vxZ2pP8YWOgTlBZI94dO31_YfOz6ARCbn18$LO9t{;xB^~5n zDai#^&H4yaA3Z}-yOJy-Or>TAq5u6Hbsv8(UI`b%j8B4-a;9@SJa1AK77;8<1s7rn zHbn?_usVo~o|Xf~PSG4Co))tMiHBVfDX_9}nxs}IMFb#BrC|q=eL@H=qG@V{vfMdF zLNZ5JjY;WYEs0`Q6bcnES&0C~R=2wdYP&lu5}!hV2f@|C1~W1rX#ia&P>l+qbCNH@ zVx|Qp>gi0GndrolEN+gHI@&t1A;~nsuo~F9{v6OoO3scS#L!{|Pv){0b{HBxX@^jM zUO*c@83Q2B&hnb!byF9E5EF5Ac)wnczsWE_u1EMy8$K07oQKaQ+Hgkdwk!cG4t97) z*-(#~8U4*gh688nx(9s{8a=D8hjxg&T?>K>B_z-Nu%-VwP>O6vQxmFj;bsBMhAxJ-6;714y^sS17y3dFR-0G=Q%c|4ky<;uq~OiZfXasgtXM zQlKX!-eMMRAr@bR3yIqPK<+9hX;n=M+YlWHB5((OAB`}*u8Ts23wh&t_~!^0_t#1j z31EU1j^ugAQ!>=jopD4oi=WTKto(Xv0BquB6efUmjZHb@Bz1>gU`zCZy_k6ygrGCU zb;89<0Po!HQe^A*>^`H1dNS9Wib~;%S|pyGWRURRfWXlz$XtFotbEq7ez*dv!ck70 z+62c_PE`r#DGtp9hsE!h36q(pywlW6lmLyGWy*8cx>JrK{Ypjh)s%0ODjn@YEH-K9 z$jXXI^A6&EtKa?Ji2uS9);v5+I{QAE-|kh+U18P4Dq%6_emU}cdU>}P8kDV3X!S5W z{zyAp_>Tod3;OI#N$ZsC&7-1XXytVSy+$}}tEU@qQ#15b!W@fDU9v??U+OUqFyb@u zIPCD=E6n(m`g*wkxZO3qJtEc`7wUGs&M{rc-4>3nwj!k1G%~`O?O^5}ANBad9BY(A z^f>YBvs>3Mw@_w*KJ$L)CJSgS>A{`03Roiky9sH)Eh?_zEh|3x&AvC6 zs444S?bf4J?a2}Lll-~4RZEseP*q*?M_ykF)b!tcCVCYAgnqs3Jq+D$l&pOj03i-~_P)_RVDqtf;H(I0!t0=Vys^8)HKGbeYWNtZP(Hg&#Qe&g6Xpqi3t z?b*LJau}FOMJCXRrWdsJ#I37#1Spk4ZFPmbb5}VU&q2a7o6{|eR(;v z^6T!oN<`kinS9}w_jv&jF_FcvRkUm;r`V6wFK#rj>a70^hz(QzV6*(n`lJf_@Ryf= z0&x{eqW5Wr3u9s3@X-O908Xb44&|H?2J|!8GDAN;tzy1g-HcawVE6o;9~o*N_ueux z@;&z2&WT^TN(};EbQtYi=G_E3X*QJB9yHzOA=m0hllMRuBKZJnpLZ*=yz5mRLQ78- z>1S_#?7}|8^`$1tMp*1~-D0 ziLxP$H)Sz%Sa5V%_@Hk_!e!Gfht@rRz@_|M`Ayb2N7x-{7z~!o*Rg|2HV`&c9I!TL zse73jx&8*X69@&cMbgiF3jOAr>`#trhA%eUoteVEIG}$e=sV56oJ8G6?rY&tlCbhy z=0pD-3ZJf?LUX?Ki^1VQJ4nHx@hc8XUVa61fM+rA(HNNGn*RO zZtztXQV*z%4SHGfQ|J0g6g}yn&d_qYM#5|z)M*A>BNNXykIFhOFOaDHjB5KV^>)GUf7`>dRq`K2|MK=p(3Y)+<}e0LU;^m1KIHZGOU2>; zK<3a^x@77(-K)e~eb`jf(h7K*@5kXed|TGY*96$$N)?+b<^_COqMo0pE~j`rp2Q73 zP#<)2{etlx@?@99r~RwhH*=D|=>AkAU4=ZIDSQp815DJUkcRugy)~N>mZUrtT%A4)B5nXFq-Aa8HN|RD#>oO{T9j>+j}@k6PmK_{32x z0B>W`T%-$fFPjMF}8a{w_ zJY#s%NAI-cWHPz87(R&9hgZKX|IbmFoRB=ikN1TI+6W?56zC`k6NVNkq7!{1LOUFB zmm@^C#hs<1xSMU}tLr+yrpg}uM<=pE8~p=D^&IBn8_2;pHS+R;u7#QA$G(9Ug&w?~ zo3uS4&3VhCDC7#{rNf$sl_EH!nq0sai!C6X?)_tG>U_$8!+Y`Ty|sh2o2YYWzx=Q- zs0Q}zs0n%D>$5aLGL75C>G>8)6h`i%o%>1c@=_qBqvghVN^rx#gRoH9dCS5C zdX2%Z`=*~lCybAsC&PIH;MZa4Gxz!ZUV+UZ9(Gy(zz9I<%h4#9*^?-!s|z5$*qi@z zy>s$*7W;U5>2CHpPo&QGaygX1%b`Xn?A6rSp}n!00nW1k{&6;Ry76lFA>sS=vs<<9 z)Y+}&)z|awqNTc*d z9y$7skHhaln&gLH9*1|nfUnQVQn-1$1K@w8D+DS*2pp1&!9UOA-{Jpy9@z`be~rsJ zyK+3fczw{9QzQ7yoi{?OT_yWKbP-X~tM!`xFf@YBv@XLt?3i;`T6M?f>&FuoNLE*0}WV zllSu#NFY_CyT!#W{QGq2`MXq@WS~!N^S*j&hP@QgR(r&HCa%QO_RXA_CcAiJ?S{En zz8_c7&@bKb@zDeM(TZavb}lTUY$fC3>TQWkTm*4oU>}0 zgdYh|F}~+T5pkDpg5UqtkK*iN#CqhyMs z=cUD9MW50vh0;$1_91Qa zW!ho70cBQA+|fw1Mj6Od{8GRrs?t|wWvYT-T?+U+ zVJ|9t#Hhva%`ZBfQ#m3;#ifJ5Y2KR`jPdb+jF3fwZv^8+m}xefc9vhQkXMbuhfbpT z0R%c}m%(lYBm~+J2Tk!i#iWe;g z45-kh1a7i)#i9z_fd)kg8nb((*=EW(0+Z2Hf@h2Lp+MV&_W|1#=4fS3lRDtEci?Db z(&N^#n2ooWqB9mI>@Qu+k&zky%7#{kp_x{$`l8&Jx3`{dU&u}h$Jm8AGTwujiW#}7 zs1On3`Q5d;i4}Xu@YCq5=u;yu8UC1jLRr^l<2E^Tz<0QCxxqMxVGB5;~_P6dY=alpJ6{*n++9xb4j%`Lw zPA=~S-f`y6sXUG*R4}a+KojYbcscB@B?Z|HZ`LQ%w-4$^)@M+SSe#Qz+s!m&mC|*{ zyY4fg>))%T_h1BvXzKw`gCQyXaJ}}I%?Sk51(OYxohVo2(aqQ&<1LzxNeUvwnPnt0 zNigf6UFs!}vy&$*WK|=mq$$s3a_vrnhMAJODYAO2v5rPJp{i1@zON<5SHeFgJ6wJI z#mxS35!npv?p2}rIG_n$oEdi19wqStQmm~&ZlZ{WqD4fyc?p18ClO{K6md*R+J-1v z-^Cox$cHeEHY2xdjX$X7y#}Fo-^&eW*F}-rEW+R9p;jv~r3k6MVqQSbt@D46p+g%a zkl))$(#v<@3!e_%8}Hdw)Zr}MpCg&mmrq6$Y|~c?ETt$V^r-m4Wgnf5n~zsyqW^O) z0GEb90IHEkttGKSw%T7Vyj~d% z?C;nL*|IBGzicR1#lS0Z0wNspMMibLSs6!##>%6Jh&ro8K~!{z3pP@y%t;I^GDy#h zE|bm3p*88mm#)xzFBe!++mxTP%_P7B{FV!#MYJp`Ry=djx)6AsZ=mo!<7r3ZUGDf5 z4)u9r$2~BfGJ|U#UMykEQ7D%Peu*0N*`r+Ies^LuvIu~OV=F0O*5C<}(9TnJ zJwBG2xM0k$OzG^LCGY5j%=G=5&PY&rER`|ywfGGGr*^QE{zUIo`!_-f$KN6KG0?x2 zGEuAoVd7eQSN*X5%F1QDkeb)UOc!(QFe$Rvpz%{%YJo)_$7_z+-twS~qJ_!mHnAef zSG_K^ZnxiV?L{5VMu-FNKl>6&0RRQMcq;VKyQ3cjP7`{n))<&-IBRemCQo(rMHSau zf{aa&+7``D3c2E&-dNpf4%Dbbb@hd{GO_Y0rDCZY-)7N;d$Zm{FVzlVQsvopvN8gl z)W*+{i5=2B47gGd5-;_90wn30Sy~=nTapS$jT_J>2D3k<3sI*0GlD!1fJrl zd+`wmGLPb&OV0Z{kNlzI6;3*#TF=fT6yhjXWoC&bN$N*M1S-oBg^0A5xKKR|HK}Lp zZX6$?TihH(()SdftL$G*uEG%w{**GO(F2a?r^jeI#ggsZ^fVGFT6)ZcQ;5JdR+5_* zv4`mh>1=e>OQ?AYY1!A`0LHc(XLI}#yF{cBfYN@DzG|ELsCqAeS&Pm= z5oIE_NIVE!|HY-+*dL7i&*5?4Q+`3Bqy*}pCI*!PyF3;O?1G~>{LqFBTHYOPfOL*w zbj%W)Egh#%kA(s##Jmpu&z!p2_YtzN%w+Nz_oV)tNJ^MUaKNt%0L+gxo5B587g<@e zNGqJx7#$L;~kXJ7I2fUjzh{k*S_SMA9}J& zZHryR?_wX0B8o%bjS|7%zoSmOI7*V!1#duJ{i=1VZ>=Ap8>_ zg`&z*h2Q{KDoY(}3Uo2(!@N#}k_SF+=g=VOh)^q1$mDm~y{^*7UuBMu+~I>OZQr_Kv2>{8vNBS4e&c7M?gU`+ zC+2*?<@$!d?qfxTgh8BC`H+$nEzE7?@ZObedR}JZd#bFRoy5ytnR=avLl&0C~9AD z-assBe_-DzJ56kgCd%kwY?J*3i2hz4W!>bb6Xwc3sm)$($XMB}Q}+1GB5n~#BrQhUmRnXs>pYfXT?`NRAM#s9*G|wQ)=mtF3kksXAL+KB9Y21n z;b>$-PI~8~E6duO!u?HmCmTd}$I@5xJF)%cBb&8=t%f5HD0l-309k851ualPd&g4u zPjS+l&6)^Q#Iqr*z5Z(gYy$T;4NyRH$C3dQteR{U-bVKtu_i6$|3ZDYJ-ER;kVFHS zY;g~9O!U{~?F_9=5Es6ys>YLKQ>F(jp>QRlSamOG3`oQAEvU=F^TFWDAaHD*mYYyO z=h$e_6+tJE2I-cU<&w$s%7~U^%36z>wdSSTl$XG%Db`Py6|1(R;L?>AWuDTC4JhDd z+XF)~#Rg=`osHaVN9UbT`olr?53HsD`?W(FX168E|H&jR5rkMvcjrm5r4GAu$$-{RP8kZ0Z+@c{Os>HEn3|9qDuOuP@;-ePWCq@?eXp8}&)?t*AAf z7YECOzb{VnH;-S(eV-!3%8a^rqj2>A$j^qlKfsU zI)m$sfJbsD#vl67W1QBqNqFozsKV%N`XGqIBl=cFIx!isFnLa4Xr|M~*%Kj}nTs4= zdzK)8n2s5CD8%70prlOUH}?;y>DDYx(w6lgN>d-%fU=7;P?k$~xe>-E<8Yi`s>WX6 z=Kj%LCTO?g{Hvt>#W>phsHo;-)#9FE?BdH9;8De-F*L|dl!0a6scLTt3L~h17#@ft z{$Yf|h+>i$8fYN4{)gXxM*ygR1UQh8`A3}pjwmLMq4{#845a;s*MCP)0r49U!~Vk) zz;Yr5ze^QpZO_9QakV(0iMce{3&Wg)(lmw2y&P(Qgy14{Us z#?Pqq3?I!fB&Z%(%PjC$385~6_udSp=5TP+NzOSC(}{fxl)VCcv+E$RG5b`|I?zl@ zYE}zp+qOpT6~hf(awj4gy8+TUsvRF{MoBpH=JP%!7k^1n*P5p>fnQs-U^(TkfK`~|RVmSyI>sp@ ztr?K-R?+X^K{q52{yGN2-2xb32^BcWl~|zAYqY*;S`=-<^D3b1Pc{lj;&pEBr=Afge!{rn=TK?%Idqjd zJFju{HI&o2zA2RlUSO&o?7zYV0xUH&ta=jFA=lOl$3`DSAe8Fp{Pa56Sq%|g%Mz+@ z5++Wx%Qpv>Yrs6%!qFJ4cTS;>;xF9?dCku1pBRGRo*m2>1ef?7k(@Cx($%h1pfImD z{wVY7Q;;u$n2~7u|MZOs+Gm(uFnx~?!0Gvk8yjR)b?A8Q0!VY>DH@>U z{x9DI6BRXBXV21!{NdEuQ7eJbk6i6?{0%YkRM>Z(p!A)$I zA}{qrJ?g!8s{)G@>9z5Nq{58PYfg6``m?(U#%bDq5?Y6HZU%puOz8TlRw6to*IIC- zQvS%I&G3I$Ih=Cf-c5r4oaJ~8ifzq%)179#svfpDj+CgIh{er^QQgdROTS#;-O`2v zEOHu<6?QXx6K?g`_tg+4+s%kG2Z`Uv4q8k`sKGPw#@HCOa=!EMCvfQ~aBygRD9nq; z;ILcuz6Uf1h*Tqm4qFAMarAfFSrwS>G}z6-{K(2eHJqJztq*`XYu@Lj1Lr^OPiD6tN15hIoSISL|e#C0wU?Cw+qZ|8y} z4AqdWaV8BBUApdCC*wD&A5J&RY!npZ>#W>&J3X}Tzy(#Qm1d5yW=Iux0FLwVP}(0L z{M!uaOh-ZIL!p*n)wv*~B z9HZuC-I?-h`Z)XwTK|!iL-C{tmHP))2lf_n+xdV+Hn2~J&39MkZerIJsWG0SG|7$5 z3`=sqGpP+tBDWyGih12LqzW+Si35FHdtYar_IqIITHxO*{!=LSe$yNH0$_HOe@eL^ z2ayT;kMy;%?+(@@jNz?S#7=sigN%r+kT=Z_d-n8kEk!%cB&*GSjrIpK%^b^EgR6^i zO;!AhsiCWZ(rd2?%_&l=v%-2PmX?0mIiq`a*6EDl^#FFEvA=8ucKO$ktnP<@mNWQ= zQ$w{qgS%ec{@+Y`8?%P$bMFK%*1-em(=elx=fcm~+0;C@O}FE>ryD~x4H6yN!Rw2! zl~wMjS}Wr`B~VB6B-v00(5|P6I6djdX6l9)=`xYmyu1bh$Bv=ozeq<=2`%O&WdUK+ zL5uVGic|wf`<1W-1B3)GNPz@1CX)7rt}uk23WTTGTt145ni5CePbMQa4Sc8Bd;o zV5p?usL*w}erR{xrBMaoLl`J|Q#OK5OUOl6_k7D>k74Bfr2>3iq?BwJqZmVXE25ie zM+wQp#R!1TT28RYLFtp+Jqs+c&mGeZk0Xif;rJ19+i?vi9-_H{foHcFYP<9i3P=zD ziH?5+G?0J>5+p!kfPu$V@BM#c`?C&SF9GBGf3!-r7!3)3F&OfH?fkrLgwL+1Frrdo z>L6(cbm-TlwnS}tw6C6t&wey0c2XOfAl3Z;Vg0q2z_9-QzZQ2deJnmDUo!v!-=5Ca z^!JxP3%7C1E$}VUZndiF!D|OYw;wtAoo~(!**+fcyUz-<5 z{tszy85L*LbPM7T+#x`4cXxLP?(S~E9Ug)c+=2%P4#C}nySux)J51;O?%Z$gtULG5 z{OHwZ*Hfp?>1Va9K2>`cFX!+US8Zv2I%v+u?9ls3R%xzn=x9F{v z`x~zNzm%6{#s4=;U#y;rJDZ?gP@y*FqrC}WB{{o6W+RlVsPbM|=y+qZkpAEZCA z);u#LFh%+0)r9B}*KU(V1ti`FVPTOkn>lL}Wj={-p;ZG1 zC^&ZxwL6vZvcHkZe&6qJ?W~swiI1MWcb(e}H#&C0q7M8a60#e;S<3vj#yrBlvtWGu zWOQ{tisFtk$?HDg{jO@<(yj`&@&0PKe*@6zJ#LPBXvUTGyRk3FNZ*Bsd(Wx??Ww00kjNofp8 zc}_xN{*g{-z8VKg@Wz*dm zTQLM9ZfbxB0-5gMWr7+phfPQ%kTi*9NFM)lG#rjmSyU!-Srw%H`JJrFE)B{7;!txl z<5e>)?so~H3pq7P2UIUHEJk$YK~gXcM-km1kW7;*WD*z&jX4m5#t1Y^C%|Nrp*Pl3 zIbZIAF*ClBTq2391o@y_sC{)LZZ{45d2JfNz8t=rbmR_3ZM>J`8jrICaM~j64jL$X zuxkjl$8~3Gbw|U5Hq}j0SEyVmW}|>9WJeN1)$(&kiR+o^)Y8bqo!`+#p54)XV&~qB zh4f)4B^uKV@A{nAXp||1u6BDU6oa8*gjZyU4&Btx`>A$Tm|t`&_VEO`HT*n6&g(O- zO_n>viY=Nw$y!a!i49VS|CWA49$6=_(WlhNvD|M z_fUNkpg+~=r*rJHtgiZa)2M*Ag8N^GdsM{%cA!d&S-cvCW&mzd#Zci_+bo>|Uz3ds zLhU20m2(hsqqVbx&Fx4{;)5Jk%OqDZ&sQ`z4FNB7;6?lP%s!Q<3c7sAAk2oQ=;rP0 zzpE!SN(Y}C2BFU$C9dR32#wzKC@%n@ttp1%*Ums>j|UcxC2zyJd&##8=Gjx_%Uk=K zn;k#_e5XPgiRoz^jnVzZHK7Ml zZr>K9@Kw=+ur0P1CPLrDppHjS-Vtm9WKi@!XAN%?{#kM)u&E%pf8 z>5N8MSuUn@-6+J1&_beV)*)4IxHtR$H|^TW%GFC|~#&GXrMO+&xIo zBBgR^`G1y5#O-m2{A}bf<^{%1Vbhm30-1MO@)@w)THj3(%(cJ3vh}}RdS3G5l5Z4i zIm|VR=&Zn4pK*qOdzs z2y37J1(rzl{_qH2m86G4eJ+;&)l=w?;KrKIZPV5A?b)@jQ*DbjqXWPwxW@Q5uv&2Z zxOd#|Li_QT&hU-2s$kiVycsd1`j>}lG4Nj~`Eh4&1#$Lqq74rzq75SR^A1$Dk)LLu z=4Mw7IXM2S973Ov1?4*YN#GM3!gcu4&+Bkv%#*P@T9`7FSYF(ws$)(rbbV6E@r(*Sap(nKRQ?&V63>D0R6!0%6i(k_)EP*#P_LSw~uuv^}d zh272B`P}VdOWVS1R(*fTEp%Bwp_29cz_$6I5IW`KzG9v>9GZVE{8SA7JEzqIK+$k7 z46xMfJwD!PS!Ez^b-uou5#5m2r4B<;X4r;qud%~!##L&&(0_#-g z-cLVXY=O_S3MzEydwv}ezy(#`HU3wj1N9!*l3_u8d=0Ucy}PNPxt#<4(k$F^hTMj< zHtH?r?5*nyhro)b_FGiV=g?JGW9Cv&bxK<;WKPcks4#(0YeRi3@sOlXy{ZE(RI@(E z$;-!f`WxWpqm62m#WkznWtbw^AIaHnEBFHa>m7dLA068%Sysudf-x_u+{-T$PZ{fs z;jq3Qg|Nf^8G1LmGw`+gw;-RPua;jECs01Ys4nhx_Zp`C62!|f_WnBhz6zac`8E;T zYPogNrTg*6hLHa;y(-YBI*_l0kY)PthaMxcA+RnCXI!EmyW>B+)?VcNz-e&4RMdJ> z(YuFT>Pl}@-3b!TZ_r-!-3gJYiQ!4M82>+)Is8|Z1BsVMqRZ00Ynwcox0&h3vS(lD zVdmiX<>rK)o4aStTTs5F&3?3e$}dG zRG~V|wnIXrV~s{ClgvfGpg6=|((%|R9SkW`2XPEm&-9m%F9qE-6FM<*l(B8Gord&` z55zuP)pBr0Z4{XHIhY9f(~2?D$L)H#*e}l2$f+h@FvH!5acsviZ4HR$Gik8#fT57Y z6z&{yOOn5sOf*KPb(g1frj9WxNxqVPi1&|hT?gwl7+YxJ0|OCG#V|@z-Eh)(dhqO2 zrTxv!#x$hu#W4xBfD9v^D6T3XO(hYJY`ku(L`<20YmJCN!r;a>@rXn-Fj`BRl0en`W{@mts}zvn*GQKhCBBCUg(MSzPi6 z>#COU4#kmB1Da(nA)w}TyBD}gBDh})B>uW4L;9t?HlNo}NhzS2Mhekk0veQp&ZoG# zuXbV9MpVh#cAs7?JAdfR7)TF2KXk5utB0g%B2eF_AfH6cOss|rB#VU0)DN&kWtv7`C7 zlc0CdPC^*|?ZkN+DyePW{-5O+4(Biuf>Q8c4 z9BD3W;3PRW7NMSyZlR8NpEU*ZLfbZXY6g?p+Np?r1(?PkDFBO+^i@>Uj$b%xujsYr z_U1MF5~!Wxxqp5LRgx@m-H@}-e(tWupT2bV7sJ!q9Uiik-Z@!98ls7(v2~osWwg93 zm6H@6|H0zP0~D}$R3;wT^Fi9+!lwE1nyi`EB`|2Z!R?Ek3>}UC|Ha* z;1Gkc@Z*;pe+r-hQaopZp-}(OiBU>dBTsC`4n`kpOD2o|Z3b@^t^AMEmC!Q$0pfJ& z2AOj{hEl~p{0g}!7~|+B)6hV6O`ua{u!+3)L{LXZ^i-v07hzca#4>B)7%?B%q>liL zYsb|p*jY;e=|y4XLs`9v7L>K$trjPhF^aX7p(GAI4Zyh}8i}61^M;kJm<79R1VR_~ zeW6)`SX+iNQTm`$D1KTI;W0}tRT!S^Xuj<((w0cf!y3^lg-oMa zxRG*lckJ7I=#hb{Pc|+X6?KdhY$Pj05EmQC-$sg2LX|iaKOT^kQe%c@*>v8ae``ar z-!6MZ3}9J;e@*D#XI=}I?QN9DqLoZ;{Iurk%au=qvzh03?sec}-R^Z7tH}~yg z&vhwC)4UtfxxzQ^n}Rp_hd{2GjE-^Oo&m=wrdH-$zI6!13Jm0h^!bhNW9sPj9kb?y zsG>bI#C6x5vtt=WUr6;nHc zzbV-R!?9rsL{D7)J}Cy@`;~Sr*+C~*{uC@$0R9^M$|@biO^4ay%zTyE0Swwdu(xg&j($C4)fxUZspvdGhy-cM0457u#>cW zzU?!yEU$(w$TADa%Dc3`H4Yj zR}Jo~7c^Yt30V&F6Cv4uAO;BPg5WR8UGm_&cTJz4Iv4(-unE|_s7DPvzGS)uU#Z*` z7^^WkGSvbf7K?rLD0aKzBR6d@;uFF}qtC-3WRi78a%3~ufv4z*o>u2*s|#t`uoK3T zX-awj#NEq^a)LcmnLWoWM)hU04xTm70WnSG=ZpmpU?~%KjIO6uw96odEwFAmy1`Knj4hkHtAT*&o=Fc!!9GSwI zpPqGG%CiX+?}KuX*FJ8D^Y!Xt>y~+HY?TG+ufIrw-0bJr|0Fe)q+ong;K3gZ_o8vC z&-4tUae9mLENyW-o|lmoIS-wp_2Z5iVl13{cKR|s#$i3y<~>*S?40$(M%y9G#05#0 zt+J9-Ay#3nOh-{7!*)I0OCD?N4lKH*mbQeH%K{tVw0E1pQzrxEUD)1LZyA=6#VK^?n146KDMI;vv=_Vu(GcCAL+rj15@O|!tpnrn0h<5Rr~zNdnm z!T#f%#|#R^d=p*p{vSxjd<-!wYqO-Jk;9{@5vlO>Sw^HU%CHDJsy9cYqf!}gpy!!v zv(<#B5-CnTv4z9`iq3v0wymrzEMB^}wWqAa(sZa7MiLhri7U&oK{&Yx z1Bounsp$_kq9dnk_ziEjn03@t9ZSvfV^US9MxVdZB-{u7v z_Vup6*Nk{`Jr^o4HF&2qzEUkC6=M7VE$f(a1_>(xs0kccdRRuj=g{{xOxY6O#(XIz zyDY_NXI1%8wd<*ZhW)h=YqTbKu6u{JJnjUc=U}Y}rNuuC+Ts#6zJ{b)nZZ|<*11Yt zT6-IVtW7rA;+g@JzcmyYM$g9HQb$xg_dTxNg}&wTKm<(@@o8M zoY{N^D2Xd-@EDAH;uNe-!)|^6f@vUVZT}A@&%jPL z(eUqTZ2FV^kTBA#}1EbaT~OU`Ue7(<9}c?52al- zLO{wK*J+IvBcjXMTfEx02`BKLsj<-pL1CnS1`rtI@)b^Iqk`kQPawx$n|OOz9_6`7 z2q&4IKVNRbjwdxr5TMdU+}J}H10VsR7A#mH>SB-{B`V#|r-r~P4AFMqPEWwSwMj*j z={9tmKVdOUtZ=ORX}keOGu@Z$vU!(IT(cP=NOz_J>ozv z6mM>0@cH&I_)>ovaWaoVch;*Akv`3OP|zIa`nwkLn*REZ_1_PXVz}%@;J61ER{lCD zRgo1CrYCc}ET_n`|6PeDbda{kcZb?IKQV~NYAKxW2qzkL+v+2wn|wSAYR%H!$qv^Z^&Sz zmQVWS8l2o=7B*q`VGcqPFppC+L=xV&=qS5|ajF@m-1}?qYffq+EB!GZBL{h@{ zmMK}%0k50afmQV_^td+v?Pxj@&tQTCrO6)DvRDLvCIp+K;+I(AqMysO3BqzbV_h-$ z9RX|Kc}5hr?xcomu0(YH0Wp^D+Q^gf-PZDJyA-{5OF8SlUT8DL`j9ZniOKD~Tr^01D4csPBp*#d={tC`n}^a zG%tBU1%v8a#EfkSs0=Zt_@WlNp%6dHdr=DlUC{&NM1j`vkWl_N__7m*8apgQVL9`r z+^9_mESb|cI1`?7*CfU2ILJ`v&Im=fuN16=iN0_)_92kpTZ_AC1OSq#e-U&P_F2em!t&> z3UMl$Ks*^W3oG}Hp@{ND<%N~3Q)Y%)Sa8>LvzeMDXdBy3Pk1r;#Bp$mSSi97Dj~Uf z6s{Fs*xms4yDWN}a1HAKp0Or)@z^`Dk_;ZMw8p+a*(ohpJr5}qtqeBg(6F9sus$@9 z!VRdmoQc_;L|&v+RYRydSPmhV(I}dl z0EG>&F<*wztR7(Pq6?>*uq)a%?Cnp|2oI9A!P&;7=vJz0p;69?f|HMsb^Z++CPzts z)BFKSkyc}pFrM0muG)b0sgT~E7XWZh# z_L@C?4nl?!qK*tj13_`~Cz(JHq^|{Hg`H$8(Ngvfpm|YW|BebC_R_RVnvG{@UywZJ zruk(?sCq{)W^!4si(Lk>y-cDP-QrF0eB)ddwt72U9nN#vihB49db<;4>3X>`W{!aF zofue_`M+_&zwu?B3tj9uN1%&{ox|JW>aeLx^rRkYnywIQyEh*@QqIq~ z05<}y+pi4LH$h?{aidsFnpOT6u~O1GMTDJ zxm3zrOHBsVz!Fmc;ILDrfNqq13<&eY6yUH^|ASe0F{q0maY}EIMCUX(64&3Y2e`Lq z!=RL+E-0mF2udm9LniDs*8clJa`l0XYqCd{PS`kykOY05zWjrzAcze45BflXAUX&# zLP}!hfBWe1%H3(~=+LgX=#caG1>Bz3w&$*fw)<{~VyG0qUCjSiGVy=qiAKuSjVJ>A zSMbh{uO}}!A37if?`=;f=jXTTK)1%%0*pEVs|WW`_uT(YE|J@fUR0@Vef;MA`Fh{3 zUGMY@?)86jL;(;<6q^6dul|)kcWBNw{q_GQi7Hul{ST6;Qn??~!qq}TJ~nSw<^0Hl zN7+f)P^?h<(}1F>NNBbCM&*q1L$;8CA^nD5%iG4>Q>S13d-k^H+f(Vx3C10Q4t}TN z0A8xRWi(-n6x@I!%z+MU)*UE85+eBO1PsG`UlL{lH^?v3(QlY5e!lj^Dv|qL8-90u z{&hjJ8Lp;&v3@ePtW^TGiOxY+-J@ObLNCPzy1m`5W3Ws1yi0V=#Wgv;1G+Kv6FrCS zPey}37ueYs4%i-LBYWPFhXW43)cx4hrS81j9bPih%Lpsd&14_rbiRd2a1@o-=L1ES zCwEIJNfo+o8~Sonx@picj6!)!Dpg#eW{I3u`YY4cfhSAvhAs24s7dGge03FqElN$yT6Xd47J1mPT@T? zC@G6rHdEF+pyNh-X~Q46VP{~CwoG+g5pDJBN_sNpXxi&yqKUZXjFNu(f)ubXn;dH# zn#^63N?W;svS~Q5aI4i@1<5n&>vb$-#q6DxqlrcPb-pe69Hf23=(pYq_p0-7Qk;tt z9la<)Ip9KkBYQJNSUpY^8D14!HtJUIjmi!AYzV!?;o&lmwaC(3Gf*uNYQd#)2~x?4 z4k-mMjZO*qzA8!}8oBOkCJaQbiw1xNeO}1Es|jwD&*nYqTjc*tsIqgf{MMjluvV__ zK1GjR))(VG(9^@Hd`cvE>>H2gb=!YS#?%&#d@6)}xs|F1^&8LcY(Ss1vvg{KQt62_1f%T61kRC zw4AwFXI&p8_C5-aa3}oXUG3c{s0%1>2>m*VZyA|eJAT+ZYuspoh~@wA@=V<<0kCe! zF<6p=%T+$rDRgO2`bmboycco#SRZY3xIS;+j%n@rfTZ5}d6Yini|&CsXTJB_59}>7UQ;pD5FL_)!O@vSU`w(nP+*)nhSc7h+b(wTU>pp zuIMd0PycRXUyd*RvO>+%p)v5r>*FBjW#;Y8SCzX5%C!_2bzc}0EE=}+ps6jN{rj+g zBY=78$9ZXVO_>{$n5Q{_HG4J2*X%dp;b8&09=OSkXE~T{*P2|~AYkppA643S2B8Ym zX!G_I8_arMZSvrL!1uC_w@v+1+{V6DgSeXWOKBLK7f{JV9dx<@%Ph=Uw$iCE_zo?k z@Ng6_22%?#)7E!y%_8)^P_?#FjT5o(7oz;|KsdWUtyZ^Je9#c54L@r)C7l7d4>*(aoao2r>n>|JRI1X4o+aXh`4SFquv}sKH=XmqaG3Fe( z&rd>_jBKR0>O~B?lWoO%-}PAdyOcuj!X^>mP^$Hb-&wW;=EE_uUv@J-@rL6uEW?_m zi_VB^J*EqGt!r&*CF7!nt?QFo&wWD}!oj9dOXEq_F?z_3PI2c2Wk>7L7Vpm6ldH$7 zbJ;r__={ysOx9iH=NgamVYsI)$t>r=br}Y--7tLHVUhtfpgmwm{S|AW$|GtW>~RaQ zKle1Eel(KjIpi_U9 zsx4oASi{|&!`)rN@14W%UBZ!_!}ooE53c^|l{MLgP>qO3IHizetjf$-yd}zB&af~| zr1?mgBlhd2%vMnn3Q$qvNC63nlLL3(xu!Dh7X9^qb+$212pUAX!2Z)l`-xH}!;*o* zr6%|X@oYyonXx>%B$Z2^bKwB}49LM{xj?WZ zA4?IbR(Y@?cQYl@RC(l(GEOPuD)Jl^_GHn-dT=8$qS&2AAmum>Wjd!OKo8g#>_*Pz z)Q)j8sw#4*jf@ABIhLZSZAK?I^xN#X{_{OOhG}%Y%C59T=Qj z)eyB&x>IK4QK{`A9VWJ~0r)Z!GHiROA>(PJn$3pB8qNmM`kLBUxF(1a;YLj0Kf9vB z(anctMwvXr>h_uku{*;0Wgb&Z!X~jPV72u-d?7Kf(GH;QnG(wnwp&UlL^0br;!}}q zaIH;potz}$(ByY8uzIf1Y(!7vUNg)wcT@Cdr{F7#5GH0<2|%@t|}qB*7BJ z_r{~}>wOY-T&w0>Xi1ff3y%}e1i*Tg{ggxt&4%WYF(T=Q7VXz62RPzOM10J3M6_fZ zJjH_`hU7Z}e9I7IWo)ysT0H(cf}LnGE&1)`zVp1HPFd$ zQe~s`jF?!Qzwg0$xIca#?0Z zpLz>njwBiVHpI=rIYRHZkv=K8z)tU6;aBbE$909DsgJYI(GXW2!+jgMy+wF(4UfTx zv(uJ!xx7HMpJ^zNd_K!dYB%axIo(4U1BcJ{x9nEB`0t6VgtVj=Zu4)pFC`^tsg#SN zE_&@l770I*@k+GxlrUBQu54mkHU!s@XlS9T3i8Ri@Tc*6@x86w9_%=g@9U<^Rz_DX z0NaCs5(Z-3tc9dnI&z7>1<2Ad&+sLrKaB zxqkUjRHnV#i=l3}rXWq$AoqMg8GWZBsc=qTV*Td5m#V^mM6q0AFmC>H>egQLffhn2 ztK91Y8+%@AFty%T@9y{7t`O?Q6I>_(4m}c=dUgw)?;ZWw*X&$zFT4cVGs{uYGt=JRlmF^yfa_+% zk7RDG!7+Nd^;Srpw%hIoip#i(bDtcCR~3{&HffI!^GNsTPFbvph8H9e%Tfp#Z{34|k;&u-D$fkO{&oaplA7 zOc;YjZjX+VoQT&54iZ^~&)j}RFqO_I+UswrKx^(RJi$EJcoxN@W#F23iW$^5`ljGS zIsDvj3CyPe7COImA(+3$3RvekQZgHnRVfpBM09yy#+}5l^A9Ikd-|&0ZzV6*!=kh= zORpj7BF28Z5^SRfZXPuFtwuC)+PyoA&BIOd9-ffz&)F#C1-1zfyDT&n!PX6$f55E3 zC{foch;~UHqbt37X2SL@vjm8yIikaJx~2wfPkz2p)iLz*>--I`e}q*C?<36SZ~>Cz0@{%J^xeQJ&s3rK+t1 zs3d2qN-4uU1q1eFyz6K_FK>1ZbTp}c6RHgj$7}o5p~n0~MNzbr4Ln#`Amd=y^aZQX zPoc6uD&AxEs(AZUuv;pHBRF6Xp@os$2W8^jdt*;h2yOd}0V{~EZ2J?);AOZ~Q9#?7{!mDIIl;IEjJ+8wiM#^1?&UlvG zlx@kU=+Ikyxj|@uG8c#m-Na+c>~$0sl&wnFdWS9_+2_FUGA#NW0LgGdcHkC5yx^zB zb7McXq)-BFFTL4qWoezJ+BR)K8OJ1t)ljmnW0!DMI%+|b8n~7*0)Mr586!sL#?ITH zI&wvjLJOh=uCXE>+2Tx`CYs1rkkKA*9b2wEhNj85npHlWT*RM}$<5oCNz`~Gi+mDi z)=5O6_%2z$mU<{xj4(6yT>7H zXK3FOxyZ1LgI5i0ZcQ9m1$=rFrX?w`tJ+Gcjkh;0wm2!B!rKy>i zxsGum{PBTn{3M}6HQk9TS0%PM=qIlkKkVD0GSuksgu zbb@_|K=(vgg>#w~XV-~xNU6JNX~0p-Fw*#^bjx{Q;)7bNiR(pKkK|pQw4D|ZVVsO; zcfD?*8Fen=wphH59)Wan!yJ|HU4Gs4^v6_t4l@4S4oS7~8@6OSN$zkT<;9&_-{CL0 zu;}CZxW%dt*t~X@sv#c-eU=ukY2!R^LUPVOAAhT4U^u-l%IG8?sCn(wE`aa7p?Bc8 zccHr?jGSI5rF8wb@M@2Y3JRO#Kk|oIHuor~#N1VW{mOazWS@rUseL44dXrelEt1Pe zlNINhesueD?$)mOEFPKTDk4Q!$bhgOBx{jY(?1Pp#R=78YI>s{E%-S5tRhYWMR*4;+6 z-}V7Vd2*>L{5ddQ_eba6PPO-Kk%F(l>v-+>B{sru88Guac{B3 zb{Y0a>HG`1cCH9_QuMRcGVxZl2=_*kc$?J=Mw}74y}E|k4w3aayY^o98;X8gok~kc z4ttzxdmU20r-~nv`c&rlUJB&ycDaRJU!*gblt|PfG;k`~^-lbkr|nKC&OsB`#!@^x z+W1fSw;e0a0nTLv;pWCC^l#WVpmuRLdthcSx=dF_HxxR+w~2`s6*7{YTtm&q*hZAg zcZXabmlZ20rD%u6+h6t!b~+Kyw_Ag{xqo0IYdc^RAG)y&4c0QkDjI`(wqM(;0;(iIqy>V32o zK{|w%{XbhY<0n+r>9J#N1;Wk8rmRjR=Y8K69;}lYU?Ln8nHpC{DwDG_3HW)c8)Nng z*&8_!$~MS8h>N0Oq&JQ~VY+r~?RlZNafS-}iyf9XFdGu&m^e$0vz=6_`#@G3lrm=Q zl_B7_0EYTd#u;Vqu{so~v!@$Y#;T{C3cjn046&L5G-Y zd;38zWob}C*8Xn-uijwoae0C7F5G66Pa?E;l$t&zm{25fH+x$|{(-UVJa#^qF?y;u zh6bEQK`TEi(f z^4yZ!HNz4J{)Ut>?v}5eXX!4TLc(;;%_z@zwtefQ`X#~n;|v_!hg4lUr>(%U5^nM9 zrx2)NTWKFkM-Wr}y-qjnpph#@tk0)z9stKfH2C)6L{!=MA(Hkv7AYwv-cN^_10%h`U2J$q*R>v$aNCv0 z)rr1Q%1^gOtsLG^+tVr0kjIZX7zNE^mQQMg8)u5W8#fghU@WYl6=#It!$jQW3K)K1 z#N~8bUtnoiG_^q{I&l&|W+M~8fswngvIp*6D_40Z+pg7Lup)~ntuAT{{EJc)#|LTRt5Idw4mGF)t+lnbd-@@ z{QHz}8nTl4SJOV^M(3&EPYn47Hh{Hv(U%pD1qEq%HA96Za$q&4tgPl90TqwNq%ViPr?IjA?$MDxCde3Bwa#vLX-Rn58u4=sPkv)|dW zU)ow;-o4Unh6^&+>B`#Rmh_wgPRnug+c`?l+AElce*DQv#b(kM`3$flhr?l#d=)o3 z6s9CqGUF$OfIJZCiZcsDl=03AE%o>GcFPd*t!*v)>aVlz?{>NU+N(cq#@N`ReIaOf z?tcD@>gM~~eb4^cz4Oc5>-)R6Y4_B?n8S^^-@~bP-nwKOz$a)iT(?m=f~Z-}NjaQY z|9Uxc^4Son;vnUK$q-n``#tp7`mjUx5JvFO_Iz}Dcl>b;KLPxqxNz7w(;yPO5Y%^Q zm|04|EIj4aXT-s{NZ=A>FamS9vDaX@xwlDE?DPRNUejU<$!;Eu5bYIuSTh?eQ^y#3 z4uY!FFOzt$_l~SAKH}=2I(((!J<1c8{15Y8bSSe-(%fdnvjHI@0j>|CJz%u6o#nPs zcZHZ8vBv^6eV={~vG$2IW1^kUfC978A~$W`G+Vv1D|bWLTK% zttUuM+`@jA2RV=Y8grnZ;%6o@pYuavZDQ@t&Q5LOtK$1bwa%9%Gakdywlb&0TjSU4 zS*dr5ve@x-DPSJ|!x3XIAZ^b0Y9QGDCN{lb@`xqjYS(7^*RJt8$JCMQSB-*`Wvrph znZ*h+0)85QF36>5d`~BOKGp)3+$T1|=z;wWW0@6e{OpI}u_Z*AH#@rzL|H$0&lLm+ zp6M@c&PA3_pmTc5o&=;bJ3+kg>p@C`p5_K0tg?(J8h}R;(egz*>b6e6nvb1;jWdRd z^@fH<&f2z(;EM%F4n@iS>4o`sv%cmrHy@um8~So*bs3Fp5m}1Ae`@S23WgppK2s5f zi1(J$50B3=-Q8>)JTl^Lf5Ks4&dKbXU zy50Z%&X9zdRa+rh>rK1l>YmE5!>CiJbk`glFaJ9oha}n#9_wp1?{S1ARqfItw<6wI zr{$;7l#w2>E_R&0Rg2NMHe$i zOxtH_2#)5d!ePD~#S9dg*4`NVdV8nW$tFZg<t zA&D%FbBhh{_u%g3hH_tNw>10Lt2GIV9|CrG)ON*Ks(B^GS@{I0fovgD;geJSuy2Hg zx2Q%X-qBquU;a=KjO|N}@U+i~O`;zTImqgt5kSJo6)}taJuU9h%KSyRzvlVw@viv( zaCzHPXe>w&{=Rf-R?W?m@g*90V5f)74_HbUoYo6H;gg)0_!V1d*-mi)b+*5hv<(Ei zML9~{bCPuLO6_mtzZO$CjjluP!&?qeQH2W1=%SL`@qQi0`ZHdbIzAf4k|n=#LZ7Yz ze>=BADwJFK8Czv6jK$AwwztN2Gd}BAR`UnSdhb5C$ICfRjHgue$?nt5l_#$N$tQ$N z^TS)y7@o){=EB+-Je&+?xZ47vraE9|fmG?I-w9$-Nlbe3Q8{+xE7125MrJT>>yv$Y z)8>BtSdh7JpLTxL)(~fXc^zz$6+=0vk07)cQ=`%w+<|wwyz;5<%CkBZu3?=0JQ<{r zk+V=sb+dhsA0->JoU_Ub+#QKi;3XF&=YJoDQ~VEV91uK7GNa9VW9#?G8o65_^%mHNjg+jnXh# zn1-X;@p<^u#crxEng97j;sX##uMS%lPp_6*dkX6rSyAEKOE5S0D>i>%iCY&=a+-H6RrjxXx)WE>$AOgv>HO&Klr2mfSF7}k zmF(JBd#Wv%v`!#YETOat?^M6(Zt|x+WZGT6ErZgSIuCbuS*9enf&ieOA_eQ}xz_ko ztmHn&c<&CwrxpB?^)(00K8Es_c6k^6A<3mSud&<#+AtmM%g(68^|Mtz>i2=S*Uo;U zkBzf^3%|AE1%pK^&$i+_6F@-d>Qa3||8e3;3QB_1(ZxGZsSwr1Aq!hZIm5%e+bH&r zR`}wN~9q( zoM01PBE)V^}wm5pQitz$znY$n5HCE&!PyT69^gxnHN;2npU0p;Kd+FESf{$Z_ zkF&=`pNcD&9Vz_E?lQZ^!i|Ew*{?4q(Jd8K0sK^5%Av7%q6~mzIuf)(T~NWHG$*G9YO3dis9`(YTS~67S_uy(|3UNh zw=b`vU8|wcXx25EZqps-?Jsp7v5+Xs_-SB7ylG|n{^c^sG`89PPgcSsuhEWHL$uT?9O#c#H#3*AVy9S4~x&Z7BSs5T)#q5EJLjT&>W2gQoKE5w;w z)JQTH)Scz1?NJH3r*zdyOZ|wNihh{Bxn68)^M|x3q6K#OEi2k_L5Dvt_IqG3I<;A# zY2>iiOmAJLhax8l1V5c1b;*2PIK+1u->=)OzeGbMn_AugrNiKQFl^M)!sxv3tcOv2 zB=B{g4PGKf=FDbK^913Rf-$!G^jMIiqobR`Q4|J?Ghy>6^P-mJsT2%W_4)rQrhWJS zRT_0V62M9ARXm2>rcWqb(_na$X&jkv6PSa4-wVwyz|&Tt%Rw5{?MoJ{7&%~}RMn=! z|C3)7+H`LcaD>(inl+FR{Y=~q!F{_mb4?jLEUAW6vrq-cp;e8L!oV>oucrrJQ57Ra zR_txOJruG_tZ4$5yi^^r;KXIj#!g_RBtlyiuIfleLc?B-ADr+S7cBkBbu%9_Qe_&^ zQ;np7W-u!0^%_IC;3*AR>qpsKrT;>!4g_y~<6MF_@a=0?%C@6I0@0w!JcBOem#a9P zgsUqtt;tUEox~W5H-v4|G#F_^ij#_*td~0(pC6mU54cK;wJdW#;%r!RKw4+iT9d_3 z=@OnCYgOMQzNk$I1NOBVQhaqjA50ZEj;72Wp zE(9%31Jv@`vVUiGlZ4^q^VqRet{dYoJ0NLfbifw|$3)Qd;D5`K_1x!!Y1#ie#>|9E zuOossv9l%4)tP0dw(Hy)M6e$ zSh(!_y%ms!zb1W-5asG$hqZEb-3Gj^ixQEw+(h(Tb#?Gvb^FN)XzuS?( ztLt&K>gDJBBOG3~7*PK%P{R(+Ps;r#HpnK4u~&6mckYEaGI7s+xwJ#Cm0OE2s~#c< zb*WI!2rRr0W7@@O2W_y=f7s>wRdgT4Pts@{9Jz{ktNXU~KGRaAi-s*IK{W#Q*yF4_ z62OL!a;VQ(=3tv(!PG>M%>Z)?{A@dRK%8ARei6?Yt`W;{CqM2R_8vY9_|c>Bntd17 zMx;_;qm(ZCUnw1XEf3qv)Z;Oy&&YxVyYBxX$iTqt@rNsCv1>vvdp?j$c)dsXv@qo9@f6LFKM1MsD7y1fCWXghre(6|Y1WC*HX2h$dB!OoZ9Buc4z&9Pst} zd}Urk(SIrnFL{gg8+*DT&?3GnUD+tbJI2p<%U_*<5Y_bFA!3&TfnK;bn-eYKk+)zU zRg1)CGW-)qG;xB;uVbig-KpGhC25bsnG_ttqutBnq_d6uxmF0?F6$(UggmMQ=G`%^Jt?_ddSWhq zRH4~I7+-1%XOhg^FT;yzlsA7y*#A`_O;r6zjEKW3e+~>D^+GaGKhNwdb`p4Ufy`7Z zhLs4Pvap?HpwF1Ns>$n5K5yWHU=%jTC>`@C4^71Q1YW0r-}+O$O2ZDcbthttmp0U= z4E>wxeo&i&vU?lS2BB5Wfwih|W*birNuk<6?sV6rpHP{sE#aU-y zveU3;?MB-pPERlH|A7Z=POl@wtDhtlSE8t}Gjpae*eW%|BS6!`uLLEken=||_W6*W zeQ|31?RXt19=T<2al1TifiP=yubNfgb~r-tMm82g4g*1BX{K}HJAuwEV9mHy&9ZLb zANGg!=T`y&)wBt6pTI3wV5eQIT7WF7m?DYN#s!eXtQ#{@5(6UpL&fU0MCBVf)Nkf$ z3ra}&0&}NtF$`BsKooUTqFBbZ->_YQsRTv8?cj$Bxot0bIXuKzS`)-04 z$#4mG8{Nz;xCmUjS`v4uX)E1m2ufiO^3HMs#)GhA?{I;#%!k8M$B9!b7X9F{P+H4* z+kwH=qFvm3I=I1Z)(c|yFx3vhUni}q0}|_lhQn8E=j}wKD0rnJI5GXZT74xyb?A?5 zk>uKkZZr0jI#8uk*l+qi`y$}#a>*m4K| z+RW0>{DF9fzYxw(0un^RmC@;YbXiOTJ7}A}i0F!;cfsr82kyb6m~YNOpJtQ?@6)v! zwYutj-#)uoFG{WFsrLYE$tUL^X5A4O(0w@U#>M!+3UxZXB1Ca6?kkXB$MPwX8KLHK zz*nf7v>YK4E0H2s94W*%!%VPdTi^v_+Z>0oh%M3WFJLup}3 zW|l;0=?&Tc$^)Eb(rH!H3(7_|oWtSNl`Vb3*w_Z3X_y(f8?x3#vO)36oU|}my@oa0 z-#;}|7FF2v=(kfBvxp?CvSm?~LM2p7?Hn?eQ|(5SzMhQRR?N!LGkNBm-=FT3Oor1+ zLw!q2VR#ieQB(M^y@O-ys0pck-4F$fL6ehr#tuWdJO)15@kcBDcwDKsh%k~V#tB^q z{}3!gIm#8)&B{`tF@nxHC2%3hq;p8**j&((gjKmvX6KP*hpS(py&!i>$Me@h z=zPg$xkImfu1#yJ4&Y*3nh{5v5I!9JeV{J{B_`+58B{%_eo@0ewWqY=;$0`DEWn+1 z2X@get^)_VayV9dDKKhk6q2^2%mp@9`&Z6X)m&R8*z>FCt-6fc^EnMIX0ND&l;-k! z3jtB_vA8>k zo06>*_BxcW6o1T>N*qmRlghjpuMi4OM05hGs!mnhATJLIGYd%r>-G^XncSaDHDFnV zaYl+`U|^T+2g!74p&fxS5h`fM_(9u0at%nwD&;SM=ou#6vQMTht6}1( zm6btR2CgM0(_=kk=H^DMgBqud;29>VFi)l&kp;a1W$tRMMc{uA)sFWFVL=H=Z2+4s zy~ixn4kI^xH_B5db%mHNp~imXbpZkf>i%E67+FWS5sBl1(BX8o?%R--?Jps5*03Q( zZ1~^i$eztJz=LViZIW#v7ze&mnge}`Y$U9uP+#_8rpIMqU>ex|rWV>YPI0U;IyWrC z=45Obu-_W%;o0UEB*NoT(VZoQtN?s1CivHOJEumKx|yG418}-?-zR>igxEEmcI<%R zdq*+naG&@ZEl@<*KSG!=R4Du<{~njiSYDdf3PX%H>-TL+${8f&myEQvYBi@f>1_5lm#9!o09 zo8;Q5*dcmI;SIIpntcOpEnEKS(5>G*y}M#~gj9idXi|F$2mxi=8WbV*T!BEd)K)-|ku7h27VP+ZKSSP6PJ`*R ztmlLy+6!^=AXuZpE4>blQqI+bKhplhp^ZDd4*gtixz03Q5iPQgeCZn_q!m#No7!|C z-h7rgn>P6UKEYl`V2Hf}+G^If3j)u82r?8y@xrf4999-mpl9Dfkw3n7`cP)70VL6+ zcIVitFYqw?%3AB^)+w?$(9+?UJJD2!f8}71ZvyXCb=Ro z1V*3HuUuomD`_Nn&y)XigXT{yl>Dtk`96SQ= z4o(IaySF{vZ#M^16LWX9V!Q8GXfLNYE=m7+hVKg33)km+R}e_PzenEo*9Ut01a|TH zJr7szx9NXopi<+kd$ZMjv;J%Z1bg*>Czq>ZQtmV1zMa|}&YWbS1hUl?r*ld#W8qp< zz$ouWzEO_=^JL(QWpkgf3Dpdy*3H}9Jt~WFZ(WB@$EH`N_E~7m4^7^dSk33b#j_dD zfY!~e9iq3psk8nMHQYZ?=5pCgIL*4v-L-&Q>kvQmYklK0#Y*2+<%*$#Q{$b;k-(SD0q-@aofKGrp!2BSLziMxUx!~1WTBXq2{g^A@()DGDx+?Tn zOcJxi-7i&Q{fph*K%L{@XVTm=;92I=QLd-A|7W2P+ip_fQS8jPpjrvpA3P@}!V1am zm{rN;;bp(qi&;mGv;L|D;D!UbEAex_`2GidmH{l%dm;&wcuDuvh(L!hbMLD;0+0~I_>zJ3C1iLwsL9yZXz&m!qw9{(7c zT^oGsyESAQ1LnO;U#}baEnO|v(ATSPA_A3kXw6(iO2KK))hGBGc+f~CvX}Q6?d^9)s-+%Q>1 zB+vx~Q-Ax7q39-}d&L&10Gw3m(xKDHa_#oce=`?#%x|`xUw`k7K1b`far==vt#qU2 zc7NXlHxX*s4P5KlHgf9E+1)jEj-wND^2}v9>$d)t6LiM@>FGb6X4|issk;GL_q_{U zqajc)t}re{mdu(4w_E^Yi-6^qabMjG$Q#R3f*|2$=-R9^i`aK_Oi*QIungOM7fbvk z(%2|*Q#~i}@k2w=w#$H-dM1L(tc8<7obo$t%iKPrz8n&ivs$8#^}>(YeXq-W(q#Y4 zf8MYJfWIh$`Xt)Mihh>a-Bps+Ovb@9|57l!ZQR(_Arexq!D{n^1NFh>j)Q{dNUJy1p+myg$cLN<+KZEYR<*1w;1UQft; z>=VcoPaa?RGil%aTgqqsQ?XS=Q?{_-IGVpms$$c#zd!2QLCyTROfZV4#vGejiB4?pWk!a(bh_L1~EN0Bj)+zJcPV`qWV;gM0Rac=z=VY7Nfq;TU)4c=6?4Kox3Ortm(9^!^-yZu2y%4EEU*Prs0t8Clt<`UL zziGYk6Q}s|3Lc$s>QHMoUaIot0`VF0 z;mjfN4~NWqb=FZYv$|9Eir+kT0w6o1V>hbw|n_ zVM84~JeuvLSpV>w{ynvN_oyouHnKXn7ISh4D|6K2BM3f=jW4uy2vp7YeyK5Lx?0;jF&>prOW`9Hb8n} zxP5vU%-wTW#)~2B$wrdvZIZ<2E;Hw`z!5J$0hpyG*i6MVCDOp2@eiTwU(LgGycfN; z23_~Y)zzB@&=J3LjX(ceY>2=O=w6A{vwv8<#Y8o}-wOXEYBG1F@~86vI-->*kIsK# z$Ufx;N>Kc%!;JsdRMKz2gmHJg3tEG~k+I>TmFiU#pnzdMiHlu!Ct@EoQK6;Ai|es4 z8yt|L^o$(eY5Of!t@2y!EbJQdx6|9!6FQ<+86k71CJwyTI2Ypz4~HsTYI+zsElrfT ziUdYfK^!{<`tOsX6dfokpcfgD9bNVVz({tPCb7kF#wSdAx5&+b`Ions-4ZX4X7F$Edi$M-D7t z^=d(c9no#uFbRnbIg+wA!tlMmf|8XBNHU*j!%<^i(FIls<940uQIUzP5Zu`V3$jb~Qj8p5Sh{f)HmH37j4h#P~ z@Ej#^>iEAlN&`cX=Xgva|6n^fPB8u+IA-ak?_AYEG$Hj6VX#LTb#Q28=16VrHe(U> z{MJ+iOPJTx6~wZ-Lng&OepHz=5)%Is22LqZ8FCOB%##%akU4_s8+j{0Wr{~pRgc`Y zB_D}-=V(trNyoHf_xoD%2k%T$z)~Z}k|iG$QB;TclPxEf>;%E?rDZJFy(9XH1@ogF z!I3Kd#SFgF0oSyXC@Rz9p&?TG%V~;UqGW31CT$rc5rUy*IbYSGg-l=gm^6J|L^9rD z#%tn7iV}|p&~qn6a6-0noykJuB_`TfWCr~SB_ggV=$6~WCip{%5+qoO+J_-ls?2ydc#zlr0x^pgh3{oAjG7&1h zB;@M|Z;-=o`G7SxC?(w!D(JOW$g7t$U(_z;Ix zPh?0%N|5|%Uc9ZT(fRF7H69kDuY#)~_@`JxJ3fVE#5SK3TbbHiq+FV@aIsbkImUNnF#J3Tk38Y0oYX-L znl_9ipuwNK<|RcHCcz|0s%N~7(I_f{kKt!ObCeVcE^WBIxX%4KJ}dXy0#X43tyZqd znP$zq>0f<%lenA)|8|U8u(7bMza-Utf{-I&hkjWpc(gMn`Dw`&lILz^Rf$kdZB9gF zvhh0N>5xZ$ir+jj>tF^Ze{z9tXqx;(d>P*i8bEuOp@QU7si53ozxpY`@b{HI+|Rwp zVDkuq5O>9vcgRrIv8qd_8I{^=W(N0=3;P;DNTt{%7^e%T*JnIM^O7|I$L2M3jqX(E z5lk%8f|wMFsjv&`8=p3s-|s$+q`JpszH~5y<8OzT`b8&3E!TF?ekU5{qaOt8j<)(D zS3vl{Sz@qi{U1MG^m!>ESuO%HsY{q*N=hcosJ-njH9lQ6c0p7qX!m9jF?I?V3RXHS z0)m)izh)mcH+e299C?iblbXYya_|J{I%+&Dh@&O^mjR9Z7Bfwdu7!?tu7&Z~-508U zQTz(K)CLA&sRpJ<1(~UXC2VCx%yi)=pbjHxqRk`3_Ct;PVRQ>O7OtOAvIxC>*Lf=N zn(g>YCa=?brztv-@X{TkjjDTk<(wbQw?@l!Qsp)}4LHy|ds~bmJR>51;+)9_fpR#0^myVMmbzTF-D-avx)={+aO)9^=)CXOHnSHA*}yE1 zI&ogm*WXqkXm~zvdzAINYniVlxOIsw9LS=UNTBhup0Dy+I99%P8XAsfG#$lkh4ohn zNNhBIKi+=k$Xw@CO~c^6@m$hjM2U}o=*P+4Tc&*^mX!MYG||E$Epln%triu+LYR;g z?@;Kfc?|Z=OMAiJL??4PN(|o$7zhe$@4~ZS=MsW-h@#_sIxW)JuSSeV*|(p!WeTA~ z9VA=IsQm+egeRxAXO1k+V;|fT%QQ($S<)cGoHAg&OyGKtj|Y0RVb?V-fHwetTxhyS z@#-fRcsgYtF(IPS)m--vaG5&omhU0j>^?A-?Dn^bEx=odL3cVuS?wL`L!!UnRvdQ2 zXd~|=JwS#%M6ouMh1S5PkM3IC%#_%6CMZ%G!tf9ti~jwCg-TBobZk#7Oonw`Cr32( z&z?9+My0}PtuQWzM13&=oTv`XrfTQZW(YdhV9Lv%*urN$xq3J_N#f~Dc(rS|)R?Qd z)b?u~w!{uhYD$)PI>?3j1d2Fuk5Q$u)y$LKRL$9to5IHi@l=Xjot^rSCO*omXb*qg zM|y=zn`4(mF_G*|!k@034fWji6hhrrrv$tscs#nNu@J3PKjE|jw$V7wUiDcx{nZuh z^|9qYht?7f^GB=}q{8L8yi8LJ9!4j$38=_^YmrVIyDsl-ZctVQ7s=c+C#cTLko}UR z!kc0Bu{hGCN}M)RBZtJ4-cZdsUQ+;jHu#QCW_cwC@jZS_xCD_lEugem38HIG=*1tL zA-cm*_q#BeWdmg)fNB5l%RMk8B=&yV3<)qb{bPPp=DfzJag2Brl`f_%sM29XK213J zy>3i^O0<+I`T75?b8Q0#@5X1Gt4FteyLESK`xM+QH|Yw9PMvD|ynNeqrcZJG*MNV< z4@&<+g+DuVKd|L*`_sreQ4b2bqd0#a?r7%P<_5I+jTK4<=co=>;Kkfz`>OK#v&v(m4HeYiMoRz1! zOWOL^aE$uIE&H+*YzJRx@{@mx-7IjmujW=S18sQ@@InD^0zVZV(A|Bzee%bh(DH69?=7G@re!zuOzwGiR;_2GWT>A#5y7P|G z4(OEA`4sX|I-oZrf3Z0C$<>5u)J`5lJt7SZID#_U<;)=Q>Fzah*6!C9m%R-{y8QY* zlKP;MnjdzN*B4yrb9uuM%16#5MbllO^YUe2EJ>6n!@P2kzQnT_NsZU0XMK+|0k+17*;T&jlt^}4n82r+n9A*Ue&u6+p zzbn!F`Q|;D>Xxw51`zNuJwL3$Ye4|@h~0r39;_UTT|dZrNSFK*gTOGBH%W84&v&=y zr&Pbt2G0GRTX*l<+SeOTR}%fYp_o z;69!{tCx_Pc7K=>m-x+ep`j7{H(Ob-cLW`+V=B6O3 z46f*eENaMT@5Rk4`xx?4D|2;KvPV}j2IR_fG4p4Y8*`O73yl$~N4ZzD0)jiiBW?Sa zC$4sa)lIDmM`dmEc~gVq{mgMNx z?(XeeI(~Icyu-(q54}qdJAG{1M+m*E*a-$byah(5P{@@;I+?CLBzBpqTR$wO1gDhK zK$7OQggv@>Y3ULl*ghoxuE?~;NFlbZDDlSFi9Z`kFbYh+o^Qd1wFJGzfrv9UQ!{5L zU@#R7WtXHixQea2t;VfRuw*~dXf^L`ubMpTpY-AKV%_XcHN(2`cHrBcPrK1MGdJm$ z@qN>;)T7MZS7Vd{^tq-OP$8f9`C9CxI{R?91tX_BDbA;1UqlOuKFyzdc!G2dotrHSUkEc0czV`Q^4}V9@xT-_# zDws+H7(}dhF9d?uCRY5qB;T8Uy8a#9d|l?j?uKsOJDBZGR}f$?auL~h-i>kPj4aa- z^{PTf!zj~Gw~096o88cZehpqlR6r_1f8L*q%-oGH*1IgQIqqN6_1t0<@N)I+bbmcr z=U0Du!T5MRa*hbxlDzBye`mZgoX`)*E=>V^Z7~Db(1>l?Jq0lD2{a;T0UR(A3ZlqV zr1#@))9*V%PoN*2=I&pq(fe0Pdt$P>gZl^4jrHYknG=Il-<>Vc>&h(af4egEyv!L| zI13RK$ZF$jHQ;ViFAN&}6aB&qf#Ab`G*?`VmL{7fu7V2K_L)_{h}g0xlaQH`aNZ>` zLWO%T&55&uO&XBnhtL~Cyt)*iagz$yN=>JOi!}m?Ladn&JwIa<=>3)|vEtyI0O2^eeH0+Us;O%D@Z7YA zL1%_^s~V`>B$mI){EcAYrNOe{} zL6kU&-A`8{5@3h4`1)g@KfwHm=sHxE=qP|)z5+UlFAetFd*bcCN7rA;VLiE#>2kT; zi42DsQmBlAIAc_r`<|H95c{5~rm(9N5TnUf=)u5M!7T|IZP}Ov6wD7+#3Pl^TBrbJ zM-5?t-mV$azV+>8Dq*He4IvlY&z-=xZ=HsTTig9dHx|D`OdhxF0{>p%aw*fZ&^V=C zncjN(b-vqO+VyRJ+~O}6(Vec_^bs-jcrkMZLSX@)Js(6gHu-XgT5#=UoWcgvNACDc ze%rvew2T1ybS?6&oE3|VnM_6Hdz)qC{{jGVz_x*16f*Az?yLgZ)zM+&hSg^&h6uTw487Qr=?hKnH3>JR`J3=hN` z8Yn13IeLx0(c;8*NP4H1LJLaoA_$wlTF4qFze2~>f<`AzWWCChqsim_`X>-#3QhvodvP)hlp zDMsoHugQmnOhv<2p##*1@}Y~}*F~0*`zAuqJ)sARYr!G(c_fWt_qj2Gji1cmDvOP` zpI<>+GF?UMdsJYviPattRxO}4G4c9?>k)=6a1otK#<{GZ6t&}lxVke-Kww28=(FBkeH{_SZH{l&2j$x0=Jk1ia##Z08%Ms9N80?m1t%znxQ zd&|W5LPFbRfTBJkln00;(@m;xR%@6$5)}ns5D2x{|31f{BZ1Q&!rs!UrV_+~OAbny z`7c2ol;9t3A=+mrKPZ;#4h=2y6(a@O2Tl_dHA6wmG~fT#3WH{*J+Q|ZOQ~) zlOh3EjU>d$nq0G8EjH4y`I6hZaE8l+hh`u{b?*M3;yiT4QjCmJ^^XlE$?$NEl=f(r zbTT7e6kPdgkd)B(ry1uGNGbZ~e@dAK7*#ccQF5W8B*;*i?R3M0$(c1&z2k&qcTnZ3 z(dnQ|2W>IvzGTO0et9kv%8|BF3_yibEsz8zu=WvbDxtqNL${*kt|Ls&&BBAe*lr+C z(#je?0OwkFtRT)agOzNf3C;mM-x6tZ03t3Xd>P{>yt)?T^$_@!~i5+$s}9aW8r_m<;pyP#1}9sC2+QIrE6H1^NUeS4&<}tQPV4I++u}<>9=WUbF3GnH5h^Lv$SM94MMkfK8&v zLiE#gVBP$y7w;Q@j-%Q$h0);Z4AiE%nDlydl}1}aRb(vkT)m}U z0>y|He=c+?x&#gyXwPB8(A`r6dssN6HZ<~`N%t`But{ThB1M*P&Y}$yz>%J@g9dqh zR8Yo!Gtvy4nv`b?}ATynR_!?vmm^{^z?)lCR9!zwt!1CL|E2oz}jL?rJFS zN38gAU7V)h%0H(n99Yt^R>myGn*J6Tux=1O&nyh(QTP)>mf(fv5NJkfsv@tb0LUd)VZ<&`&v|6_KiS5 zsA@2h%`4t+pxwmApN4WRf}`#3}Vqg0ELbOO1f zPSY(`(P(6KO&2{lBSuqUm7(~>l5|`EK5knd3A(dsS%q+c7tiL?5yEKSsVTt^dRWg+Mb+^FU(Yd*=n_kI_;GttWSm z*XCa*I%pIId#n_48}*HYqNZ$N*A0G z3myPd51-0!@sPu6N=`E5Bodp>P0(tEmMv0dA+d?lIH?UCe8DJwf8Qmv!l_2 zH7^21^Bb-1HC)wrq4$oDC(qtd`5BZZ>nMN=y}o8re1%+1A*2@!j3L}!hp07A2bQ{( zKeBso8uhspKxB@at--ls1>{aogXms+stK6gc60$u!v2%k|1@XGZGBsTV z0sc`8`=!gHu%e32wuRhiI;kYK4j&k;tv~CSLG&WLk(!Yb1Mzwp1dlAC>;Qbc96XS> zBnn0W&pZ0yu`lDMWRt4ASK{>V;dCOmyQvko->#<}t;Z(;oNFR-)=`tHeYwqT8OEa*?=Xi%Po5ic1}fS7vp4{_gretL491^9$bM8VYHA z^>qlesfDMI@keKfuyHrlyG1W7`U*fi1#c3lZF)HvIhxbgmZPRx2?c+KB4s`il8_4* zjYF$N*6%>8t#K#Ckq^2*>07|l!?bP%(?%KL6{4&a8+`4C4(+^-2Sg2@Y{O{@Vs(z# z!aex^2~u>^8vCX-dYz}ubj)?N%@3?dA?h?a!l`w7K!UMf`tLxK+gTyi^*f5rEYo~pAB_*+gwUY>vGYd<_5biR}qtK8F88nQhjPmct%=HZ3~W*mHs40 z+l$EdkMlgm<+vH=`IWPuw##VfQZ?jr(msmvf_as~^EM?JO(3s?_|uV0tm`35%xvzS z%BcGfL*5M?(hT6twq%~fJcsVR4`*hYSW}&S?e+r`M;pw6?GQaJ9qnS6-JwGoiyHYc zYe-Mx1k5CMiIL#~cq`lz_yCTsuZot~+~d^5KevRRYXR|`z4DvW zGw?qLpmhCxV61IX6FvW!af#YivXlrOZQa#2{$5GuUi$`!{j3%G;>d+kdk$SLxh37V z;0;CL^c~{a;2$o{NntixFJk%3LW|Y^i!duid5+JFsQ+rMR4hb#xD z83H_^Fx9U{@t)18gg-%26QRg;~yE?k#TUYS3*EO|yZ~JQ; zzw0~o0atB7@U%0U;@C^u{@06=LJoYI*6brw=S71fN%u-2pjo3G>k`aoT_y**Y9rEk zW3cD@hCttK8$mTe*tpUn4p_HtFQ=6Yle*?G{dccV*=PW>0d|aDc{| z`y^?*gtMD&%qeHK&NA~)qx@;SfWX(dZN~40Qy1grnM=3c-bZ-dK78F7hVkQd9xTmG z@`O6V#rhj?Q!k)Q@5#8z$`I5ASnu=h2|!Cjh-MFLSyvz?nnr zwK&h*Em5LofXZFrG_?V_^}=PjzY*$#8_Nk|XCUYT(lUs`tBHYj?+LGz-$zl$KmozA)N3^Q9W3IaOtv6}T#;&SzwmahKcHJFddhE5jX_db=t?yqSf-p7EvYVt0=h7W zcXl?x6SoV!{p!^WokXi!H(x7;=%lAORw%l5P-1|Yjy=klzi;YA{M zlc$n>lrAvditlK})NkAO`<7XGQIbO~^Y7aW&wJa=o_fXit|;ojQWSUmKN9($l~S2~ zCClZXsv6~{@P%AF;V?IB@dB^%2f;mg=jY}3o{#r?<*}J8TDaxb)wji@%BVkf&f9Wx zcTs-$Z@snYZPm6PeFyT4%X}b?x8AJU->*i*muOEfh4VfG?uVyt7nj$kNR^Naw_n<~ z&c`EfM@wR6A4p$U7pw0hQDK1(*XECdv+XL~VqH;kw2p|j9G1MNi?j0k!Pv#y#n{Yo zNoeJMhm}WISJ&GtY+>Pm_u(n+dIl|=r-$vsL1&)69#?36zQ1mwp0(#+el&J|WY(_$xfsru&ThT`N!V7N%r+~U z2P`;#vZt0|gxo79(73^=$%NblLx3Avs<$rv)r>OD$FemT+TsPdBq~l6^x_PwFDVu7 zA17+J56FH6*rHcX-Nb|Q}s=1P?DTuxA~P&tyCTrD+Z5H z^`$r79e#-%+kiAEq3639^joILqOYVJHOOD_ zqyJR=g$=APKQHf-IzEDaH?s5Vx9!=lKJFSqssS9i%E9ay5~_WI#;g-t6-~0U3y-a> z2;6F0&&AoUmm+_+3LH{xv&;CcF`n96R?iPr zxq{9=>BB;5IWDw38E!HUBsvErj|7nB&jM!1r0V2@PQ7s7`W)fc*meoI2;Uon=6w?-hj_I+yx;d8Lc z|Dp(h?nwSemPCl*7Gw}hsLkoP4_uEa%>adqoQav;-1_Qk+qtzruhw${tPS3;?oPQf zdqI=&9I{|B3=UXWS&jW$4|7*fA5C9w_pGdj8XEN`%o2?ZG zJpPYsMA&d#a;IN_K|HI1&PK;IitaUPf6tFw&VvoW=i|o1arPOw>jvGowYhmz_dSw> zX!%(ob82*C6DK6)A+<8T6V+b;Zcz35*uv_AF#d%i%JY&CHz;z?N8^om`tuJ!dO!L# ztJZAo`*#D7ZA50kqn2~)p#vZ9-Gk=713@TPw7L?1!Pn1=_a_Ghb>I8N^|R&A!}RZ; zI`Z4weVesH{yPCc*Oo*1Eft-@iMX91fHp;Y0Pe`2&s2`+4B$?N|3sLqLHh&6jJqX0 z8SCS@mcZ^>Sfii)!9|xDsUA9gnXF#NYnY4IqdSAg=R=g;Qttk={VLAK?L83{e%!zR z-P!3?sIm;UcM$`!$ze$N3IWYr$OuEEjonX%BkQV zx|%^}Lfu)B*v5|nrTOf%@g83xqf3^}QQXG9$_4UeTTOWvp?~?cebCw;Z7Ka{>L13l zQ=U{e2suTP3`rZ#g8V&f`qt zP5roDKqzo+#+#!^!vv?EDdazu7R^uk(7tM`oZVx8wpkkY^}`eXfchhXDQ>r{tsh^) ze(1^4?v>wqF^4rUbK_%nyM;Q=xU{X`ou?N5fV=Yi(m)B6A_+<<|1TvGlyVLSPbl}= zzv06(m64MEPv6V#;K<3tqjNjZa)OA|5HNV_w?!aED0ZoZG2`%SCV^Dw{u&gzvCWlm zXw$;jUXzu-e33Fc@09*J#=D_jwaYVp(mv^$NK>29JbXeS1gq`YIB3YkJYoU6U&=Ph z?Vz2T%VDqV|F-?ApqR(KuXqrV_o-*NedOyRef2*Y&m(XGsAjLH^t_I|{{ABY*7Qz4 zd5!Wcb|hT(%RxeV8kSJ`UK9LU#H660M~^{vJzO$y@cQ2&mMzR85D+^}&{H;sVDP`g zSss7CK>-&KX{cUulO=D&-t5_&TYu6b(=>iE>bfyzI$SKtqUDsq&8USP(TT-k6%Jz+ zmKwn6RxNtNQ`fm*D;VsvWOWPy?n0*3K5n+o%LS>iTqi{E`{Fzg*WoZxONC~g5`bzu(5>ugEowd9HVB4roo zCE%Zb4n|S7M^&xWtRI+Vi$uSN8AebR|JUhzux%=G5bPMq+Zp-Ae(8$@ju91`8G1+= zMf(>uRrV1!MAsE!K*E+#6uFgCJp^gCrnFHN{GjEW^^6FNi3+-Pjd%ir9fun(%Z5(2 zqqH!eQRHyuzu{w=*K(pQkQvFTkmeu-wK0<_3hSh!KCA1fjmqS6~-*9`*26lpd#jC9YCYb z3AvV27Qsr?W|c$8b<>H)GURL?D5NiUNdV-G6G9Pati>d3sy%$0%Pl;L)#;58Q1pfT zmP5kUITnB)VRTed6kM^Zpb&fEe?__Lpy*-#e?}`wDY6oC<86=t@HV|s87!YJ-$-#W(PFHI;^v~m`pb;x%XMfo*{p*5+%Ew-QW z{z4|KyXdIMrL>7>)7MsD!kAS8^vtqMT4Pj|%W@LzeapevMOt*a-l4RG=*n=au8c1^25Y@cm##^)Sr(Gw&hPbG___m(!re=HS$4Rf_SJQEvmtC*Y82PSvY55L)b+o>-J3gX>c7L zCNY{)1jU^m%%en&+Lx@<;DlU%s737-8R&p4ZoT*_ZBXfGn-&(OPeqBA?zw)s=&GdU|8%cVRTloMzMtVT_Tmg)`-g)n3>NFx*Wu5I6}e zDirt&FpPqoD?7TEF)CGt)gxlO6x|gm(yvnd%-3~9@=APfRhmm`}|aabb@{svYe^n3@ZSj zg!o6mJ~I;h(WO5n%lAP0^HpHNdY2JUtJ`6P7iI5jCH_F_MHeNd) z%QMc3DGCh!qbj3YqR&A{NNtUsf(5KrE>vMb_zb8fP+^KqrqRUG(u@LCE%eJGBra*@ zvXM#b%TE$%sW0##j7s!B>}PZmJ2s0%8vmK{G>L)emI^9mlAD8JV@EPahsdNICO5{d zXjO~OmJC4{nPqDRS38hp zDeeZ`zOxbia0sZ@#UU%yYNVm}7oa0XY{TtTU}V6}>$#kHRl3u~QC1 z-y=fuNs-1MVErrRYMY!tD;~o%hhQJ=Qr+Jj;ECR5{J@MViKOF5pJcx-B^L- zHSn#PP?l91kJv(16&awbjM>j}GTYbRK40)+^%vCjL{PtS|Gxq=^ zEElILd3uN<4h5xK4f!JmuRLchFS|gpRVCg+3)l49UaxjbyxmpiPoCwGqdh3($)(`0 zaaB_6@^P$k`mrJi6h{098V5!~!xhv!1$q@y>}UTGn;-%(Qu&Wj|KCh(JcwZsMbO)? zZ*r}2Woa?hz;sr*b3{^Ima!T|m$Ef?EFrt$OkqAdBcpOEB)X{(SK!|+9dS@1Qj9sM zt%~>J_27>)+U=>yRNrGRF=Ej`bDW*u-8H5DDx=`qidpT3s@Sv z)Qm({?h7YW^?OT`3eD4MZGXJ)_;@fXo!@HF%5HyD6{J`c>9bZTr0j^GcPPHwt8p92 zvl!wLsGl6m%rj<8lXzAg1iQFbJ}yTk^wH!J`}1ZtNH&=m13gHdY!UcUk*sYw-pAX& z#=||CNKl3FPa4%D=k3mXqlcP55STd`9jj9HU2;*Md$YK^J6HgrF#mzMATUXF?>oEi zOQWSxDzWs@otgO#BtjEV&e5p&OdMF za$Lf0$rIIKmSFeEzo2$yA4N}vjK$da{-V1I^0WcolYiZ^%EBv?fW6xR{bF;wlHr7D z(txlf(xO@>y_ao%Ptv;lmZT+8Y6>oQ9~+W>Im>mU0t!1FB-^Qrefojj({G?=rjxM9 z@?-mrF()=N?Y9?9N*SW*2*>R|mUvi{Q*FGD|4V%LzA>DbEAVf(wmtWL`*ez{-o zZ3?fhe$ig|zp7`$hx;Vhs+71erj!5OQKrZDzdFkLT4fH2bI(xEBGkJNHJ(iONmp~v zU+mgDdwHLLlgw4%y|iu&Tfgcqzj%Z8@zPniW;d|!b^PeXxUcGewSalNkNAu0{f#A7 z#$^ec9gA)3^h!pn0d90(9_IvvNdArnuW*=gr4{3^{abxxx5xvd{m%o z{_6CxsGResM&b5NGq-Nz{gEl!dhIKiYNuwuv&0y!vvA!C_t-p4lf23?SmUUXXlgsP z`l61!pB*+5zsS@tYe7H6{aRiz!kJ9Fr)r7Rj=7C$m{K9b{Pvy zHhdywoRie1dtT9+7@IYIo=7EXq6<1hZ=q z?k7E~ZJS$#smLNS@ew=$nS;)kWmW<5&VC-Ihd}IKS%<5X;{CK9HDJUlu^HU zxy_}#CI%OX)hAw-im~@*Js5GC&3|CWX>9bTP$@c0b2pMVRUd81v+}bk#0LT>!Ybe2 z$CDdoKLiD9ngMB+@v(JCALUO`M5S`%MWtzqz}xUb!T(G+3v4r7-TZuxjUH52@=#%S z-VlT|<5Bn`Ex7W+lC~~VVM8oDqd+6iHf;$9v*Wm6D+=4qn z8h3XOuEE{i-Q9w_yGig^|)M@Q2R#Z1;x=wp9EB z)p%>X*p|mF^qsn0+jjilC zBrh@Xiz6%I#4Qp9S=zh$O^Z9cZ^lfm5k4p9&b0>1Ayl+-dFQU zjm_U~#2jwCZoj9!47%Q{fn%)eKI~mxU7M*sN4sWC&$XSN55G%$TF1KxiCIlo?G{Y) z=H6r(1mA9cj%oy)KJPz|Ej6&3-d=A0%F5!W(hFi4b8gXd@*ikGF)&j?%9q_nRWbN z%v#{_B0E-bJv~i1ersi9z|zxa#m(+@SH&*0dNG`>F8b~2c_r>W-;Cm}ueL3>k+mfP z<>+1Z!gCJMVf1L&ML{7ZW@<8eYP{><)2h%UVc(1SmT^;Rel%4D5O$u-?Jc`{x_j03 zm`_vct09=xq5u9}f9^S^VR+-bZR6x`lIhrlYimvr&AH2gvTbtc%$5~3ru?z}Oa$D} z*o@)9hBPn)0bSvmtExTks3^xwSD{G z-pGY{)3GRuIn9GsW!23+_@!W{8c?T@hNM5vDI1fnxVs4Ln&Z0H5tYG%4%lJBp*jo8_83< zw6n4^jG#B(_3dGFaO_xY`qTaH>|_h&ZjkJCOY0iAvkbu49q`PIUQUWSKeDj?#1G8M z;x$`wnO~+PGpiJuE<`dsdnfdR&oJHXZ#3y8|Diy2iG6G$X|Tu)>_UlHs4HN)@(q;b zkugnW*x8|be>ivrPm1!K{NpmCwGVi%-mKhzCQUdK#UDF*xKpQM^rfYvV_t1v8Jpt~ zjBjcH{z;V=E)vH%A>z;krV6)$thXB1l5(mz>WbN~KRQomcbQ`7gg*0F;QqODZsO_ zh6Z{0oG1b93rt+`PUbnZ}dvl5ySC4|fm`cfx(;NT_4bS=kb zhTlJ}k2qNRbO*h-P`u}$6JNPs*2hq1nL@c0v_Ep z$xZOi5|YQqo#(T%9-5g+w;P&9j@&bgSNy+)4XRs)Me&3)n49O9zIHwLS!)6R-Oto) zAl2nws-Pq;fbwsp4Z=KIO0ba>8OF{n~QDx04sKIn^*si zRPA$+b-hxFvU?I}_`m2Q)Hct=GB$h!+pa$U+pm1wS9zm2v!WP%)@`(WQk?=C7fw@F zJi-}f5fpJz~F)aMUn1c@$#JMjwa*VE^)kgA^-y@1KBW^y#YSAU>qqOoQqGNDID zN@Fz_D2sC@hXRkP1H$LgvcFMLIeDYie>1c=WW}~KBc2J7Xgqm340q-BV>G3L4w@KH zn?y>nK-Vae8%KXQYRXbe9{!WiMcXn#UM2%hCcjlo_ob@y3fR`_IK&g=^r^q5WIzu8`s09Wa$8A5=YLv_i_D7-wCXA|CzO96AAX`jRrvYlztfQ7UhP#I zYvA-(2XL;+@M?$R1Il?&n-J#-_PV+AlKwf1V z`E=~Zh`8)asGU1~Q6RHXGIx3gzIKYJ`MEWJ<-wEWfw}}tf@vdd#)(LQia$yEo3iwf zNTg}0 zW$WN}i+rEpUwRltoQ0+Qd%e1Y6}HwXjOgvg!w`84v%`}_`!MYh zNdv;gese;W3TuLd2zY64e#@%`!XQ14nt^z~xv~N5Ra#0dcJ_ks(UVMqpsMThntuww zi)!q?VAn9fsFfbYBWRCD)jd^AeO!n zbw}x*>;5J=(X%g6^8rcz6fe$);^$9W*a1rbC0Wxe(Yr+7`=d;*_XpY&wf=UB*92*M zPqQ!}n3F*uSzmfG*AGd>0{Lqly#u!tg&epw1XRQeY)L^bc4+CJO2vVH`>NCXv5?

    v(l=J5V0}l@ zaejY6#Kxzpxn8B6t?9i9s%}rgo(atng;^rRFND8NRMD^>N>0lRN(r+xH^EFe``Xl# z&M{}`kcP_73l~pN0;UTiKq2oeC1)O5M27y$Knx@TgEWQ1fuQ9$faK;z&Y8^-=LL`y zY!=d7kZY(=VlTTWSyJJARhledG)XqD$aqbF z@SwDXZvwuF3LM$vP!WcNZOlD9ppNeR&qhr(VQ8Hwi?&>>g=y7raX#i6u1Yqfr75wmO(WND!zRclGjNi<}D?}AvsvJ1UaLkFj@cupf0_E&&IF@0VWR_ z3IE9>Jy6E%*Irn-b1?edP(niyuNqWBK{HpLUogt#u52$r0u^f=Fq;V)+VB`H4bOmS z>6)7pPTv(ujn>>z9Aog9CiL071P|d5Bn6pPL6HHA z(zt5X`CK%wZc>5y0y~XGNYOW{lgo)Ie0O~g;T2KLfVIc~aj9V8I4!~{wq@eG8^DWI zZG+|v4h8E2l>D++plgv_taV&syTwqZp~TA-B<%E(z>$mrzV&v9B9a80mevmF1&|f5 zbA(PHQzznu^XKg4gCJ=ZpLi$DZ=^!ck!W7L3I`;)0xU#&KrVhq98V5hj`xXSE%?h$egCmBBzKc?lvNKJqiXr}VDN3!?U+X#8 zaYtW|%c&grNf{e2PJbFqXHcPO!D^=&*fxeyYtMvi%=PsHBg}-vcM+kQ1C>}%j2nVE zX=l1s4ksv=-pB^*!p?Re&nDyR3e;$xI3Q!X&SH&nobb~y!~XG^l>i42?(FlQ_cGfq zP+r-l{(WoeFf!;?5m{!Q9j%zZ;z8`9i8WF!sZ)Ru7NHRtyqBQpE_`(|(rEhk)%n78ZLP_yuJ(G*=mGbW zLjZmvs=#&(aHyu59omuJoCj&^>rEh}Lx!diRqa<}`kyLQhpRGhuUrIof&op5x54L-@J zF4bL1RU)r$ruB!s6`{wM&P-x=d*0JjA&NE9(VT06tFP20c&>!i*Zxb3C8UM0`&cGu zfyu{7Ucm>D#Cu-|qWA=octjBPmVlUVBYo#F&C_)9p**Zc+%)&ll?0hdtAW3MIK!J( z6zzm4b@VxhDf4mPA?MdLi8C!H=);PX{H)*sFp<^2%H{Xub>MUWH>OwrJffk~(u&Ia zX%N=HXHr^Ya~aq0p4Fqq`A+@_u?wR%yZR3)W2mNI9#qcDyoX5;HY6^Hy#Gk_ zT0Yok5s}eT=<05XC~i41uUY15>16u#6JOyRYz}gthYTucZ~~SdmaEHPuV#)?)7X+X;m&S-YH|YZQbIJ zjk?XnKS}>lX9xeG&iMNUIhH9`z8Tmg8q=bi=*Q2=fHm)iZHV@%o~ zqHVGT7l3cANI7K7;Xi2z9nZh=1E7uzO1A}2_s7XCegN6CVcxpUQ@@M-p)CC}`UWI7 z)zPvIvd2*kiti}U;4I9Dc|W#b5=xcS>Bx5 zeBQibM?x{$mI?n^Rh?c2R$Rb32f!w7{gJ*Na-%*AUVsn)0o4L7if0@fJlwu5y()wh zuD%8sF4ee(`U4wXi~RKj0)X+lL_?ru2dzk#4yF)X^*~b3i?DEGp>&Cu{z}FVLi#&M=1ptHIz938(a@>MNylIu`e6+@ z1DFSPuF;lq^3(Y3`G2=YVVfCm?S_VA(;jqO(hB*v0dSq?@V#nYw;nrwtUI`U4k;W0*8~(0(#1w&Ui>Bs5zGl`1AWNHw6VrX*&KZ)F^!t0QfC_ z@qecp4P;^z-I1^X*IWCJc1Rd97>3fCiqTAGQxD_W`m{Vb60Y4Yi|dY^lRrtc?v2*3 z9i2;5MmDuMGxp$cJalZ77@r_g){4izo-%Gn-v}E2ZP87rTCimvZLZq!Whm6q6It|{ ztYzVtYq~5+1N*yxsoT%;71(@giAjA$9*7q4Y)JZ>&tS5quF2-i!vJYIy=gj@+J;prVtiw;#0^9Jc5?Hrn9~J-KPuDh#*MOacrZ0{yNPGj zFku>_b7Y+yRUD#3yZI7Ruyr&nRxEiSNEw0}$#!H2SBRPGeT`TWaB_I`Rbz5T$&RFq z`I*UZK@Eh+lFP4g;poABLId%T|Erax-Ht&-Iw4=m&IpSC#AG5&6CyuN+&J`qtIW zZ4TPucz9w9TL4~-euJL>LLQY{G=w@l*xH;$mWAB!h2SHt?TSFt5@^hVD;lM4JiwwK zUfc+}XQ*x9B!(OU5b>@VI1Tx-=9reS)cx|{nAHK)BXM2WVe@4WGeVThC&kRJ4VKGL zHGA(?25>0U_+(~yt?QGu40y^ELBf^(VJ(gFMqXO6uGgh?c0j})9z#cuG2ifF`5q|& z_8MXbzN#Rw+c#qI=e(xpS(O6;Ny|Wz{$b(gidRi0{T~@sinX_;^OXCOfS$(I))hal zU@ry$vcbmh)~S1G9HzQ!cM?wS-q|Py3yNjKU@_Ra2kEbUXU|G)h7bN27b;;F%HNd3 z24uW;rOzyUEPb4v`zv2Bnn*Vcke`2E32#}{SJRl>h_tc{32|xEq&?Zj7&~JlDIr0H zT|T%gE@OJKa*=ng?F#25d%NGQ^LRg96TQ9yOa!KoiubyoiX6mu0BKF&o974voLwI= zug?HPrWeLu?1sg&szWNG&XX4SPi_;|)9|0dNTes1#Ta28uXkc-9|ufvFfI2|WLfmb zOuG>f@0LH~U@|Gu(hjgIOM7U zs2y@$dY@n&IDKs2LLuqq5L0?+BOuC+@v(joq*DiuNcW;7qW6 zTG2i~nAkqQcpa)4_OX!iwsu|fsJnXz7>wa?R3{nByer5jcXudYoAyhQ%!Brvm+FY{ zREsSS!4Sn4MBaVF^(A)Uc4IJ8<|DV4n1pNlS8@ zDap5q(Rh0}?#f$3;oZ$kbQvAWbU4_EBDKp&3&Xl6eQ_%#nqi6IbUYyJAn;ZM1fXc# zrvJc0Ylk4_CkW~D&vTPPMuX?d5r>3sHZjtiSa{=Ag0P^_L|r&z)rYWW_!g}rn9pIf z-ez!$MvJZ<7aVE#I6bm=j=f3~l~~V^-+?uT;BHjyK^OuJj;CEU_B`8L0m!A)I zdJ^oW6uZAI9#aQDA_P#Lj~GC3KTOvs*A>Y<$#cTC3KiuLzrbnBPcFKc&q(0 zBEH{YJp1W5H~vY{`hy}r0O6-#$IJxEynd?X{$}rKWAHmGcDE!7aE$;GI(h6gE`G7n zRB3S9i#`}kMsV;PtJ^@eCa4%fal6ibg1#OJQEqq3%$%M%w;YyjVe=Fi{d8-skp?%< zuK`gJc17AydUesN)^QgptZiUf%Rlh#wDXnxY}KoE_4xCGdaR%e08w}Z%HFDW0;u`1 zl}}g{G7f>6yG({*3ms8PDpF^_}?c43N{crpS? zWwkWnT2yA3zIP@5x1&la8eM5iw(c{zguUUV;Ng0$aVWw17I&1dxfJZ^s8M$OR8~iZygq zY;G{xM^FB8x*i)!0sK+&``osg)Pxm`W8$jG5 zSKP9S%BAciOwq7Y5KO*uhW==j4Ube@g`NByb%Jt^56Zgpx66)b-39_zxjhw%b&rHyvo~ngBqljvm%ZXkw>l~r#1oIX^+iksOZ0f%_fYSQ zAM;Ag*JAC0n4=^iGa&c}vQnNs_V&eFXCS-0f?;D0fJ)>G3m7Vj#9@Na9DdQV-UNxL z!@#nVOu>>KsP1hReA9mTh$yfnDEeI~-b?W%Bj@k{*?&meKD|)t^Oqa3U&XY&Md#CpdRa?VS98=vwP(-p*&;?SsWO#LmNzS zUi*Mhw&#tM1kF%op5+;1W%B{ALwX6jV*gj8XnSbBJ#UdW-aljfkX z-(C>JuDIz6fug2~20jYL?-+1cfRPUQEI~L80^&dk3<_%gDiRG=hFE}q&AO)gsEd_n z=w4xgW-M4(|3xgyoL}>FV`B64py3{=Lp~rTJfWW@IQyRohseupY7knY1^MmwwcoD_WZ;&opTVk%0|=VdGYY+c5Hsb+j+VI|TGLF><_Huv0H&Xg zL!wxEx1*K1;_*bpxKjgr(Wi1s5(i}~p?171U z!Fg`1>F0G4TpEEn3@5dne%G`x2-&QVQgo_zf{eqR@8Su9C3!hGi#gY#_Vs3DtxCnV zmrE&2F6PHRbM?wphB}3>%$smZfMDS;cw@CJ>B=bCW`cS)f!XQ@4X<~A8;JNbYlfgv z`A|WL$vsZ}h*^j0%U8p>Z{!Hw?AShcMRhqgopK$LYxH&HZq)B~MdX?Hj2NttJf%MHKyH?{`aG_td+7_b|l??A2sG2hgoQATZ zn=JO!$E^Eyj*s3TaOUg#Z$|kk^+QR)?8U0(r{-syhGrc*&eOJq6w69$-l_!Ajfw@k z%~T*x{<2{HcZdz(O*j01O|fx}e)gf_KtEM$d$+D1U@h-&eYA8EwZyyrmC^qjMn9=# zBIQ<0G9kDAc34~maDP5=8CaNI>1=D)CRV+x;HCvE>jJ2Yr!$X{vY&`CKkWa%z8tM? zTp`aVciDu)C*XeobI<<;%uW3T=3F|LrxiP+Z2udW%N?=)Z(y!5^AhHtF9-4bU%uQl zffV| z4zOs8UTLm8Rw^RqS+IK2i$QW{VhUw>tf;mqgZRF*+#FqeSyun80%eM*#Vp?`1YxG7 zYiI~%y;J48Bh`NV8Ncep!Jhq=%Ua>Cn@2#P#_PSl)3MV0>aXe#{+pcmnezu8;y66z zi5c0e=;x+6_;FW1Sr?I(XPna(0-z)+ zE&w9Q3i4<51u8i=ly94NqoYxq9+b};CH`mn=a#A9ikNK*zcj!6tm{` ze*ePp{^7Q5VgKG`#ZwN>m~5*f?d`?v4}xtX(1(+JkWk%TZOlLOY4qf9WQ&n>(U$2Mu7lw&@>6(L_%$~nBCHPi^CPrQjbxBJcK&p^W2^it2r{=Va=++q61xDM* z)vD?xiHK-j0Q9DDzvrtbN5JQ~&pRe(J>K9IO_DC+B2qhaJ{U}u(MHn2)mG2%-Z@?C z7eT@Bfyvlj!d(~114!X=8&3$tA;vMfjd<;9PNZf|d`}f8dBwIR8*dMue^xkE#PQ`h z5TvM~uL4EBf4mgndp(~fq~jzb40S9A={p=1&MHF-0iJgUJBwdLlZYNl4Ch&&_6KB@ z(JJm+*+Z0V?KW+EboNJ^y?;OjHl(0#0h4ve#8^8?I=xjkadcvvsn=f_f?t=e{LK=Xh)LS=V8*@UHOE3-H!&dUUPhCnD566Tcwy` zh2<17cHOhC1Yk`+0;|yG7hGJ?3?#w(kY`^A3IAH2?>KKKp3ha`53(=hsFHB?uvr5h@(#TQB8cRXIme`q5*V|!eW(OHi z5PA&&m8%{*4n|^`6q+Q8=;&!*+_f){gi%Eb^rxCzwEz>`ITLchD{y|ASb+ful}vH7 zs@sBlR|%~AD!9>QU+fRS;w0EncX!IhwIYWI5CLxNWnz;VaRm(YAcjnmU3=3ZHfv0_SuPI{z$mG|L zj02PPlhi5H9|DE`{cxnCC?rv7pm)aFyib2bocG5eoeYBc8I2EExFk$Usre_#4I2ZK zd=GHZ_%@EmWM97GMRZhT(&0wnWKK5M7UT=S8Use+%Gd@qnG!}d@i@ay-7Ucag+MZY zbXef>bSGuDATx$9dh+;=9&4(C^s4m;<=G0W2l(d5d=Om?9E_Lj>@6@c3H@lF8aXqi_o*k=GCy^{K#lcy&ZoLH6cbCrt zY+C330&8Q@ge}FD`Ml>A4^rH!Sv*n0@QYTk@^fEwg2^%sVNWE86Q`2{zNvv@)j+V* zi?2=hq7^tat`FD_@^~eK|3Uz9#EoXk^ku-SPFEQsv+f>8Ht}zrS7{W6Ev0{+TsaK; z*`7>;MXn~B&@P8E3#ws#l(!$Al)DR{jY7>O=hedF+r&HK!lmYg#1n{q&OtgM$30Pn z#)SXPQ=&pKKj>G$HU0Uk!|ptatYzUN`#bYhi1Dk;SAJ|u3<84g@`xCas?4NzVWh|j zp~k^eVe44&!1eC{bgjjUF@qb<4>T3oY3HZg%Bm}fv^u?X@N z`0+iw`=aMZz?UBp-OhF-{+gE@+qsDDpx~txY8neOy=m>0+$kk!Uh@tZw@ZzS)ruY6tpb3RTO{i;eZGvK0K$2PHIo1bG0;ouKv_~fQ zByek9@^Qj+z7F_KC`r-UG>WjqA25)EyHW#}M$b6^7*eax_JGEN@=6FP8)7Af3h|iP zM-bFZNkw~AByky#B-QJS5jf0!g!p;=mXowV9r}Xa5*i@VVi`k=(MipyCco*|U9}Jm z&Vs@liRfCYxQ=I!*Dx-^0r-gzi;E4Lf~9V1>ZkbAIynVQ%*#Xfmaf5pU-^>NQRNcMkEb&nE@lxq_FxL z`py#V--)Fd-s7saW`N?Bbe+J%&E0p2Zi1LrvbUi-V9K^dE)^6{0zlOKVyv5?>KYo_ z%}dfX+z&@H67;qF{Lr9-({1}pMR;rkp7?McJKAov2sv{|;MGj4T42y34GDcLYxO8N zlA1+55|$x}91RWII%Yc_JwqHyHl70xLd0TO_c)tCeb^0bjm5$Y5u#DizLE_sn+<-J zA+T~9%z%?ylQ7o=)Qk1$z0eP_SgswNY0;Cw%jIX(+c>|4W#XCUXx5)t#6Vd(?<-~h zd*`2Z2q?taqfu5NHH%dao<5P#B1)G-_fc|&F1f^ba!I@d5!V1cvaSWpMI;nvO-hEn zedtd`xbejXw9~c9qh_ji&@%*A!!QfPT32e5^@QNx&;V>J>XW5HQzMSKpy;2qWbgbC zHZE-EW6?$L%W+8>7Lxlju7pP=#Jns9KWE}UqO%)$YN0ppbMv(XT@xLJzC-hz3`Ha0 z1li!eS*+lk!p_2o9>(l-^Na6c}=$0B zFu0v%;*p%tk5u7OxGT+kY$2;8h-`88;48p%DXB@$Jp50ViRBWiv4w!H1q;|igQTFY z@RPHZ!-Ye)a>PjwmeFiFHJnOz@gII}YRkFJIM|gm)!l>K)$(PFjMF{e#Wjwr(c~qJ zD^9e0cHDJtLOU-p1b|HDMBWGU5^9JF#WF5-4ZRlb}N-;TgwXFKyi)H{K z%qUQuQpQ&@cc zi&9gI%!P(cNg!OCkpEA@&P3SlwjUQYw0w_QHBO7)jJQA|{v|qCSR$tSz5iHd^Us{p zqE;pwtCAN6ZSJcQ7#CUk^M>GDTayNaLDu=&p-j=QKGXWHE>7_AueAe^O!Ex@*fs=t z)`{ZURY)&I^uu}#7LrwlEqM`+lOnLD;^fxgDfY79diA+0L)Dp&0oXtvt}ZAU)iuXq zq4JHFhXLiPd-N!YAGGCTFH0p@-qM6VG3sIM`dO9-80xMe9&yPeRcx(7!xhT0hf3#( znx8|{Qj9Y>mt5CulJ6( z;C|eR7oT$-q5(2Wp@q#Twt!O*SE|H=XFiWJzfk}Mg&%H(FbgwJO&CRGh48YhVq7AL zAbR_ohfB_cR;Ho_Jm02TzWRHN9p~V>su+Hot<*t=J9^FLs&{`@st>wDhWd2uUv{e> z$ZnDSWw%hN8USf81ef4zx)0$8%KQM&99tT>Y&9}V7S#siUuzasKzFNs)AW$$ue=rV zSKey%2st{am`NJE%$-~27velc$#OIHMB}MLW)Q+&vzHi2$j@*MwOz%_S|P;D$tcYK zfg8`~{B349!8|%QqZnBF0L!?c1aoB3rj+PPfN9C~bVD?YOq&FB1Z(R(H_3OlMe4JyM?e*2zMD>B}Rvun&uVrrGRQGeyGg77o=4I@X z1bljPNVUDT#j%=wr{yN(p_;hZ9?;^VWFe)`#L|8t%(P4S7&0Adhn_tgfgwH&aAyLV zTd0(cQIESJ0A(kPaGic^8Yk<(usLz2O=|X(+-7mUcouBQR4%={KMBfCM8FG7G)}Jn zE@acNb6RaKD^3;lVrw()p=wkPdE(u3f(Gs6s}T&63(H(g4mv^zINi!=aqsMPOc0l& z5BYi**7~}r+Q_L0KSkFj+tO@Xa=GTtj?#ss0@yFO_A-oZkA1+hgN> z?V?)>Ghp5EqO%MbJFG*scJ)O&cV~o`Vv$>gb)%yLL)pQ}Nw%&nX4wCTbB2!9#G}-h zHIwi05}mt6xp(3B?+iHmgs28>oeYn5 z4alSTlbpW@I#%y@>N|1cE4HpnpMy2^aKs~#IWLZ|OwY=5Ke~FLpH-}{XR0rIvcU6r zg6AZemSQMwXq(~blKqpyRDb_PvyQCsO>d30W=ulnv|7f*_(4&L;$hK3+tqOEUVL~Po5wesR;FY=Vfi)ok$ns|u^azYXC8VF|I9|wxG|awfj!8E zV_MA{2yPqBFDWXScZZM-->w*duXA`z?PC<5cnI6fI?7~!DVA$-;vRr?)mO|g0j$PT z4O^n`>&iQ5XJXG&LN@NfI=0>|a(T+>THz+o<|$()t2&fs6?{_~DU6p;{`WLKZ}xS{ zj7pJx{5${50Y`M1tV8(s{4^v-LEgp`*pWpmteuXEWy^W%vgJfU;gAJOKRI@FD1R_cHP*~GLY&UNT zQOJ%085wH}X0JAPFK>7IH*ZfS9uI$pc4z9^&dt2vPme|p@2ke%+ZG1b-LG7dHPXuq zPR|eK&=vBa%DcLFUSDq(j#sX4_U;yHKc1`6oW4m>`w{v}YzUJST%3qZ-*S*X}rlPmnc{Q``BSoBmR^lo__dO7*tsr?DC;o4mPe)!YdXfw9qdf|m$1AOk9ZA^Am9%WIGJ9AiFo&;tT z3J&&&G^32cbJ)f1V}^D%Rji>$ClaV`#dWN!=kDA}mai2Jq&`jKgwsZQgfPjn94FJl z&QLCaTMKD@iYK2~5II<&bvAA0Mls%=>Liz;A|D9}0oRKC+{i|NCKmf@(-uRe;|UrQQ* zdJJ}g-XpyImp1F#8yhXUlN-t>-nZtT8BOS%QDiDYr+8suKh~XPbyK4sX{!NYfxo9E zEhq=C#qR|I$uQvgP+_cN5QwF=&4@=4E?>Q=IVV5ARKQ8RIeoiJtAnZ0Lah80b4gngUo91fj#VG+Et`#Au-op=_j;eE zmUS=IE4UT+nR=gqU@p@f8y<+sa%CsB1q%p6MSUGW13TvJRH*M?dV#DUAAHFk1~ zTdG$Q+`F@F1Hegzh?wxJ*1@XFKnMsI;|IaS#OL5{CrF;h)at|;qTj9fwRzm<$fplr z27A(gFH6|X(b;F6Nblh;t8)?QpzgR=XD}k)^3IGxg8LL?a&s;wVSOPsmQnv#yVi%D z{_;u;`@+UV$ob7&%K7>JPu8{XvHghx1r4W<7r3T;1{)U>$Y!sbjz$>p35-d{EKKaxl-gU+U2SF4ddarTaaEH=pI*LS=AlZZsK z#yf-oR|bll6H;Zzpg+8sSAIox5Gujt>>TIVwyn59v+){e37EabPg+27!UA6N%7%LHo4goJ`n{9);t6Q4JW{kfY}X z`)-yp+(szR%nLR}eE2lngKyBZsa~3Ofi(5-fOIh7!3>q;=CEtqt3^NP>0~F$3s-EJ z`w{IwOYyn}>$-${NgR1tX9?du-y*%mYVt?3a3hN6oFt)`*1Id#p?{0Xpgp{67jF=m zeq#e6kKN#{eRhMe&JqzvuoB?xHe{;}KBz#qvB8|V=zHBK?dC>b47~FjcxUeKoigAR z*Fayyf{kD2y5n`=z)+#6H4RtqCNDtCikERWK_{2sXO|WnfwaVqE@EQbcl;V&BWZOg z=laN913m%jl)v29L45Q~=K4#AaXq|vms4cjCFU*?vNqv30K4w}2}c*#C?LN&Ga@ar zm$fCF)4F7$ob3m)*Ug=A5!9r{j>|4c?WcBU*T}uWVA)lSy1LuB19FqQh~lhqW^WE68x`22+!X`tVsLav6g-| z;m%eT_=kOALFDLnKdOAK9&z~=nQw*T@ibJ9qX*Ji5P4c~Gkif7OhFccK^Ac$&R?iR zicZ+n{p09lA;~nB;Uy}oGj*&v6y(n*(!)j~0pF}*1q_o@d?8@y0pZKjC}=T`4*0fGC(I6@4lM|xDWeqbuU?< zY#AGW!FuKvtG`iT{VrA8Z}c5MIctx6NNZ_2 zO)d%3nYW-@=od1WHy|&Nk^3MN6mqq?3lcqKJ7rl~_k@~U!eIL04y=4F>8zVv!o>cE zkpJ-N1IX}cM}6TivkvqGpYR@st1O|1Ed1MQ3ig^Ve#%c$cC*W73GEUxl;UZcD1VBBi(yGLC_-bk{Ktg_d zMTtn)G#_HnVr}F%D@dYD_`H$R7hIFy>)nQVy77C-tv>O7qv=YF-y9=s@VTv1ld+vj zZ*qTE)91ztcf~hBQBxEQ7QzdlFLj)D>2Fz@#5;Qkt0GQ8T@B}fNqhV9(@Lax38jaY zkQ1^ae^Ue}rUS%4#?Io@{t((nGRwT6!lTrR@Vh~$i(>aMppEB&f1(;4;Qb4NA(By( zD>c*55rn#y_R0nIvSZh?7t4;;^`@_37ReK!@mcAfMjU(F>_Fo!5*AS&oJf#@Nb4*G7iOX z-k*q8rS{2c3Y?cP|9-JCe4ICK7k|3j+>IdA#BNfQ)je81&Gyj85OAroxKnr|O zbJVBil|FoR-{){!_gB}_tKDhPVASqwpCQ|$DDOg-7H7(c**caW1A(RFj-HI}1VB^p z#szk#-%mXl7<`v8;Us#52%{%Zr(e#}8F?WvBvC$wn>*w!+Rwb%Ila60Q`|AhK>w!0 zK)`|fbfaCYnhDR?=*80k2~!u|!4K0%0rkJwy65P|!ghc7wQbwBZQJ(Lp1MtK+qUga zZA@)z%ITC-_su-#oVCvRt@n>)W!>4ilC_e(lYIAee{Qn&+CoSecItJa&^+DPz(~dh zYtW%uaVO*<=cu4a&Qc2$)N}L8lu4*gq(0vC=&PwF z4SI+P3j^jxh=~K z4~4{1QIt!39d6fM3olXCtLmzHv25X>BSu#t6g!T(6H(6-U- z2Ip1g%qfG(F()v4LJi5?v|_pvUYATY!lt+5OghjQ=VQ&_)N*Y#7Rc%|}6>6$jHcBy{B9*^J$q{0vEr~tW;Q7r~E&w=GAX7gMp`i9| ztNt*5OhXq>w;s8w%VLprc jbpS#{+;trd9O*{IkF}g=#A4jy7P)^+o##vsqA@n< zg0aXa_%BNx=y(iBnU)Cs=7PE$B(I-q{9{&55JAmtNo<7Q^R%C|W|5h5q&J+R-;2yV z`QB$EY4>tU#&Z*=dkHAv@+ok#1h#WjR+0ZE6=GOiMi2aD$eCVVJk<`GnyK5OQYl0U zKFWW?jVfm|4k9-bs!O9moe{)#2_om^9~FkSRXib9f@ZlB0-SR47M$jQk{m0Vzl;qP=#!}oiP+p6Q6wY{vHP7`t5e4HUZ-qaRmZ-a6BFJ9{-k>n1X}6ck?-}R=q!I z^j!+;5npVA@m*@QYHz|UfIQ|Ek@OfVfc$dV$%3^%N%A8c#TC9ksq6*O=~09I<-y2W zFtnel#vOilsgha5pw6sH8}RI{#%Gl=r9<5PH{(2CGuFRUGg9A`{qeWbq_>m?2sUMD zogpk1-Zn(c{CSmoDb|21%YH0pbX`^|y0@-YX2IWG1F&*srzA2ub%}LpL^P%x(FYmk z(}W9Ev?UaY5{Aho(%fp5@N+7xQ3$S8G4AC32ogL?Stis2jZpywZa|YWw7yG6canrG z#KHvsOh1qaqo2#QH8WM6FIBJ}MwQYej{>fZw@^&KvY%1JyD~C{1j$$(W=m1B1yh{l zS>%qtvcFdTZ=4Jg@jv4yFvYD=2%)GhgW2ke9OjYSm9pKy&2RnTOW^FU0aVaxr_{#{aN-HwxH`+&tRS!rO1H?(6Cx)b4?JJO!#^y|#61PQOch zB%l)grVC#aoG}F#rOtPyEhBj+6mHz_itXX2Zb$LtF^EqP_CNF8{d_u-ur2w+d4K3o zvqUG{K@L78Tlo1j00(_NfrH9S(Fqr^uvaNcr#a6Lgqyt-TjJ9Pqdw*URWFSQIg@-g zuU91-qvUJLlRsvH)ieUt>J*JixB~v;Y|N8Ssjgm4MyeP@D@~|4)Ok4E2j~0|-m>i- zDZ??3_QiiH=b{F9EdM+Z_5#bAPXfzQ1FJ%do8aV3MX$l)k z2@?0Tu7et6LL0AVNb5|0&d=g5FId_DmL)Y0qgV^ii+f>CA)FQLwI4#l{#^pXLb~~V z&$pdFuAc7sJl{NPW_`YQyz@nrkn7{6Jo+3Vk7 z%(2X_;xY)|`%(L&HhtP4zE`OIWlLB~JL{&c~Uw|3WQjeD(RO}PK%^5=I} zK)gfMA|U=!uItO|-sbW5^p5bV_Sc=}htu%w=_lXXBl>-KZBawJ@U^X_aL$$T%z+k! z=|@V!qHyM~+XE5hZ_S$IQsTHiq|u%BZdhh}lArDGUfvzs29L&QRqM51%{R<$p3etX zEewujxXo(9^-O*D7_ZDt_R$BjkaNFC06&Qx-1^>|`*e351&Ha!7UIG)`Zbfdn73>? z{Iqt|o>nY$$NKDEj!E56`4<$073lP zk3%$G;$QL{sR{4`Qy}3UJu^=kmzSULY;Qm8v(1Vgjfv2BB2>N^70N=~Q~f##1|NlH zgU)*}_Q?G1{VF7QSu`URb9*G>R2G)TFEVK1v)3O!Su7ZHQFgW{YMi@O`*|oJbJ%6( zN%Is$r1q7D#Wi|hOMk`&QDx&T5BL)T!@-pkKWwm(56zk=VnuK}?a{VvR4?4spY7j6 zJ-Y@7Z-7QYhs_<}quKGton1tF4(CjS9H~tS@TKG62t~s(e;R0m z%t7B%#mZ81His|IMoVnOES;CH}4nT++AJkr@2*q6zGWlSxu^!8TWP8K{-M z{EcPWWtY7Cb)QHHVDjzLg>zHy#`2s`<%C?Fk#zDf+$i?$-C$|IQb78f(n{1=vGE#?61K%ckZd9!$Nv z_{Gis1IOTJ#kUHl3+Mc6!4EH2*E?|B+A7;DLV_#hKEhTu4G*yp$+k73m4C@hNy#mc z0L%Si{Xz-xBT{yc<8+Y6eVkM(>VOtF`euiO0PFQsuDqi@b z-5iz?+7j|5K_OltUI76C-np;iFQV$SWJTnsr(e4Z@KJg$CEr@LX5KQj%CFm+S@PxT z!sG3STdma~@jc6UqWW8)3aSp|>qrca%|4N0TSTo9hv&Sn{Co4W9DI zneYUx<^AXOyXLzpIA%8{#;@P2yMFBx>QOv&&SI1C#T$DCbJ%Pg2i{0M2=+tk6dxyS|eq z>Ik*2{6<_RX`FvETIt}O=A?|Iw99qpxc4>4+8oul6xn-Ucv7fg%z&Sz)G{X)N)!pD$57tGd2nv&SuYf&v*Tu@n92)zu3AXKKo0`w_Q zLc-;cZ8rM`@M?aycqz~5clu-p_*~tZ?@tpD=*VY<3HP==gmtYy!L!Qq=@V-9$QIlG zG;h+${0i3?glKr0oYQ!#=Y_3d*{7&&4|hc?bECsEuDfX^!k| zc{*JGyg690)P|Hh!MA(c0yX+TZd-CpC?Vxg@^q$f2 z)I7ntQ&?LB)b|4)`4;#x$B-VzFtm=E{LpI1^Ww&Jof@l#S8+{{ZT6Pz0lC+l9Rxm= z_4wG(pBcQ37mk#t6K@4qtPN^W5NbT3CgeLRjQwf#MR1Aa08LQNW2VS|-eMohy| zbz_W(jMkGQA%|jrG9xoH+b+pi>*W_;Uh%`KdJ(rXZ{s-lWG8?qHE-{kzyS=@%sG{*$Ua8Ts$0`cqq^b7F+>$R#wj03 z87}6J#dV_-x|WEMDSc=cJUc~1?0Uu(;0x;kFzuC@5+2JwFnR-cOiWjC_g$e1x)=2( zvzwZOrwhTc^mJRanw4~Pe-Tde$)>GfwD!j91PPW!B&|jU%D?f60dV(^EykaU#x0<+ z)#bq%Q}OBfR2?rXd6Sf>0}ejZxeay)3X8$CONEI>5P~Ota}m_lyTdDL!;8LU*x}IA8BXESQ#OJF z*om2lmi_rHTHGz%09d$xzHT2XvNr}TBdW#5MPSrS;0GNEa}h!qma#gMLRHbNXGI%J zVnOByhxuXX3L~G=!0Z?HB4U=jx=}A$ycLm46inO(>rD(uWWtdI&`L#$J_G!6%g2hL zh+C+b&K92iKgEisf)Iq>*A-#}#7jQIiC;ioa5O2hJV1c|e;y*?fmB$|_Wb&_9TC@q zmxgTQHU%<(xjt6vjHyUo%mddN){wmzR`fCizTJgRF0NgQuh#EWgY=D0?PZ`vjnXAe zd13vKUxKd7K17sG$@-khd<1RA?0aq^-OSWZQ8Wd%KMNh2FPM~MVaHljbg4J1K-jne zlEN_LCmz~8fD|{t-#>%K+*?h>z7?chBNmyl9>h+if(|7q6_iSt6kO@`kDWJE(4v$E zqCJmA5ti2V-3L>)yz@J|_8}~yMz@7~@bvY&5*YZ9+KZD6s?^ zG@PEjN@?o?R0a5`MHtjX217FU#BSN$T=7tF`3yEa+NzT|o9xlkWEX*LD%5&wBiX=N z_sA+qG6!U%BO*h%OrqSyA_%T}{Sx6q%Q)`E==bvbWK2tln25mQvifVL-)Qg1ax7`Sj zMV7RsUJTdiL6c{4KuvG#3QtqULh?Tt+Hf=w5E+X_iaDd)fe|6olb^B4w_RXB)ilHb zB$<#lDTgr)prSiTOh<~7?a-MO7-hh zx?*o>`8Zq|4wCKoBV%t&m<8>m1uq#`C1TEw^LWs?kgSzA?m5WPxJyGN&LCiJHF8S0vl37#^De)pK!57DbLJh*+8!ijd?yif} zvp8tb4?8Zu39DMq%oypF^b;^!_ov!u8QN|n!#fCz6rT;0Gg^j%lMYOyEf@m0TKk_u zldv%e3^4dpMa_ZgLa-oB=$`SQrZ&kq&7{IVEEUk2*KJ{iQ`iDD>#3y&7>QBzSyUsK zWEvKUMQ4~cSUe@s48{@|ux4QuGG>RtO2N)4*eHjaiD+u@cs%4qWygRT1|609YPMgb zeVc4QDO#qSm&;x9t!#QBNa9;L_8=Bzeo|l!oj)*XXzTlPGmip+u&krttd4bHCum3V zE)9+xae?rOL#8#e>7WB8@&i$Uekc-bB-2XIM}64?y2R^5EPtM)by)@y-ix_&t$mQy z0zZWF3ki$8SpE}a;Wq$kYHyM!E!d`1@JJwXb73TeyS!M8a{BHojMAUR)zAPKJ{DCA z>O3Q$?LE|)EwcqywrC@&5)Cv|=(x0N@4zP|E`O@H{gUpPc1j)UXaN{eEZ@;yvFRSI z#&4o(S_7dl*wlRCiL!F05+r6;6pCdK(Se+&WakS|HKj7Vae(tixyIk5g_hT?B6`D6 zB11}j{6H>3NxC^ghCQTgrWHgQea&1>Yu|h_FFk|x5fZQ>auymqRT>dHh(aSchy}eU z(?8#*L99!cgSx4q7$&AZ(1}MV+N%#9)3)F++iv zOQH5-!p-A>t^zO){kv(x2SV6z^g5u>V^uL2^+Jdwx1f@W5XI(y=6sI=a$%W;4rYtR ziV|Q(|DIMSC$rTSUFOUZq}36{iVG`jzF3rowABF-y_Hkpur3G|Sq^5gTqWhRX>(iR z^g_g3F{>uUJ|>I}wn(E5)ezncp1ZDj!ZoMBgqvy^z`ZxJWIQh#3vW&rtH+9fen`^- zm&KzF)K$v$e%V}1U^=QtK+MllW*Mh?>Y+=Ti1#dfpuFYHoSfgoY=B){z&Q`W+)T5yQx_wXB6Vna>LQa(5v_>Ur7@eJB zqbcH0!e`rAKg-Z;Z^YZfre-y*b;>B4Fi*B_T)==Qdw@d-bLx22x8)FIc=gH=wDjVny|MnR?4lLL zgf7#})Gt`&+cMSK#0Xu7Q5McmkY;trqmkt`Gha&F#vXW)-ttTu%k(kx;YgMZ`frSCy+mp03NKBM1Xh}rc@%p#Yn85XU(La zr(>UauH-BTJOfH0ny7wkG>VCb%r+r(v~-!6hsnXf7F}rV3~NzOarhq%)4O7Dw`!{0yRV)l9rqQC9cmWqpDW|fu2p4QE#g$h#$sWDpTD}~6xJrL*h(zs6>4KfPV zeteCo7Zu`x{iqiMD_6O#4!UisYX%Fyvk_RoM9+aOOv!?+9@hB~`cgj%$z3}=^=R7& z!0-FtkuDJEcI?qL{^Ikw^ZvMW{c>z$-F)Ml*8D~d0>}s?g~0_(wAUDG=PU$pZ4_u^ zw|uSl=l2==zjC}D{k>ed+54S7i>7#yAfI??+oQ+i#LV5r>2TixsI6xkw|Tqv;PmnK z^Y9Ds(Mf&0y7c)jtmeXok-oHXo1{K(-Kej$Y`~P-)%?<+= zMjkl#_6mTJnV%Z&3=4mx&Y?I5B>mShtu;QGFZ|v=aU5y#!bv#I^gcJozqY=Yvtdj zhcyA+SdxS6>BtCd5lf@Wp*ET~`;bmpHKG6dj!E(Wl)6H9rJApz?9K>>OC>06&NC^@Wz#x&9XL#V_*}B@Bt(yy|xKO zhBaC6isQ5`5f4=_7NO=#1QNKm@Qb}$^WZM@t+vmoKClhwrvFl-9u=>>btdrrcP!hSCns~Ngg;Y^;5cS6R9>O|ZR z@o~M#hsc))?LoFL8z)w7FLi2A`QPJP`HkiI>JK(QXnuK;{0jaUh8`FD{4< z7t!@ro}0;LMWO#5AIGDY6B(^u@tM3chr~b-_R(d5CflGf@o8nnmINTGQQ=r#_g_!) zOV=Z#+z<cC%~yeKUiH6< z$Z5p^PvpKp2sHY_x_Pqt$1+vQyf!d(WDHw;n3l53T?ucd@u&DOS4KO{3^8TF@LNz7 z$`WjRrI&pVxm94{Y|Tz0)5-wMjc6=yak~C={dyOG&7ue~tH2csbUm-Kg$Y3`p?=pOGGoN3LXCMDQ9rk!R zpMH9B?aN}cqF^mUHhuJ2!iyy$YPM<#k!{D8T*T>0;u!Y!yp%r6B61=D9?Qu9kz~Y;JYW;s^J1 zb7u)`ibIbbnVKhI+amT$0rB0}@>!@62KYDSYyzT2k1hbxr%s$Q0L``+^J;_v=-M`$_73i>5x0)!m;*wxWL@gJQZKDsMaTRm z!8v!o*)a@f3>MHh255Uu3Wu>vB6!SKu z(3Pkv5_*mO8+o^i)~s>xnt^xSSY&GP>Vf=IRK1|S9xoAkmLIb`9f!vL^42xMhe8yU zV3Q(~rG0R&hzPdNC$aq7aa}zv*BgF9S+J*pG_9v1ijlxY2y0#hi#eq;#an-i+>gg{ z=@8T6?wn1CrrFWPCd>(9>~vI!!0Fosx_G)JyzH78H|B~p26yveN9zk;v!UN(+KuP& z9`E{~f`w^(W-X7^_gvZw386fc(i=j&oe+HP-y)gk|GrKb4}L{jkhiGwvBX1rduIO%v*HPftEQ$Yl~( zq)h(kokC5mSC^z8^r)wh%WTsQ#x-8|9ekjIID&s@t`kbrA!?SPS$I;U0ODUJ4mYDQ z*i`qV-Vlwhs4Q2gH$%{`U@`|AoNxdbXGG z&;R-+o$t5e`Rmhjf+>#jdmpCQg%bu!k~jRkB*tg86)J33xt4gI!g<3WI%;vt9+@1# zN?ZZ}2Avs-i8NV>KTBqT&ZsNsK}L?yon;m%YOExM<(?*C;n}(7D9==j8Ev4$U-T=? z^*;ny7ccsNJa>lV_IAua|G4;N{c$o1OdbOnaR0((2~xt9PJp?)Mosy-nG$zlY#ab?VW_baVd4(&HXIoiI)K5s{Oa(tE*6J1;;?9kBKrV&`Xs!*2T7^!2OY|&;s-I38?uk2Ef z$ZZA|GMPb5HDDhJqC%o4m;=RmqJwHv%%Tluuvlm@X9G!5S@0T0QO>7J6UjLtnx?rD zj#rk6d3P)VeOGn>sSZfXh>ivz1(^rl#5_4(?jx=n?J-WHQb9)|+oJYdTwayKLPy!A zu+#x6?wEmXzgPtdkA4U@5h^TT7s{UW@-DlBGjZskR;DV5exwS>gbj{tAxwa|a7EJi zAy(?45jd)xyd`EX@V!60GO3FA&QLOvK8O!0X&4BcQ4H<$KoI^xQQ8EU4D*R1Y&vxs z<5h@jB-biRWKwEwGO^5}jYVZ3#xCp-P7=%*t}!{i>~sUap${a_lT zWrcZIElVZAI@!ppSJn$4PMo&?8CxC}&ZM*1SR@W3Cm9Nrwur%sslP2*LMlZ=O@YO) zYtkWR9Ly&l1!`(cXGlq6B}iF=g-3*-D>`Ve=}f0s2RHTu95`LL&n1nlPEutnxU2aB zy$;779&~q{UzLJba+qoc*o}7pnTCxeY8u?OPGO?R5txG(cl1Ix1)W}_2DD!`m0oGFC>=wUb$n>O zbv|7kgQ4US-kLQ#QPkY+p$&hG>SC~Z>I@nJy--s!IHL*y0{q1oBu;DmerNQ^ZyvPG z-(J1;aZ{Blk##~(eGi?fL9sAf>Y zKn}&*H9gt@UguV?3OI~bs8O=C->INs$Z3MwGuK~h3ym8ftnKV!8?!2`=)EAY$o0Sb z;l{(I6jH)0xr(8raFLKPqNRwy6jQZL-)WL!&u=!nfXZ6$f(oi#1|8>4uprZI)|r9A zyEosl#E%d=n2dVOFI19&-{R24*3&#&n#IA85gkPW$X1ciu_Wz&$&mj}pel37)Tp6z zE*`1=Q?-C1n+>Oq7p6GQwp~M&IZ+(bgQEl7lIi=69ALgm*IpYE(4El;;9MB zHfV$@LdvQv5?o;;cVQtn$%iXKlPMg5vCdE&sm2=TFs(q83%3bJv3H+A8aIbNY+$_YA5*$kg`KsL&;Bqy~-%bRBJx!VbLn|z>0KY zcBuD93}xD+8|x3r!hnE0*Fi8ANxaVwL)Xqi_o6kcwfkTWl$InJJ{Vxjfuu|3rBoSc zE(b|q>?*vJ6sblLwzVI%hU5xFFq-`sI>9}zyl3Gpw0%ji9exvQsQ@b-Zet0K2wzwU zAgGKc6kKZ#4#u|^rf&i97ZL@-Riq+6kic1F5D`;$h0)_LQTPoaFn=p0WV3K2)!8&g z29ls{M)q9IXY*&}wl*)Q!W9(MYx^B-P&-^Eq#<7O8bKg!5@z5CQ5xjan#1SL<3C{t zXXr1N>M~ybKb1U)st5$-zf!A&-+BSB?~ZMglcO!SZ5F4U4JC&ba~6{{{I!%zc0M+9 zivn~?vkK|4Y{`0Tj}Y6VU97<_ju6h6*6o&d_d? z?A+sMBn*?h42TU5^%fG!4CA?u7S}9CyL`P!$r7Y(?B3(hAq*S62#7V$Ya7)|s~WlR zP8LwZ9z+mWErc%BLfqFiAU4Rr*A_YdK}zx+B=j!F8RjGbQd%pZ*;iInMOqGKa6}P? z6ibpEB{B;ME|{-Wt?xBAuL-cg(4l&Y9@ocaUwh4VbL0_Q@0sk_2r;sVC}K&-5`3u` zZEB?tb1$^3Co- zHz_xxsNz;;DfT1E4Pay3n#D#h;?UqFrcEtwTNC0~(I~~K{zXw`aseQYH@owfGo;ir zp4aeiy$<9G@g?BU>voqs4WgJK` zuwy(45z_8@GzXS#l$urrlap0u%IcL@2H`Xvf|L{Lg$&MII!aWTLH;a5@qMg0ZML z@c6qpv=9un7##5P?JP(#;PUJgIqsxCS0=HCfVSCwT^bg79$?X;T7Q$dm=)$yt{~4e zH}Y*@c>`~VJRCi{t+~E5F1Vh)sQ9rfv)`gHmhMwMP8m`jWvFJRI3Ghqv(^S?D*pWN zr2vmO_QH40sG#77K|XN-!%w4kFN3|fr~gh|bQ^xB8-MF+cK8y+{@$ZzoW%vqJJvi` zHvw11PXNA-1>%0=hJY`R4*PWLu@D#7SWv(JL@nNk_W!?8i=|T{eiaWc-1Pa?^K8vh z3^%40{I~mqyANB9!HyNx4RFq4!2i=d$0B~UTH0Kgs_tQAY(Wickh;2o^ zAQf{Lxw<8Vi1@3?KRcq6To*yNVK0BvW6!VkPWl(LfO*X$+MQ*&Oj0!_J@n)z5i%{( zkxSbfupC%T#|Dip;NQxVlTi*^rreP{J$rhW)3ABstQwM4OAulF0cCLBQ=ElNHVLstU=euwGyOkCW@=GDE5rRJdMoUF>48H48$E zzb%G_$@1(UMkILJR~Ju(m0K5+TOr1~zj-^~64051qAf#m<#~g#MFAa`53%9d+nbK~ z_d%DJJ!wg8zsPb>2k(vgQlDH5C4+aT;i%62ZnovK8fz?+sIR*w7Bdf^v~`>Km+2Nt z@=JM=SFpE7SyjAOD47K_q~orT=5Z#9`+CbVis_L7Zcce3*V}#632jyTC1LdqX9-wC zKgggP+u9HI%Xs6!kN`&Ai1pyG51*&^*BRHgU3~@sSHOy30rhMK;K>+?#BBYMpimO- z!R#V-VTNQydj^u!e;G6yzYy=;G#(TV;zf`h!nC@;KTf&DS?wlqulCLEB*2C?(tQP+ z?`u-3pn%ACgw=cc`jv}2QQ7#n0+~7AYfTTo9RWH!!XMz<4#*LH+w09!z=X4|d(7-y z-I5$3965=CoPz+{I>PnE!l@@@^Xx!Uvv8wv;Zw=_yzTD8w*6c6%TkM+X*h#NLjvO5?Pd`UGoW8-yD`OG6bH1)&(=>jOTr(k+RJ{4nwzfw5eUP!5M7?LMGW$0o_deLOlj zYOV84Y}OlR*-$3A#zjg^b4+PcyGBVdY$H`vp8jl$ZIjMW(zpa_WUBSeeu1xKbl3{z zszeGZgf~G;Rl?FO7e;}gOd-IBiluS)0Pm4`v_#hTKXsK6_~*(L!{`uwuqL!|@6? zfHJ8EVcC-eITf*_4cur1*+=3er`axgY6P>46cK+)^b6Y7Nn=*&C)Zp-{<*t%Ol%CC zU^@46s^w1VH%Ld$&yI|omG!(0E2L3!BpO={_`Eh@d#@=>KC*p`fNB(tEkAQAA45eHxHloew4e#n-{rvl6(Rj@oqPqT6 zqq4}c4$-pOSaENEQ)qKeWbc+u!ACqxh!=&1lYFY0jCg-*aoWYvzZ{)Q=Dr#>&p0~w zU>3rzgg%H7SjRBfJ|e5%5-z ziWNy`CPNS<+B{Awnw__qSRBI;)1D;s_IDLVA#{B0ryIR zQi3233vS?+^c*zOP${)SLy)RC2I09pBwSvJsiSlkD&S~Y1fRY6MMu-Y6LgBp5Ij+8 zBpxPHN{`xLevFD$8~l*?xSd7vX+VaG7i7T}Wmo|S?2@6Yb$~5P?w)pqfXz3>C8|14cU*K0 zVUXXeFM^@*tH%|EVgzWKNJ$sLnTZ#JA}b)`A(-6{sm^~Zkz+-HZ6Oe+s)N2lv5}HH zz7&ybZ-PKTJ301ues-a!9Tmb|%<5Kwy+CNJ>kOC2Pa-EGpd7r?WNhs`*kNkS9aDuQC|!EdY54mC5FMn-Fd6ww+AmC%2_2q?+$NQB5t@_wu;6{qeD_Q%M0Cs&1 zrg`G@tVr?uev6MSgwG@vU8^Q2uF%z#(o?Z_u`pAS$X051*h>;OUm5Xl6EM*aLt&F8 zt)S!7=}Uk^bg9U0?hLk~kwUjM1S05>7T(aLk&#dtod9KNb9xLg_AyA*k+DuNqHEhh zm<24zu3N((Fgpc0j722S01Xyun`i&volpghJIDG%wrnS~Qn5)CZi+ZMF+p-)=X@oLL;*yhfQ=^wIHp^Z`c2qiFS(|L@q2`&YY{#)E z$^{q48_8hrj&4~Zp223D*y$z-hLtVF4MftOQ^HQBNE-iRssSl9@~Ho!(251|N*B_; z;nvIp^|bIX6h%eA1yXC8tX@D44W(>62UXY=RF70Z4Sg*kiCLq9-o!LAVlhT$ogL+B zhvCA;_g8%)oU0+U1w4yum5EMjL|tU2WtHUy`RNh+a(Kc=3+dO(9AE=+e)oDryw%6L z>J)9#|6{L<`O_?NOE5W1Bxnuj?9~7cF1d9@}ICLZEkvLUq{go1^ z>|v2-V}xl$qlVm9Sk6*Pz+Fj2D+d%jEca1DQeg~mni1rODmgZR>J_`4R>1bgb2Zmm z9S$c9Y1}(&7C=YB+N;8h9)b4<^^mQ#Z1A@3=NF6UNHm`)N0g|z@}ihTn5cHRDLhO) z149YUCl}rnOn0b@N3!ZS9*6d@{6DgZS@~2Z?ZUx= zr$#oQMk~w2Uaq1`hg=6S&PN>*EC$MrC@{5>WbfqFS-+&%l@3om<#6rP!Zc0;Sd577 z!}OAU&wrG$g}-x5nIAqg9~lQ!n-AUdq{Wp1geA?(KbRy2xsAk2C0tyLhB|rH_JQe! z2-94N%ytGTM!gsf-W;qR9;MHbFTUZD8s>hJ6m#G*9jri%Ey6*Oy5xjN6*i#4zAAl8 z-APf>fc_6dh5@ZmOQjM>1Z_RW4{r!HnzlnO^wdq~ew$VmdnuN)odnfoPRYnLb`URs z2b9kvA~rx2XLWy@P-X=LYzffRa{sE6^_UH%FZ+Px1Xac6KTHxHh!>XbbOEbtD+80 zR8$A^bvmKrFYwm;FZ2oWlS=s&2@vaq6T>~R-?Sd@bPl9$r$E9aA5ZxnLTwi@?gdS( zJR@CbcI-8_v#;tKdjSGw-j&s~eHS!kTVsw;JDT1vS;r|b1iAqB3xbYOgXMu!Z_=c$ zC0Woa>(5y@l7(_j-|4o(`D+OF#pZ*RU$vftP}&O9eh8nHl00b&!nYyczktNJ&4u9| zx3c;Jx43H3x)lyT9L-jM@DRir@-GFGC|i9b+*0d|b@&Wmt*A`4WuyYBuT^Vwcx4S&j#p%VrHpJ^LlgBSNq?4f z)5RUZ{Osq&l$1ejQCiA&0Fr3dE%lHRgjopqa+`!)zMS6A2DxR#2SSqJh?I&WP}&e_ zze&7`n%!ltt_XzU^myA%lcyGWRv;%)*Saq#PYm~)3{zWx<@X9qmbFXa{rnU!_F zMq%RCHF)vD(puzJ{lMxy(My}^tDyUMo$jw?>yvhwg(OIo3x4p4%ap`K)XX$a<7=E| zgZp#(i^jIWH;BY)t2LpM1=1diqqw8}Qk8C7FHPI7rTV?tr&!HT^2FWv15Xoxs|u9U zXh9o*s6jK6!K#XRB7h1$ZgFA0VXW}?H3!T3RpA(kA{<^>38_(>XaUkPPPMl;ezMuHoIAM_U${9i2?~xW} z^-JjE_QWfid;=XWxvx=nS~>Yz5LraH<)zoyD7?>-i<77m zHgd5FW3I(Yj%|qlub%ebc$(t{7|>38iB4q3v%_FV2OXEqSQrD0)vtDolgFEk1*O_9 z{vSN;XX*5W`H_xqtj@zEE7f>`Y{u=lRLc`i6HcnRLcCZCQ%Pc+%{8l863uufK@64Q z7#aS?ruoRBYCJ11nPPXW4A&1zlM$>bMF(PQy%DNo_y+$eGmN28qED4G4DUSb;%HqM zcz~ytlzc+qz2ZFrPXk>xX+syG?6RbX-&{C3waUq`40HucK!3UGVdJi_nC7(Ozt|(>WOQt+BUT@hV=p zQO3iVR9>#3k@I3~r_}6em2EO2*{m3H1wby7&#i0~FEVh8j8tC!|7`IyH5;F^L8Yof z>j1tE`!14x?MN{0H79WB&jKBid2%78GevNY)6`7y%>MP3g75)GV}k}(V|;a;4IRv$ z>S{ABlmOy5lZKx62};WtVT7OC3}cSLz>@P7gxk5l_zYeL{vBZgQg^uDJR;z5aXdVd zbB+^;qA_+$^`1ew@N%J%ts*3Z`i+--)T7>bhIA6p!lK$i$&3A{`vn1>ZUf#1nq#|7 zAyJFV2m8{VPmKVC(zc-N)8GC-+U_bij%G_7yqKAp*+pPk#RCB-zvH?>zrRw?7pXj>BQ_n(a%FUf^$wlhI`DS zIZo)S^s-iUVAN_6#f4?cKrZaA3doLxCGv=a;=2RDG_J=fNvqgus#)BV-C4pl)+a0O z2EWi=(WORbTwEVqtr2W8=F-n|bU3l6ip zC%*d1nbVP!3UTwrCv91~g?vnFn=>1PHE#@m;Wh-%$tX-WRzhtv| z0}NBdPg43;)ma$te|uMLY^m~@xFL(1KTSF7oZ(1CyY=~!DO4j@J1k1rGdNWE7u4m& zH5U~gD73`|`0Mi6s-ucwqV)c+Oa%qLaJEvm?_cS}r=3&F=4^DB)Uf#?sw)4SKhYfI-WyEMD= zc`(GZEWyR&`i0f~?bG?w+kNfM$3Ka65i=R~^cVk?SjVTnKlNkcPlXcXYvW8)i}ibgQ{828+sH5zF^-H`^E7Rrp~Gox%+`=^D(fe`~Bs zWwpGkE0D+oWoQ_&5+P0cs8}G-{h?Pe@7*_4#6YES)kP1t4{|7jwb=t8`D>LKKzTcV zm)FhJW0nskw92Wg*6`#9S$$9Nbf~dgwar|fy2RGW0gS++a)2ZzrU2&T8%(S(} z0@L{Y#iP!9b1r|Y%MM@}>Mr9>==de(BV)JtkKL2iXV|Bt?~0~7)cGZez`xt zm(z3gZYUuh8OkYWd*1etRY$|LFoTAERj~)C6GMhcv^TXaxP!zoMF>W|DSZ5i>=uIB zRDs(3r{2{CSnv8m$D8)67~XyiCZ>4SGhTDQ5}eyxK~P?vioGy$nc6<(GZWkG(OAya z{m!uC?cUVeyKQR{3qB!j?nk5B`!qp86m;c!*K?oEwoZGXkzu}ZI$^-du~WWYFrc$1 zm0?YoYTC;sGP+w~^g!g21l(&s&RX_Sy|76OU95lXeYBB3YiK?;5*I&K zNI@0Xg9wLcWZ#Tjii6*viizsAp|0gc9h99fag4$C1E`Hbv$ZwN0%5Uh7pj)lmkw}k zJI2a@_Qh3T$VtHvae?6qI0}%W1Dol4bp=DinuLLCzOHuNa6-=;MvcdEy@ATxk*Vnh z(LKo+@6MZLY|xDQbGUU#iy=QR z^8VmnB=d;9eNoM}C5ZFOd>+fc?EM_5jv4X3UP}CYj2kl!?*2Z?y$iU)X4W6tc0}BR z7Qe8An#E)GlV7-uS#5-hB1UiOFy^WP-768hxWtjS?tLOv>flY82M&<{4mtTd1Qj@h zSELte?(&x# zT1W8z4t<0E+xQ0^`;R#m{ns4x{%ejM$hEQk#~j1^Kbm7yC;vBdjOed9mipi3n0#3& zu~1nlo>01UT51#o49WkqKgQXhV=9m}bZeOD!BrS%iUXQXF$5E~n@lCu={Vl=A_l|( z7pzpAU{I@8mi?Yrh$wX(dNlJUx4xn^Dt+e z!||VqA6Hh*(2+~D9fx48vpJQcGSDB29{2|QV}BVOUVuo$mN}jT{u;!*Li0c4jh0Qw z0u7#Z&?#asADB0JDz@iRi;Sc^nfa|5h`}w{M*bsdm`qOwK;!QC+eL5|XY3TFF|E{h z$<0umWYJGiGT))I226=mjl0bG)|p+NRWql5fBI9NR1NlrtyJw)B#--FDQg#zpdHgN z5f(mPTH`^ByKH>=H;~B+@GEtwkEKj#&Uj_JTbQu^sqDzux^nC12#;b$*8VRhi&J0* zEI8c~VD~Q=D>|_e#IQJFJ&0M?h{Ony7FSH{Wkrm9)gNCzx24!&3VJ6LrVIC$gV+q8 z?A|PN`49p#9!3uz6@SDKE&S1bbPHt?Y#dGHt%q`Vihy1DXJu{{#fhe<0K~ZeCcZAc zB&Adosa&$+LKzs#8(~QymC$a|0s@Q(u2_zMX?STma!OdngF$#H?%&1*u#t`gY#a!M z)#6J2FPx0UBv1+dg##x2hCm8&j+&xGVK24137Q^&tLzAe;+nb54m_yS!uUbYAq0l7 zQkX6ehc~DQCgcnCOU%T2UCbG6*Heh*htdaorNNRjX0YX4&Y;xT5+OC^I+qCDC=$@; zGHp;bX)r4r<(yKEN6aW9(_xbl=QItLMyFwMk}4EC4$fnhmKqu7E*owxnq`&GkWJSw zE|vqplA9$d&o>9Nut}F$8uypt7A-V3d2F!%H_8QZcW&AUt8bC7AY@aZbj^H^a@XDgsFsj=sIz{K4INDJ`mVadAg+&mY)AYi$kFmQ*ov`pn*q8 zy00z;)VULOn6du@YytiyYz^N1FJbF1uw|Tqi0~p)bhi7}@I4ZoeQi`u{JWUlJLbHr z;|FN<&{rJW{bD0QAh|UJM&_=UWu4T0qb9#iFqC5vK85D5<#k@b33o&a&IyPA;Ml^V ziA?0^RM#O}$HCV|`+B{$(gYpLR|Htun4iJR-q`i^kUHEx=lMFpwan;Ye@+>oUGV2w z{qd45I)i7IX!re~I2(lT%4U}xQ>_^>cW^QzM8uU7hi1#sI$Tkj7fR8HY7z))2?9Ya z2Du=#Y$Dsd!KDNs!*z)RK9mz90}LVC=Cy+VK+98n7lo2mW(HC0N6%#dV7MnKl3|n& zj0;IQy#aBq;f4<0CPa*K=&8TLmhA|F>utH8)+o07?TtPz8mjfM6?Km5&OY15n7I=5 zFA*i)=SpzuoZs>SkvyJQ5o=@Sgxt>v?zdVCV-1Q6@&aeQW9F@izw+g?L-O5jwV>q% z27dZrx!%%aG$sDxakx#rgaWSVQV#d)Ou-NJ`>euXKZo@hN?qSzh;`I4!QzlJ+;Qg0 z2ccq7%}lU0q!k`Wi|$pa<)jscI8I+hItW*X8f)R5^T>cwmt)=kPg2*`EZ!gd(-?^U zf21y{pYXvN_&xDy$jTc)n5zsu@Y-sR3++xKLTA#R!c`qb-}X(y$WO{K@;SOt9;>-??nB4KmB&lJ_z!tCP-J}CWVx2Pk9vRo`R2pYXE75L$7-%z6KDQZF;%6+(tGt*wNR;JkXxX@~1N$@;J@|u+p{mcVZ zv}nQVCYLND@4Tc4b7rj=$ka*_r&m(Q;taQ{%P?I2<_$7)Oww$)!3ekB>ql z51TtALy;JaMwI8c+=7zexPe*};Q;PrLMW|mK=p^UuJ@G0w4oQ~Ph8{|k)h=R$fD1`E0B@|6JCLTTCYqVd-oRPwJ2b5${6U<|tMS?iBc+-1 zu7xszy_#)fViu-*F(Km@MmzU{CUoeuj5R9LW;09AhDV%wp&uDgH7dLvahz{C|TN}&oFPYxS5_jcIr>MA4w+ul}0Lnf~8AJRc zUh`zqLlX3t-=0cKJ^N2a)f5r2PEZb203Ly^_0hWLLoaPgKB^jOiU1dll5mCXk|~+`_hkb8${M_oZ?0UBQwmTD+f2Zq?+h^5g9{Ae zLN3t;m0al@Qm*=$0FaoL%%l1X+I_+H3Of+xJYGHwfwUI<;kD6wH;~pkqhkIGb`diH z!LB19*ww8A1iRkRa7qxeyK_=fO?#{fv_pR!rSgv7>?{Yl_ z9$o_RNGqC_(yrPj^SU20slQ7_Cz_Vj+4>PJyzS z&GQd`(<2Vdin<_^_2{EyTjOEWS@T))@)mwIggqx09>mz6{NPfa~J zf|84t^~K|Zo7J1=6GNqxuJ5*myCyXBB@KIAHS$bbs+tU0|6WXPFG-pbo>eGRgerBM zs6N%!#{TaGWu&8xO!9}buEzNLNTx!C`}fO_y6%_Mno|IN4A-CKw(6WTE)zt6Z`1q9 zF5{w2y&%g7JX-LBf`IhrM)JrHaDK91>d`oerpF;PyY*9+jlS5=>$iIcn@-M)0I5Qw zhL4Hvp2^MMKQgE4TN8~&rQciI@8!jhdj{)iLyr)-bAtE)jZ+V|6OU$|9XCFuIN!MN z^gq); zr7iZ+l7?;;v|k{wZfgVL80q1=rJ;SvC#%#pv$)TIs(vgXJiA2x2Y1*@7n}(cVvmi+ z#Kpl&;%mify!V{XyZMtB0E8u=>2Z5{;s%Ev{iI09-(k%=z-02W$AV)1yhj39SoIB# zM|rC+g8WnLTW8RaR1@$#)ywbcW_vlsx>0VwYly6$#Afe_XZ&?edwR#6CRFy$;!MpK z-$p!b1(x6#I%L!PNRxZIw9hER$y>LSsrr%1X7tB?ntLpQ(kLU00jv=c*bwx( zwJwOh-Tf0X=%Wh+1mN4bIs-ZF&4hCA-l`N5MXWZuWmYX{a@mw5)g@Y{xZ=j@%rf6S zmXWC_FjNj1x&YWqX@19D^sdU5c&Y4V1dU_i|5myTib0t1v4oiOIfW$_LLEF>g&5cW zK%Vk0*Z6s)xcjttj{U=PU3UCa3imK!Q}bUum&X6*xuU-6;Y_@_SYX5-@oF{S6&)OHw!Ta$2c?Qe}7=O=#b;&pvj zkd6H>UPqxod*k*_itfaMyS>M!`jV>`z<^4Ph(if6i$yz|h>FVIkdbhHyNBjI) zfVtpOk~@>g>z^ce(KB()x&0SqIUtRYoYbnB$cz(RGOC3u=FoxQS!^H%Dm@tlRr8RR9Aocds zGg21uyA|Yb<{L#MKczPo!_~KfP?}0Z1Vf6{(_ssMhp&*b|+!xWD^TV zL&RlgFZB?c^PbR6RMXYv?#RmUQ!vdoRO4BWSK3qo&VJ1K_U_c@U!v<*?#v&M%PySb znA2wmn>BAhG$AzpS~IW~Zo?74|LgE_yE~T)%L)T_3g!6lZlF%;W3or;xPGk9h7LxR zsME0a-NERSi}B;E>ifBH=IxBxMP9nyhs&f34&Z;`Ot=Kr=mC@}1iRkW7_wR0f=03dmO+dK%m>61b;%4>lIUFSHg z?Z1G#BmMIB_B{ zT299_-U$M8779*B@lZ#qV&*XBgd}UgN9Jh=-U}{Tgpz#$WMP`>Dp6{S0Tp4FT^;<} zms0W?CnwhIw$JmI*e#x+4-s>ywIFqs>?XNRz(j~5ebKf>wSs#Wz5SHe?3j`7NX4{S z`FiF0*R+Jer0U>B`Eo0`KOz`itioWk@dr$Pn)Dk0!8d~sLS@M6$iqE%{zjaqt*RoX zMimI#M&vCuwGQo%yviHWK83jQ>~jgVs|@4XayY`E#ih6yLksJ0I}?X9ZxdeJh!DX* zKzt0)@nZdvn2603bMd)ws_ZtpdzyiHns9!^nJKU_!s2=%;(TuFq*1-$dGaei zVLkYlG!Ag2gYd^sbHbgvGi&`BVQ~~d{JpWd_SGD>P9sb5st0|}jXSNvL*%OHMZjDz{+k0zT+AvOh4D^}Y4WV(Ir7{nLR?8c zJxWnTCJDv-s(}y#t4NfzQ3wS%cX+Z0<>D7k;=DY1=n+%)dW4Af3V~>RR}LRMu2U+v z(P+4E?uYNbyTCnOuWgRM8w>Ahwa@EB6f(TD9(m3}SKE~Ovyj^d2ZfPob!76q0CW}^ zY{&ix?km|rsq(Of1J}ax3)0-EIDI3H`L39_aC=}y`}K$z)Ut;RIZYBb4VRM9ncr7W zpyM^x$0-POynH+YzO?6PvMTfccE4@LPpG%WUIEEY6&KVf(s^1I4P8OsWKq zk5K$-Fn%~E4NO;vtZsdo&*oUSGy$beis(Z?8CR~BML{C8GJq0B3VTN#;Dn;uJ0t8U zrvB|j5#8dqCF&uTY&%xKjj&NM?SMkf6&hsYh}PikSDXZ9s^DC(uw64uw2^*Hha^&P z<;!c8HtcVfq0pqxCa~>fs;mWBnepN0qbLhq3g?)bFa+Kbzvh+w%y7rbN)cGF_n z_%y#jDs(ox^sF9OerC(rek+gx4m7qPi@BuU7Y=6g6q4kH5>Qq@fNp35vGx#iQLeIM z3^WEC;!i8dZ(&GS@l>l($p{`ehJxYND6Gs4?99$s2(_UQb%!E#Z_mozL&Aj6M|V33 z!UeE*2CPj#3U64-te!135H=Ggs$1JkBe=OxB!au(Gz0p*{#Q(Dzx z!Kpmj?ud1sEcc?j0P=nDG^yw6gm+q5zEEZmQ9Q8O)E^3IhU_?!JtcEDCh$z75UwB8 zHO2^U!D_)#re@GFwps2e-rjOzYdanHvo}pX3XW-qcI#TjR=O%yknLzNRFR8T7IbEX zF0pX&37yf>w^bdZi@9`2$78+EVDo1w^_xPQsNPZ)r54uv1H>B7!y9PI7wg56aE-(Q zITQqvoyO2fw!+l;Ld4o36$H8*y3oH-ER-5Gp-bRso$n_OCgPEEw=L0z;I=LNz08f7 z7wa5DtOvBVh+$*t+s8K%`!JSDesVKo|cH~7P1{{ihY-(`0AhvBuBr%1z- zmJktU1|&*ciQtu;cUSBkP5K+T+M)FdhqryGkXYjNw|hN1GR8TFM!-1aPe>e+kMxNYFZgbbsSHdgpVC5DaN`~nN>mFFgg{WCGSa%c9HZIcmKcEx`* z0@6bu=_ok57q1Q~_)SL1Y}T`DNdw01L-*;h>89{emqU^WNEYFV-7R`03t?7nF<9YK zNS!CbPaw%^l?no~BQ=^_M7R%f!FMAzck52YyMFR^dm*sHtsY~aq+RdiLLaDm2#Gz? zB112k$+DAD+(aMLv>07_ZN&`^o+A96RcnZiZ|4t~!h2S-u`Q*6OW z?63sjgs66h<$@9AWoD6u)FxvExro(BI)}34OZ?%^7u#YFvj|Ap28Ei$8OKH?@G+Av z?DLsQOVC-G1Pd|Ak+}Ak9b~hkyE@?Y56F{(1?OJ~HaP;n*)hDUo;+VBdfksYaf`TRaSV$HGX@^IfUr3(galHr zGj}q&(;j;U&sRcS6B^gD(4+hv01=E*D`BTIkhNea2dhVMk7aZR4@>T0Ep3O$ia9ol zVlY%lZby=$R#Z*|l(`OrIvKkmP&pj^1Pb3IXg%RGj}C;Z2*nu^=b$X)B`oZB!;usP zPk$q%iOm)S$Qr|Xu@|-n;xQ#B7ONyLTkto4e34=SKpn^jO~_w{$cyO#;OB63%wUA; zxvIRrA3r|^e!zjCCJBKAuw}+gCNbK!;P9ONh@7puX8z;Ws!OB1=S0>7s`itIXt!LR z$R1iQJ|5b{wqmj!)BBdlLwjR;fFv*mTpK-rhQ6!m2}pU}6sVzrqa3I7kvvWzzX4+}f9w2EVu;hee^KGrua;psI$~lgeB=N=MUPi0{&gkhz&}b3v?anUu z3w#)IVWs7%7JsVOvbFa+rW9)L9?tNUceR*tOv2!ePovjbKvni=*nIYEsimED9E$Lq zxpMweUgi-%%By)--sON3N5=KwQshKRV__J-sX9(>{^#-dYLBGKQr`4#AL1i+KI_g@ zv74k46lGX#!Xn!`dEhGzZIeK21kNu^H?}LFIkt zt!-U^=4X57x}DutdODAB_)r+z2dBX8PLGJ>RY$#c;T8gKtF z0pS-C0_8Y`3y!~tSFxIwqrX~YNf78yKOP)a)o~Z*w9gVv9D5Q~99KRe6ZxO(q`e5= zL7vO{9RWtC)^&Xe2rF%ND9-<&T`b8a%)d>b-nApbmCa6sR^E#ol5yI>B+$NRP|^gq zAMt}*qknZ8XZU99Ddl-YprML_XzBh2E=?3-!k`0D%mwXE&; zmo2vwGfZtPE4frh#!pTwiBYP=CKIf#h7}wG&cVrXxtXLA?&OK>{3!#F6=$*`?L*v1gE^Oo#RrXF7H*Ac_QgjA;2Yn@laUe zi>@sak3@n$;+f8s)qv<2-Ik2V~mP##Xvcl&;~qj@@JH6d;np_Of$OCx?1gPz}N(Pll6eQ9@82MWjS^$ ztgto{8ys6nX_(iEmmvp2f@XZE@QA!9W!l&{{seuoVkt#2+vXSt7fYL|?ZiP5yy5f)tkBlb z8%%ySQHL@bDM(3xV&PHX3=tV&0KBCO!Y0P2-UiaXRFbx$%Ne2}(CV}y=oLEUVM=Ez zB`EoaK+qLD9g-#BK0Fc?50R^cQ;mBo?DqZSg5eQM6$KX{c;Ieh>XG8{eJ%*6PA{Y$ zt08*5Ip88c>wc?Ka>K#U8LuIVV5vZxL`z@=WdLZb8VK)A);x*ZdJ3~)0(i)C>uA5G zLCT49n!$HTZ7{Ufkts)oHaEI1YEtf??UpaSab;gZK44$Fa^NznEd%XUW)_=@UH?2E zPe^vOI<;HBux4r1XO;nvzgyH_Yo?|ytQy`qy?p&%oIL$}>iN8H_HK?>H{BY#KV0wk z?_QP#{Y58da0r2Z1Fv%7a9+M_DKcQ{PwK6w7Ryd?W@1l0Q~NjbF7JCsETWzKR$f6 z*qdLdHAre}1EfPbz5`Eh@SHZ=Hcjua`9W84n}1aU7yZ#bHC6x7WVhU=@F4KQ_Ef69 zw$w5?R4;DqHRT%Xi>*^Wp49Q)I^ET#LuHna=%F5rmnZf~usnSU%%K95s1Y&zv*pcn z^6wm~1?oe@lh^JwfmG-SieQ`p%slWW3yte?8n0F-dx4EM$d-3(wKauo0pYtFAQyI4 zmNlm4KLfb8KqdRlh`uM;Okl5Pw;i(Sqi4%`RX-n)zX_qIV!g@BiX@jJnW6WWZ= z)go=*i71V^!p}e%QziJz(_4jK$Gh${S*Wy9KW~08#nI=0XI7q_rgUac1Pt&0@Yj!^ zZ$et`8IRZ`y(>#FXHq%}R#;lyd~`}I(KjVq00f8u7+;2*eA1IZ!E#FdG&WT!tXl0<0QsQb&3wd zCtViF69k(S6%;6ns(C2Q4~cN>MQ+4?#&){f#_UPVh4ft27#t78yot{*asg3Yd{UXD zR7V?tr^MXkD!Nw}A;xMwPbimr3;hKC>-IL7A{PZKdOAqCxaU4@cqJXhttV@|q%Pb; z(~oR3c$=QrXKP4|sQetesvbi8C^+1FE%xdi!oBAe{qyhF zPq)>K-W}Ty{lqQ2Ix*ee&l?xz%sLu&%dclsc2}G>Y4DGi4~LtNMz5=HAHS`PwRJ84 z%bDMoJM6o0={6?bUK`A#WW6;Q8k(SWE#0aZfyYCvWs;_;?hoB*n0HdOa!h~E?m3y# zc|X|=fStCVJ<;_{%l0i zA23@>^yTr_-MMY@Sc_$Y+Sf^h-i=Iv=u*xVdg9r!908x#q~QCP5o~+)Pp#$q+aKMd zmzVE;uJ4WjK;7!J0=9`^1ah8d7bJV=4<^giQx|Pd^SV4qC^p(z&eqW%teGDt13oM{ zOs9erg-Z0i{6DjfpFC=dtIY294uEy45k`H~RU0YFP+Dykyk(!VQ{ShEIh6ANvktk) zOMdf1PWoy$H0aaKE1PYo>zGzW+XAitAU#Hk0nR0e(R$R*7X=MwajW#Y9f^H-6$I*2YxlJxW#WWAJH5Gp`tu&WC8((F z(akJ2k!yKHNu}-jc6Vqsk_BK)jkNaj{UV5S*(g`$+2O8)6@aBy1jTfI-r);_yyA%+ zX~pwLzehL^p;?&o#-$&G?kLAxVG0lhfMdO$HNt2z^A+;T&R*Eh;^W6fHxfLK$fsKp z`ZCXF3(oOL1fl1XZ;%~Zt-T~L70uM$C@A=ZdT?{=;)jN;xndRWD+6G8T1eDFb4W$r zcqbrUrd`+{O3wh#`^o}$?wv>q`G{Wn-5>1k$mG_>OA_u}7#bL|E`u)Yr6QI?GRoiV zqfH}!I%2t=_qpbH3~Kl3-~rW;B)%LMQ$uz>3e;kQtKz>V)kOX%$Zr}E1!=tW539ko zjV)a@ap;wbayuCl103;io{W6!U&1r+Y1JAr@^qrt^gZ=S+A7~5OzyoGs2>7;lheznRZJSpUk7sat z-67W%-K~=&+a%_o9wz|r+ZZz2-2#u9r6kBFL_qsPbe&s^;l;*Haml|UoR8)E#M9{d za(xT__-EBg3-EJtEa2v1$D#|sL5#6+0YtzA-#cEP1%U_{Lf4fS5CLP#@qTgr)peUA z>eg6YdUZ=8{_dNcZ?xsduLKJqPAi3JgO^g_X)Ek0WuiN-kqwLJwIs`1HDEanl8!f0 zv90ri5>sc!p^pHCB4^dAGw3C!YaTGRw{y%>E8P_VoCV*Yp8|_5ugS40Ww|z>D(KKE zvsY_Z&ho-VG_|B~0uLY@VX)#M$TTHz!^3t@#3}1wQtq2wMgDj{{EH#06b25 z+g;xQcD~_%?T9ngPbey&c)BlSp9!!>2(|xHx_BRxrgrk>fb~fibko6znq_1fni@$p zl$vGFF|z{K2ZqE1nF&jvCBZKQmsXn=VK8oT%?fT1q2H{4^j#UIP(pLAH^1l#;!FmOkxe5oOOk|? zCFH{Xu$TLNfTEu8>~hsSj7RvpGMnHI0LEO+v~>(dnS_Y+!dD^a5TyuFBB-x@N<`yI z_6MX(y_`#C6YdIGdCgrP1I^{Qx1ewmg~Rk@3`qwvTcJbmsj*6-$o9w_HcyXLD+X*| zCsfzmyA!91{r)bOeY1X-lvfcy&9u~nu6?kUQUoWKhh0SAdIl5%Pi&2TwwL?{AaE{a zx&j)a^&^s(SJ>jZP~hCZ-)13T7<(O=9lq?{fC$}U@~h5uE!8Mucc$1p=!Q&f_A-CI zT9EA&$*9$R+zY$Y7E={+6r(a1ES;3vG{_N#iMMBnUy4C`%;p~=i>jUW00+6cc=|@)P9H)a zsAy%ReS>+^t8W4AlXy)K6BURFa1plwt0bu^sl;JZimogPUfQdG(n}x2dS|nm!{?qI z1C4uxwcO95FinahiX3K%fO{8@2gedC)n!Wc(^9Y!SN{=u`MfeKXGVlzjqb?mzr z%%*r)(S!8W78HT=;+DizmTC_#7KUouHHKmIe?=|%DXfNeEjvFoJKec+I8ZsQdMD&e zv@WLUHPv@3A=TUqS)&oM!51u)HcA~4p~(~n%3kFx!sn9jmcVKW)sSzn_g`Yt_}L4^ zZMLt9P*NEV0$D0DAp>ekh^n8YiQem-rF5yH6j8v1NFba0zwdgs!AmE3Gky&Xq$}UI~IueHh z*_BjnzCoo`?ux7{4~1|dkzWq!q9+at?3}F71Np5$X1_uq( zZ}#|P=6eUQ>#B;Zc%3@>;`}mjci38FGDTzkCnJ1Y?~;QJnu6axMnrlk%BfJF?FJ4| zvHCq4S=Ng9sbU!VjmduA!(f=y?-N=J1!Zz5?rC|;K)@K-smBhftC zZ@>8+d%o#jH$jQnKoBy?FC9EdD*}~Flbj<^nXhs|Q^~a}8?j0@4k<_q=56Ymz}Lz4 zwsy`hREX+-GNCHI7}m8D?`a;jX?E}=YnHYBS_?n`Rg(j`3k$`Rh$@O`BR)cY!2CUJ z!5tJ0z@U318X!J!L&}>8A|2V%NuCZ0m3>x_=PbFj zX@J|N&|6HuDTg?55jQJt(k;Fla~kCsm(;xXqmNP!C$H(ah;XP3`!Wda(aWS_~W~ zm?p;%{&adbzs!oGPs*~s>NiAt#?NM`;9_}TMjST>T3Gxo$Bcx`#!KpA$wjYk#olmQW0(oqK1iGLa?EIJGU`#4RL5(PC}i+RJ4 z%%;$+5hbivo0$wETcqb1DG*IvaBgmnV62#E)YTO(a5M}J@r6THY;q$|gTW%-&{7!` zFK9;ng&i_cEbFR9UIzu2C9776COm}`K)W_4lK&tT-V-tZNcW*8Ckt62^1B2!KORF! zJT=`RA+X(8*XNEY2s-BF3hV9Z^sQsDq5-=iRRO?#*5m5X@a*Z@sbkM|wt2ejDc4Nc zzf^v?e5yb~9}|oH&Q*FY_{j9?8CtLn=+OIo^lZUfvPgi`1>XZ%uJCf{s$!@G)LeaZ zcW3ZOz5e=hR&X_~pWVd+SXwacfpIKMFp<`jV*0h^1NeB6`?1)++TGKtOYC}G^_2&Z zTTEEPx&CnA=j`r%zqh^hc3|HnNu6JGMVy%Zp+J0Dy?iBb`Qh#SASh7vX-%=^+g|1A zYjPR4^t2cn_{e53vA3GVxbnFV_)B6j*gCs6wdvZfKkx0FF2~-DQ`%g#70T`Mbv`~A z`?S0$ew)#bH=`WS3)bk-&e~dw!~wx<$XGi$<|PmzoHu6?79uBUUAj+dh9)f-E{Mtml#jrp1cS^L)wVLW}VBj4KM zm~1~zzotG#$2Yo6&yXMh%X$%5A6(`05`OENEHQxg=cJfrX<{Z7p$@hEpeM{X7_g;Y z`mH8#T*z~Mo-k)8Z)+swXXa|6!DByjQ?ROZlVfs4(?6WO6ZRD+3J;%pOzKnnTi5>W zbp{yTI9~?h&ke1_&wX6$dNjLUpZr`MT^FG`wTx}{x7Jq`?9HbEJE10d{m7$RFp$-* zyrY-q9eDcIcdqU1V6!$qT$=f9ZPxWXyFQPhf98_vZEbDpGp5b(Oa^lCj6UiWrAL9{pVwpY>G-hOaW_jyvh`$c6QxfmNt%`pfZVe_zo_Aj1~E=BwnKB-wHE{M-5sjRraG z@)=rhl+ITOl}h(m(7!8CRG|2K?l@E6oqx>pgq3f{cJn;G-PlkE7JXY(x%@V5rrgv{ z%k-Z^I~3kdz-zF_~kjCpI z--|@v4@eh&=9e#_cHz%XigNOTo(XK1|Y37iWJ4wTr`#(#8#?ia&?$K zi3YFc4uhK*VmzoU5U(jf_An?vuHTEOh1@5B~Y+@@Qag}1W4ypys`^0s!a8z43F79?NOtfEbheyzAViW=e&v2 z@%u0L16J=k6x^Z{*1ShfuKmsG(~h}B3%1WeW|`8ghk)xHy8yo>-zL;q3)f`yFQs|#x22hap@P~XlhwDoRgDv3MO^$8iiP;MtC z`o6V@ynX53wLfy9#PRL&EvX~(HS)r1H%6Ald=BNPvh`>Km*J4*Ab`pC=4`6tV(77f z|LxH3HP*g#MkJt`YGQt@&i(Cl=NrgnYV298qs3#{HB35tZg8#5%0%MckP~f??XN%K z{eWhLkc{F!s$kQBY=-iKlbI3zl|!5o2mW~8a$29YD2C7yE<}Qn`4m(ZAK9X^GM%C? z-2M#08aGGsarw#0>z3WkbFoRGGLLY2wlx9Ar)%+&%i3b~#dJ)}zk*b*(Oil0#5n?iH!1?xDkcD&s2?g^tIg__tfjPc8C5XoHWH7_BWZ?J)SE811 z&rzU2f-OR3A5P5BZX|bX!Zto+1CUe?&MDCT;h<(1juTNSEojTiZ}~xAJhJn(zlHjC zOK8z;oM5DUqt|frz%Y|tzkW!EF!d%4_qx4fUzyj^jySRi3?xGa$uhIfwEJ8tmX5Cb zP^+kGO^399cQ_?OhR$&6 z`bpQw9R_A5Q8%W%HEwryzVa+nb|K*}G7oj$Jg5rk`8ZpyomJ25&^avhHMvUCr=>D= z(UXLD_c!E%jq5rgCch+BnlGwwL?%*a2ZCiWA)}f2!k$D~HU20$Exf5vNBqSLkxUbg ztTeR2Y~0^}La}+!C<1=SsJA<5o38kC_|VA_TE8ZU`XTrYsnG#VkV zf3t+s0<+^ASP?hxd=WQ|9BtX{hZUY6W+)#)=`a{t(zo2PMQp&slPa$3)+KRkU?>@c zoNBD>u}^mNc|-3o7_CjnR^R%wJ`Hk-&*tOnB?l792ri(0H(oiUJH_odps@;ZgKEJX&*v7xpoLB<3Q>Xm z`iTeOWN&3+@U;ReXeIGFk>IP`z!5qQa!Bd@rv8vC`!5QBMF^ItQM%AK+RT9<(7$5PjM=(KhzZ~wVh~|wY)F`k?H3rzFDmBs*%VlFRA^D*U1s{=(Zirc>z#+2 z{spXF$lD>Vlp<{0&9SlRsKZ$Hti80A& z{zYXah4l?DQN%q+ub}4u&Kf!luQCq7_c;$*8|=;%P&NG0{Dm)5)bH?=534tXBOlA; z9SE0EgxWx0vtmS_&GE40(Duzr`V|U#ai@}d(@*0NFbv-mBpLg_Ik3kN<1!c277Bv% z0J{VhfL$ZNuDi;AcZsBUp>9@oA>eRrPAGhauojNHQAKxI+jQyO#tY-oZuCb1dQA{9 zRZ!*ZR_`gPlqAVE1}E0n0XRzOl%VR#rDPogkOEORc#e{qKUL-S;ZdTpN9P0b=`#aB zLbBgqq-95bCkFVTk{J)7dZ2bee}6n!B;vzS#b0j!@-sJM@Va)$w6v7j2b;+jvhRp< zO(=XjT0$~kx1WN8wiHKxy=~7OKnYLbF;*x}ERlqAu|tEce)8Lxhj2APl6Z(lN3d(N zMxY68)r>#@Uv-)bJpknAW0f&(->C4Q95~sf1U`yVjMEn}*8mz)<&GezXDXHwK!fjw zV2Xc~L)Oc}oRf&TJgBhit~MwoO`@W}Hkpj&oq}>4`*0AT5K2#E2Y z_jt-u9_cO>`EthqrB8eptevPZ=PtjNt4@vaik#`Sah#z`{Hq^-RuQYSsGz9@AW3j| z5pPC(oP{Q#L@TZR>80w-{oFF90JxxirP3dF+V;y!q*@aw0XL1HVd?Z+4D@)H4%&VA z0>AfAL4)+i1Sa?nwHyH&u$7{e&qcV_i>OW4Yl_H}TS^J58_60vI-`UN_kU6KjnS2K zP1~`ZOl;e>Z95ZAZ0p3fZQC{`&cw#V6WjXE{jB%T_oL71s@-dMpGMW*wXeEL`EK96 z2#?p|oAZ6*v&^_~eL}E$u$yRimNX+9jaq$Ht-~2c0_~9G@qMW?K zibIBVk>JCB^LzVV^Ye#AY0a7kxMUo(k4XF zE3Q}}4)o05Zoru})2Up@P2vjp6e8Mo0r!}pR&5foPuaj_U98+pJ#JJMDJLt3kd)XW z&Mb0%DM~1cW=RL%m}7sX3h-A*lAxFg)#NWeI6j}TVyzT8F}*9=Gz9jYUvBEet)o>B z2`{KegawRl z95=*|)H-M79h=5;XJk|&a4w714c&Zn-C|0R#Ab4CPwcQK901#J0E;a}?LNs<>B=VS7av{hRBc=Vb?KMe1E?uks9v9Ct2fwTp!W9u?pv= z2CJqlkHj^b4G>^g6?$40CQyr)++KgNtoin~EXg~s4(uu-RLI-i5UiL$3C279+H}nj zR64d^if`01S4$cxu#kn>4K+1s@ij7LtNqgWv1lkG)$Bnt=|oLZ0vQ?U>Loz7^E)Xo zY0sR3>gx$zYVt-m<;Qg~Dhydo`)iD=mkwNO=euPA1fbQeOF-M8e8oUzy@c*)dj1o) zRUGS|x58Xp3>8>v(sbzgh&RGMVNE^3W#1C^L$6l+;CEbO&+eIx6dwZzlwba`spV(a zZ?ukIt1IE->UkS-(29I0xqtifwpeHR$w|lRp|f5Y1;uNg-HDt~SBXextsuc?GLU_K zkuokQhXEpzH{=0D@0E6p#|PUxkL~4WX{;&h97%=38t*ry1Pu3<_cz`~bqdBcHYkL= z3VI;_Fd^p|n&w+)uL~Dn1cZMHju^t_KEH00yIK^|31jKq7CltX&%hOBn-{Y=7(x9z zKK;kqO^$4!>Tq~!x&wT~@{-85trG`e+pGE;~LCKokN*2mzevOH|u_x14 z?8cq)-x*uq>J;xpo7qREBX0$`rp`?2sTZNP`DyW}=aJSi6Mm?T_w+cX{toOS@5R7o zK)m<`t=#X7B`RY+D+_l7cAGcKLs%fM(i^q7tbx+17D@ZLthyg2>Cj379;#!fRU&Bm z@}tGL-EdHumY6s-LXfk}$x zf2>Liq^SR~Dv9D_6_1oG0gj0WSiHcR;YLa@x6g9{Ro(wnW&EE?g%hZ%FWj1#x3U^9H%Do2CL=MK zfsmp0aCTM2y_LZkxzv}5&}K70o6N;0NSE~0hjQX`0g^At=Y=>ouZn;tS|u+AW-PNr zzHp;E9Rt&*0IYUZyeQ4QB&hjjq_a7#NaAG*`p_nt<>dQ&7ND)(?&4b5P75e3D9u&> zmZ~RbdZWq>OIevHA17yfWlb4Vyl_-7j<>d|JLmUExh(@o0U*a6$^?hMaq6qnDVoEMz+ z_(hZe+0LupQRo+4MLA|0m`Zbtl#{J_`z;-H)Krjdqv#K=r?s$8S^hN5da~!KUG_4| zOh;B(OLU#;3zk)<>8#zQC5ESWzk5)Z6z)B=S~_Ftmdm(j>gVO5Uj+r86w#AvA4vtT zt~HM}9t}qjPzTGR#6Hov*{?x1G}1|8wP#6TWgrxtKIASHoQK6 zu9e&+aO`PROd!-)CC%@A(}=hVHw*ZzYu^e z;ORX7oS9ibhwx9=&g7Trx3_O+U!UOHXZz-(L%v6~ajXR%Na5i2%*=KzUDvm7zu?=| z#nF28{jb=Yv3~oN{J58*V^qC|qxJ0TKSuqhbK0Tp*G!D7QUttVE zb^i+&szra@IyijYwHxNq5t*Mn09;uKjwL^p>h7YarXD|c{Hy#fsy5UV$Lh-Mq=f1n zRyQ{$vJcG89ozMmX9_S0@tOH}yhHduL%cUy`osz?mpZHQ#L=Zcw_AH}`L(FK=z0{*V)P1KN&F-ezx0B>#fa6{gGI+=R1sO~+%{a;Q6-S^o0#KDfk4VPAxGbgWg z`}fVssY9vCY7P{BV?)hLA|F|Bnid{_-3hwAcqBJY%tK!3WPflw9h1iJH3003am)^f+;qD@|MM8wdO&)uG}`F z90I)QQFotP9XssqB1X=bSL!YS>sBZ;i(2yxLgipkdX93#b}7y5RS9c`X}B@1@EW%DnH(GE0TKE@SZai5++dj`^Ub&oQP$gMZ4e- zYLyIX1S_n5{w6|zvAOBxN3D8IAqS?Idm;f*BEKMhZ{bJdc4@bw*~-6*>Qvr8!-K3< zh%fXMO;9d3lTCwf-UE*BDK6Wk`i?8|f9A=W=ZUqs(C-kZ(HK+Z=&)nQ z)RsSUO;mTG|21RL|LWHJewbj^<~m*Adi-L^F(?p&##@#Sa!c|wl?WVYnTw50UejzZ7{v;j>IOA`Je;30Qwht(VV^n@zMCibqzG^b z>f+RuVeu}}|CtHTx1&Z+Hk!453(rAeu4?We))9~xenG}TwixP*AoLN_2wUM!VgAB# za7-HAzUBCLRECe(5wP@Ujg4u~3zcW*Yr@x2#Nxz=lAoL2Bk2wkWy zezmdh$`ISr*4* zrqUeW!5X?~l7y3$#*|S)pWZy`gSv5FHu(zi!(@fc{TKyXBd#V>lN)CJ$3f#;ukO=#BSS+hxnNt&9Y{slO;FcaSaOca%_U& zUugJnVKw^|mvSgGp1wYI5sIt>a8@as%CvA~H92g^vF%2#m71VNmw0qk#G;C>^q9ke zE4M>ivllp2ZTBPTiL`$~w>PFfV1e}toBOAmw&4fAr;tOjz7s0CSC&PNLV+J=8EO4Y zAHwBSgw*R`+?1hI75d5s;4W$P!5Yfuwu0X7S5^r77QkOPmIZQiEWjNB{5X{EM~fEf znd4+u)MYf;Wf(E~DRZWl(YHeEkLze$;obMVi!exJ5t+OZLb)$)Yx z-nApsc-9aXgN@2A{Yt`RzEBu|G4-pHzXZ_sDYv;;A%jQbN=PTehnc8JDdI1y^xGFU z4I_4~$+be#c4&LCyxbKqj?TUhJ^T>4K%^x8r5$mKb@j)ELx)U)Uv5QSM$?tFRe8kb z*I1F?bULwJ9l4!9@xsMg?Ps$-Jt2k_JcU&>wv{<$_N;W0B&;tP%a*+^vO^KV=4C6g z=fRuwx@)(WK#xrGLjYGp{CJW;7k|h9geIWynI+|zY;g(zb+9B#q6d*Y)VRLG)u?o9=7rd??12W7L%UD+^w+tRN)j6-l)rERra^jQE~ zICpm4>LXg{w&p(r@4*JrEb8_~Ew6`>l;F9JO+fr)*w6Tx;;}o$}KaUdS za2*CF$3Kt$M)d;`N(IPmUxQC!_V#09an6=&;?IP9&?rUh&BOgdY)Z*cL@) zm&{et;kVQ73VugXc>&Z_)QOu|!d~LkaQkFGz*LV^E`>A*mtWM5R2Yn?nN~<(Mn}9M z3bC)j*`MSPh>^H`6-vtSN$waXjl;V#`?YmnsQ1+A(837V6(47 zfO;z5)TEaL{hosFS{Vru2aQ0+2;1;6_^)LqtQ&M}62-dL3S5OVmzJT1yt;vS@jyh# zN;R?aHkS*%dpL^PPp{EC+qSg>*<2+NF^b)NGUW+KWkxW;G#S`&It8=;cX zyO_`moeDGwnxauK>Z*YFkl2g@YQqFo0FSP61#KuteG7I2x3!1^2G{UxXba=7j}#X^ zlu}Dt7;!@hn#o%yxf()aYvoi?k#`0tU6!>C(Nzk1942XI0w!rk5ctVnjV;9{NaZSU z&^fRw(=E&B*x)u->xrAkLLu#^p8g z?LyfJuxK9tlUHLCV7usmyOEcfgO)$6}(5#&G_*2dH3SBSrmIZ=3*U zVS&eEBdHgP#KZ!h7M2!)S#Wnpo2Ubws`RMWjh7T?#Q-xUByoT^ON7yi@W!iD&p)*8 zr;}VnI^a%;IUPL0PP$`=OQfz+$7m%=Gp7bSh51jdl0q76wckdK@qbp z=^WXDyw1W1s_73X0M^P_p+GxqWCT4luEchZoB?vsw(7_Kl6?DV{^I&P$oD%2b}+u! z0GLgx5=P24FNdnSd$2dvwY7F8cwrT4#U)czGeyCBMNerHh@bgrm#36*b;Jt#TTGd4 zN3c`AMn33|x!0E|keE)>#sPAA?ZnftU{^S^XDXQ`%gX>1i};=^(2tyA^i{05_g$V> zj5I>f`hmFto!CSZyP_J*GMfcjdBr=zQg|T+uNbPe>hBjJ+pm3B3;s?H4tR~nAdyeg z+UUj_gBBdXT4%Po%lrVK;Ziyr`ECzHoU4^AICmtZSUTGYzb#?Q5-4v z>2|Fae+->6*u+synBL6EE(8Qr5~WE5HiXwn$8Gj^%SlH6-#?VChayfIY?5Yk>Vtkn zUhc~P?;jEGPVK@CrD5^01X@lbCY#`gTOn?+skUQ0y#bFB`6`H`Up>9dU;G~d<9}7I z-$`bQKeVCSoRx%~i^6Hc_fYPd{ZOzG`4%K&_$zMwZfZ#E!5_X2vE@2)zc4U+Jf}AirNkLP> zcbf5(xVw=%N7N`{9nRIloN>GUI*p$sc~o^E4Il6NC4#iADMk=}W%;SXQ!>jWeTcHI ztdlitF~jH^u&3M<01bT&H%`)opqwRUPUf1A8Q}uMW+IR63@fG|GBS@p--=HVc~uSS zgvN%S$V)|;C`K-baX8o8QE)KlCr&(TO#1W60Iq>G6zFGkn(^N)Xl4qVSh|%EMtG)g z#6UVCbR=!2u0}C{SA78LgtUiBnZXP=Ou|b=BR?K53G?S+tt?cIlee}^AWN1_bum)~ z<&vUY_H;H=E%$>&&jOk!G(76PHxx>cPIgJOfF2i3UdL%PuyBT9=s5LZ;s~G2CI!)l zm1ff8n{olUY}3S_NP3heaXBh@Ec4l7`zG{g24lqqb14ZuqsiQ?KX+xuaq<9atS$%& z<0Ejy>AY7`?6p4k)IAE>oL^7#Z22ssvs67LJpqp2`Re$n$ieAk<0JG6_?gM2kz|XF z>`rEslxR}6pIWk!m++}FsJ6HeK{t=;G|u|W6BW%8fOP7?%0f<^ zOs~(#Db>bh?b_tcmKpRYS+6s!bx$?ZF&49OeRvmVizn32I*@gQXVWGaV?i^|6ik`D zsCey|h>SnELT#i8^ zMt{}-O@A=CnQi+{eOB!wJ~8$k#Y_U;0eH}e&ja)s94HbxDke!x*^p_%0Hco14x6uR z;K5>=Sb*gah1ykLmAm^lq4Se^k9TEu8{+$8b#bwj1JyU@>*-^4AFMwabvvsOo%d(e zt2iwpcn!2QK+>lTjiLbAovQ+rqgteMmwiAV7Jl{<8xp2`XvFUNcINGP+k3OBChx{h zor4I}O5KgfB(<8>9~!2j%FxtSog-h~PTkE&Y(_=nchz@_zQ+Cbf~3Z?%mThfBte_7 z#y3r1)s<`8%IdZQu91VH2V38jf6TkL=+n5H9&;}mpq~zd2HU|E(c?^V)zJdiU^ugF zp28I2Gm19D5eAjZ0wkwW4=|i*^@;dve&tWU%eZj7v*b_ty%@Q1&|hcFOO6&p%ELPB z2!Sc8vpS;17UgV3oDn0#VQg;vF?9ajHpLXaocuo4o+!@zX`)`*+nftS(2Y^WPTKB@ z;tkRwfSEwCXw=)1EPq%!s*II&719lh3bv;E2kAr! z)eTQfiRD$2Fj~3{c@l&s8hj!-d{vMcMPVliV2>`H9HkgO@$jFKg1mN(neqH=uwt** z9P4f4vIH(QpM+#&hCZ`pvruGC+#ZW}a~_-V)&);A)EvZY$6CTjCU5=I4ut)?_jS>4 zo6qN{SUqp8eShdAjki$?lb*$-<6+f55HH*@)i2ydeIUr%#7^R2a)L;Y|F*`Ay+w$^ z0d4)oj+Vj|*{#S!52}I@mH=}1Drva~r+?YFO%Oi}orksAY>0M7($-zYbi{$LCeCb_ zha+Us4DSI4uC;b{hjm2B<0$>F;giTU$F6~>6e&iUX-b&iO=emRT7-&5#%ILo4OrMd znUQ??sL11K6K)5UtcmSFTM^0E(usixkd*mvK(qabNM*(CF z{zC0s6mj>mR zwbPA)6=A$p%&^qIdMsPwp5Yu2&&(MHj+q4A3}FHhYDpo8QZdPhuux30o5i5 zx;g0>TZ*IY4XdKam?1U34@;7%i$nJ>9+G8kG_pPHxEUV#Ds<;qiecEnb3Mph$4bYc zBWcBP_uNRuaa^u>Se}D2UI4<$8V(Y;;Vj)h<}tW%9>NJ@?E^|h&j!T|vgRJAF~0Fr zapSGz#F(kXwB<>d0}E`h9(~<86vely1qSgo=VQP8K^v_j$~L`RcDAit(fP`&7)ZD? z0wbUqv4Wwun05FvzY#WAe7f@Q-3AE0-7dd+RBQcW(qzj2a&&+21hntk+s}-}aJt!S z*S1?kzN~r)RJBbRqkB^V@*ZtddfcG9f#A^0UG{+zIjEq7ZLH{4FGQ$7k+~V^zg3gk#0n#Y1 z_w@_#@tBPisp0XX5~v8TNPzHxi7$%#i`{>A%#C|LSlw8? z;TgUXW3+2;r=?LhRzD%-4ifI=+qiA$d({P4J2_E`CtL=se_Fcb}ObE&&QB-p{9PE0>9#V(yIn8#U8nBA6is)@qqhKMl_@X!B={%xfC2bG{fqy3TKSBx?Sw_^wSu<;ykY3v2+^ zE;RMB?Yd(8Z)VE&7M148iSXeH-@=#PkDcBALppBv0vvGV-qDD9-j<)f!E-#c#C)&X z>j_sgM_rzl_Zv8t0_ z3{Q=MEE#6H*&h4ID;OVdNGyt=9E3kpz^wTPu+8VV1#5fT=0Dz+IT4x57kmK=uZppb zH$I5BmrL~7OV$e2LSWj9BJ71TkC=8j?^RSOVgEk3cRt?69yDA6@~>;FCjgtFY=?L8 zAglP2CzPl0gpWe~wYa}(`&1neMeMHy5#Q0f2XPJk^%MY2^{y+tv)?cSGY>%odH)CI z)R2Q=?9JsJXs$=Q89nl6woU`P`GII%UQJdc{zDwLyXKpsg(dFusl1T$>N7y>G>Bo7 zEWM`A0(naBC@fOU1P5?uZK!+a)KV9YpO>B74q^adkTRY|Z7e@D*OvheNdH|+iPytFgGY3<9d9$vztuO?dzgsy!70nM)Q z{^(H96!3q!dh=WztH?ix?%_?)a;<{>Q#RSNaJ^ zVeQl}TTfWU21|hR@4ZMr&IwQ@weY6S=Vl^o2rjb_B{dWzR(*M#*R0h%H!`E=yZdov z#;a?s|5gS*z9yDhdf)iH1r+|+l-Wtu)6Jl^5PewnUvsc#`0uX#({a_^@GGw_h&% zaTYoVxJ|X77=FE_J!e0AT-9HW#;#A@oSh;!pCVI6+BpbWIjlzhPCn-BQwUpp16}XR ztZbLr6Dd0b-v``2|7E|jK;9a@?#)HzOXl_?0-zkhfdAdTahFO1yk0&~`35hnMt3U- zDB+E7r?!_1^&0TDj2TgEgrMHbyeq`rz&JKc6-pSdQDf!cUp9@1YzdNX+S;V;HW(j5VX_Cng_{8_$gNHh9Tzk5`riubayqks4C>B7y3Mp3#lwrmU(_oVZ{FwPY) zLNug+1+*zwDbdDr(r4bWaT5NJ=5h!IAqaAC`2Vpaj80*j%swahn~p;i#DKGcjM0OPB&!n_9R#Z2pJ&(+ z5L>D5skC0lFz&yoM-j`T!jXFg`nKo027g=OH&2SE@?aI#Wh|N*Rw~33v-T7z+EYjc3VStD@DM(PT zWg)|H;k!c>G)j5?(}qO^y}jd!y*c=*Lvplf9*hoS_LWs*A^qt_4;Wxn!j+PeZA-SJ>>sfhSOkT9(P8F4rYICE<71qR5uJYsNvPBrux0qI0 z#MnrX(#~gk^XcyD81QxA-@9RCTeod=$gC;<2l^cihNGU8lgxKM&6^=TOZ|A|Nxs0U zpDkr?vVRHfNskSoLLOa^k{xGwpQ>b3$us(Wg=G22j*QcZL`h`gd}QE06qcldVUfX6 zR`+j8yRavJqonc3Ylo&V9vjXp#xA_o_09O;iy6(o>#nBD69A)b2f21it82p#Soa$S zarr%ekCz|3Dk#lLQN(?nG!VCl_MWl?bY%?;<0*EyGV49^awqQnb?uuo%ihcK-#0Bk ze)Cb?&U*C(n+Z&agF2PXD3~|9pE_cZZ$TnsS;7idMO&YyKVqW(gRPb33(fZ>E-8p9 zZ*C*k(Yvb&bOKD5mBn3&z61nBRJqA35VKgd(C<)}p=N+iA3yzaKyVQTPn>vVPs#~5 z`Y}hn6?uye*|9;??8Ix&Dv>Lxx^%M%6*9!TrF*R?rC1iGW&q@+BSmzk)S`{{k$n2o zEd7n(7z`4(L^(L4iytd|IzBOxs$=F1NetZytD23%Lja(MBctlh*C}~tA+Se3S|02` zXT5kmr(!El0*11M1*0LHnP*Gwg4?8I5eTqU(9!#b#G(6sU7qxs1j8(!^m=m%c_r%3u7cZr?!pKZ~??fA14}scuE@CU;6GC1h&X4zKLd2@^Dcqu_({}uEW7jSWS&>by=W&Mo18OB#G7N4j z4S=falSkM=6pR|;Ez67O?=DzEl9U^qNi}iRwSyNzClT71gvi^b3UQ~t3`Xnhd15^G zRym1cN3Ib#j7I5tZ%6=VGFLp4jb1wKE3G2WsJTY!5696WO&)437W_${rl<$yE&`RQ zE`LH=L%k%CG{Z8C{Mh8-=^|X3IYFAM09btj%bT z@U#PImE^St963htH95mz^+|_vbUZea5b$J%k7^=?;_;|JUxq+LV#VT7FcWZPdd`qW zrlWA36$sc{M>sQod_f$d0L;LgGh#Fb9TSv(|NW^7-4>s4%(J~^x~C~t*3_tR0ythI zKDXd{J(^jz*QoB<$zt=KPVM-0yA`0XbBB~?wBo~+=sq?S@}`z0CQ7E3wP(SgYA%Qo z8Z=GVwB!qtENK&#bP6eRNyxe+voIruWMN{nvzKR7tK?PGH_+fFatswMZC{{QCDJOH z?MAU_nS{=$a-vwCAkS)YJ`^|P1`spB49=h~^SbY=zzCBhB*?9m&>Wn$^!Z!gJG>}U zM$mFrLG@5ak)>rerolfCN&9zORC6$?x}e5waQfdsS7W9M3Yq#;=l(L-64Aooo7D@7 zEjeO5fpNm}Ob0~8)C965v~)0(>&QaE%2TS>Fa$X+>Qwa(Gfm9uAG$D4GJuyvw1+Ab z(_$Aq*%K8>cm!E;LV;VgA#0-IOZq797;;&=$Dwxlq%DJkuEKtIX9A%a$nf9JM;#WL8*|XMC_&6EeS=YL$x=o95_6MFP+HTY7 znzVJWcYEA$Tj#R8hQGTj;=f41n`oaSknoWCZj=@&8cTA}BYWidD- z@~;sP(I!05C=0xX#{p#yVkXc(#P=orA*o*}=!6aE*Smg#dH>vAgJ4geq4iTEPbO?G zig9HvmFiYes2ZQQ?=cE4-nJ0or(_#~hroD_rdt9N81{#Pw zNpFaR*aH^@6seP9Pt7h;$n>^YN zE0REBD8CSiSZcv0QI%`P+us`t(P5V*dg7r4oKa=rh)1j+DVL!WV90|87J?IV zQ|KrI*8YHQP8@Ve)l^qke;*xe_&aR(O_{f$x!jx#}PS`R`%D zyz=EyD(vhHuT>ZvYAs=v)gDI=ZYKXClSlV*e~36TWT(P1Lif&7ovRRp+>`b$dY zizH9z>S>m$MKC1W9>m(BCc7cRa)}`Y{fyp^<~BRG>Ho@@jBmZJ$I*Gn(Wxz{w`S zDBCPJV>2ovPaT6@%Y-4Pn1HoXFods@$G&Nyp?~6oNs0lqh6aT(OS!E@RS^WQihF4R z$$?+*=@78umAqAe%CI0HS16g^&nc!S@>Bnsk$zNROESSGR34i=0=;Ajdxmce zz)i#$p3W+=FE!h{>dl;iy9>KwjqhZ-JdL2_Y!nTh*<1$W?f>dR!QB?S4ZDD3j?-TX zN!ECO6AN(r;q@sJkmk@6TxE$GI^Sjkpdi^8*Np^WI19oBm1xY8@xr(9lZwzNx6&r` zX4x2D1XcYV<3G!Rr2Mawc_9rFCMk9>R^M}o83KKp^k!goaFak7XDdDcF%Rn>JtM*W ztNcv^d}zDdoRyE1XtFlaq(~5cix*92kCDb$^-S%bpDu|fwG1*=W9@Ne>=(_-03o^H zC4kVX)Q?YZMmPkG7^WoSN_%wt@{_+LMY=l>241zQ_A+7x*sV__mXLWd7?82Qj;bQE z5Yrp8U{ZT}42^8HhB?+O_9E2MX)vm0Yv~h%N;b0nHZ4v#s_niLdSKl`L$X>Ji28Qj zA1ob@E)UB!N?L>OlGHFlI40B6f*|K>OI!>Y7|CVtchX?-3=X;mY%mIrRAg>EeV zL=MrvtW>{rKR11z6jATq8!keMV$7=|BkCO*d$d1aO{<~W6hpk^P|L%@Qx6U06=A{} z55y#p-bd$;CGT^Arbp_$WU$iD@!L6q_H45sgf)yyU+!}0FXH^Q!6Ez zS+QrkiCS~KDcK3qp1{_LIV0km}M7$DWj>*@tMRGcQ1 ziOg^#?A{Rvuy5I(K;=Pt0c2@^T7m3t`Fpmv^J(rF`LZqw#1!4Ac)>tRjCLXZDL+w9 z&bN>E0YmHcUJt$szkVUBJ3LiWfg6p$hm+Y)vljrM8CQSSrR&#So^|Cm> zN1r0L3ODoPnW*z3u_CLoB1@uzmB+-+H=FK?vp+kd*cC-h)$t$hE+8vJ^d)Z#D=1rY zjz`qmO6;$OU_>;G-{FP=A(){p*lvdC=Kg0 zdAOV;Ft0Irl<{{O8bHDhH;t9=3JPuVHzOSV6;17RSm}P>Tsp?k%|aN*(?$a7in(um z!bw(+@e6)FJuz!TS4)Tlg*8p5zQGdB%(m3x3@4p6qZOQePpjS;yW>xwVew>uqF07p>*lTrckiD+DHu{B7oq!M<< zXtkCi%sVCHs-XL`D1A;xksPF;<^Vyqc6Vxm)sH;lueq|xV^p-M)Mg^`D7#BgcK4?4 z(&)1Fw{df`7+|tk>cv#)W9-GA5DU~s)wP~9&~(n&0>V6INePpfVvS8R0PB;#SiQzc zj7Q-3loey$TdIJDh0yk*qz*&--4z?0e9wqcnoylAi1agDU%6x#1y*#Q+_ZGA@Y16w zyD;$t(}JX!&=RS~>*gQ&p-Ls)m~~3v5-SzW4}f<&rxaMs^^qeQRuI>k(Fxlq zN_FP5ReR=Yo}L4bhL@wB@d-;+0Q%1{^+qJBHJp-N(PM}bn(p1LBy~vm8T=rdun5YJ z=soZ>CP`@@z}&y<^Gkyq-wQ8E(;b+M287<%i*#BM%UL}_th8c2K1WHpxvqQvAh_f* zGd<4)G(dIRziLRAw}#b-_rs|8HEu265rhO8Ut-D#qQDY$JxSbz2;}2`hBR=BA3>N# zLs>DNwH&9%G>>PBs!al~1*9e+JTN^W0ywv-S=>OlxG#^7EJ1yr>8rOiBY4 zS>J!nx^#0-OWt9w?}mJDn}&uQ1UG-)buYgFtCB3;Sw}(-5d0Vh>tMr>T2bQodyG{~ z6kPi%6V7CHDfC<>UiUeCT(7Iq=dm&^c>NEMcgaF3mmxbXq50=!xg?(Ig|$ZP3hmN{ zsDkntRQrm;NV$pqq$AO0;?h_X5h#Ly z6cX}oV7#4a<~R(9m3Eu6+u(4nL=>l-gKp0cN!O3GTr5 zl(YM^^q72uAVuh0+QUoi>+CB0Lxw2u@^o=zZ?1_k42!dKKiYlqs!g&5`==eh5JhkS zCN#yy<30sgrBIBK<4(#=-mW_j%Wm~PakF)hi`0+m%-s*oYE@h0P*v@{BhLds`q;C* zaaI0H3oo0kdSf+(?I2bYm&mha;RObxr=dP_?9pY@Xtnx$MuA{R-maFll1AJHuaV}t z`gqefhhq=RM*7H;&AT-eja!NkV_A$%3>`P;l`xSnKi(Bwv3V-QA^-LZRwxYyd0l$X zheNKwjaIVTLsxRBoz! ze@Ti$WdIEa=kEQ_ZA?r&$fF&MjitnkFIe>SIv2N-JLw~Tu+SDXcR(M2FT)T7+(*yF zHNpRsdhnbH|AX4~TQ@*heEJiD)FcfnA|i1%S{N-X0`f(TZzN@36vky`rb5_ZSP6WTc8bJH4y>!&FXSIEr$DHj1EA z>sIGP^yH80hmg$+0Q0EdOA~Z5RXK>qrZC4UAV&xLmkP=g4TK3W=t@h--)iPv(VSE=azf6owV}^ zTawb+87r4y4OP<@9Xn4)odd{%3O86shBG3&pCKDF>U%%tA&gU=hz0!G{sjCq{e(^# zteD`V8{JSJ(go9YY2t>!t@46R%9ep`F}~4-Jd5{ZIrYq9NN0Dw<_Lxnn4sV(bd(L-tEl?yK2px z?I|}HlMPcXq!pIC*~?)SkxBchL{LU(I!39Q#W9vG2>If>^q$p zEQ4>kyZ?zS!&6QyhT;OY#9V(Nqi_)qO;KzY)FStJ;E$xcg~YH&bl#J zD*XiGh@OEal85GK6Ods(i3`nvu<6J(l%uRcwErg289+u6=mU<=crgDu2W4fe>WKVs zJ&D{BW+`?aK7{1pDrF6({kMSL3GCr0Yy#2RUe+91W3HCU8GJNm5;2)*X)9$CrK&*A zM(GeL3MUnhQmUepCY3^6pCrJtVT2}4LSKEs+uOD|^zC&#Ixoi>TCxmXab)B=LR)&SB)on{KknQrU_ zLr7(zZr>qptV63*(HUsqOUk7@U9sgDIn<;Myg=~o7jgT743*A3v~&XC!2nsO`>CFiPpV{!48OAAY`~R$6&nQgw(XQ-jo3V}_+W2YOm~4Ct5s3cu`Sq##UfgqP@5Z# zdX^I`gTJJ@@dqa&1wl<;{0|QnY2NUOZ%|!BEs^MKvd1N zaJ8Ky#e0FP6sL~Grmrw4AAl~#0#E!H+kt(#d9T7BFtQp5_{(*WcD@ta)Dzp!ZFQq_ z3JCJ&_9A@b66SX@6~PqNB%j!9-H+5gM{Dku{z~p<3Vf-jF={FUN)M?%jad#7oh=s! zI4Gmthd2O7JlFP zoijn5K%-oS9yDT6KCVU&Tv|0hEGe!k87;xuHh#BTR2>B_TAq*^I zYpF=qFHu$-N021fJ%*5!v;tx2W_f9gQv~6py7bV51vGRRnOrAOiRmb;0CIz4IFeEd za+D-%Oa@1_r>|vu%nrrC6>ZG_PJjF^_HUVNpW{0v-n*bE7s6G?^Z>!@vNi9!N}NDq@CqNK9~IZ6eptpK=T! zzs1L){22nh(*JX0JjuMKUYrLzHLWaW$i=C2?uiqb6HI}VM%p1&Y zEMT6|TLGds=IP1<1nJX3I-YkiowDA+u7B{>8>vQi(N%;CY?T}rK08p$+`zZ%zi3gU zggCoSLmWLqY#V@@Y(Y|x)G5n_yeo(b8!1Bf(3L~m0tC5`bVYq+V7nMrhU@|9AVg`) zB19=m!QU-mYuR+N{}d`Qz3!_aUJ6Q(JdBiKH~R*fI1gy~V5&M9aRqGO_TwREhHKLzE}>r=vSp%FraK$@qYMRHLBj+asEWE}MGsTp$@ zn_{?ms6NaPY0XkBU@F|!brf-oH|SeA|>!3_C#>tON2m; zK!WrCxcUmHIJTx++}+(>f;+)AxVyUtw?L!8-624b;O;O;aQEQu?h>5G{l5RdZ@stH zRL|+^syf}LduDn~pS`z;utUed2MJ}9i&~+>`o5JjsMEKL@Y^J@k4+?pHtX@j6vWT6 zNjM};H%xRU9-vk^z!j2MZ!88Zo3~KgB`-zU1$&iKw9kbyoP>>4kPr>2kPBBHlaA@* z;{J_{Oz6SRNe35-!z!>(H&a2`vC-b;3OKMh%7c9FZo`&tVL`wI%+LEg+B0!?R___X zLIgQ|hfmth8WG}ndU5O+vKFaS>-{vT2sVADCkg$?K;u}x`l525DmtPvd!wX^G;=*2 zHg|ljx=b(ONBkFAi?Y&({_mV=uo{+2OJozz|-$*ct3vJ6Q|05eF;-BoB`H#X!h<0@_`9&0Gm6%E*{Mh>r&EVLz zxNGst8UJ|QqdCyx6+^uR97RHJ`*{H!NKxrF0v%fh3>Z#g><ic8gUo z61F!QdD;${WLV|MRbsWePS^dZUzu3@2r~^guD>6%fm09OMlTZ0a+tcxPlf~IY<`LX z#Odp#Qz#16q$?1CZea{k7Ye`I5IfbC}J)=5BbGrHy z;qMR{24O7Bcpz%;c>SVPzg_mdPRcZTPol|fSx6nF@}{KA)AA!yFezR#W)mYR%Q`>& zjNy9=bDCuy8@F?txqGM_KQ15}>^GeB67}imkbDyhCC5@{^q9mmjRK#SZsSekM?ifO z808Osy8pibN&jqFcF6r{c}64*UIzJxWbywLUr9^Iu-+(4$Sl?ns6SuQ;^;zQW#36O ze`aS-I{TkaraNVO*_6sXT7TCA)t1v>#^x}j|Jk#DlI=fsHQ(>`AoTtYwat?dYfRK^ z==0eWBG!eJ(Po=17|fi4;35IKh0c%KTmeZx_Lu|55{TicXiW%qW5qNDnAzcEs)F$o za{SCcLS&Ex>{&=O6lqii3PB$pLEiuId`tO=!Taz4g>3(I9op6k(I!N5cLbSRvsVNl zSr6H>|16J@kjjb@Vdp%8qoVZN!Tj@yg#C-l^tnNRzBMzZu7@4h~30C#s&?t){VbP`b%J?M@QE(s5jDMW5{V*v( zk-|j*SSXp^+=R-T+V;wPB@Y5f{|59)spMWbLFP&kr1{AspGrF}5(-T9)1=M!$6&1VwZ}w}&Jc{+7c#`lpd|MlFlT z`4KQu`4P}wd=PY$gy02F*%6S&I)nJp_`D*QnCksiM~dix8voIF5_(n(_Yy%M@RYd zJVVT4!Rq;^nSr}&`nKZJPSHn`UuVl>&kybL^f$Co?RHW(T`zB7*r@QHS(EA7INify0+6 zifCaH+5=-eU0Z}wv9VD;zf4dwg{NbjS5s&FI$_Y5JRcAWw0h!b(^W8OchTYkr|qx; zp%ES^+H~binq3Px0JL8KHq9KLc3wrD_A3ehllQI2TmilOPuChT9gEthj@k#`BghI? zor!J)3+#T|uA+4_Y(VCpq=ElQqB3JpV29B*!T1r3J;D&>er%SaSc+8B6T$<_n5=@{caSe~-jwKt9*fynP$rG_-ES6grBtmXlJM>i~HI z?LT{&t1x!EBr$-PN&ZoeNfYq5EXvJ=rx|tmZ}xDXe6Bpyu;M?ReGD9sO?_L?awW z690Gpg}>FTbHjlM28B%OH5;NE9X;~2unf2Hb;_^n?o}5CCizK_*ghYzZw-C=!pz_| zKZr`E#7D&LZo*rI;sQEMP}C2}E%Kh!Fm6KVBZ8Fk!jeOet>kaC2X3_-i?9?nL+J8c zu_RkB)qr22LK;Dz{bNNx9HIOb)-|~sprbD6%B2>8_;aUi;>b_UdCgQO%JTttKk(lQ zabF?W-u#W@MKPjaVg#dKQPYV&i>u3vimnJ6UjIw@5{R2V5F7qzpoIBQ_<5i`4FiJ@iaH+^1h-j=(=-X@s2k;fO1)TW%(Iy(2c1EV(GAh~u3Hdeu3G;hb z*Zb{p-UwZ2&{`Uift^ekfKG9ci%wDeVOB=(2D6#p1Q@lGSaMJ*7)|%-_jWnNcK@}L zu0q`;rvrMs>we5+z+G}|tn|k>sqp`6ug!XP*Jook3Y}gf4OQV8qbW&~a0&lf_4|;b zLTC19n`exPlEV&FTIbh<|MZkdW!h2zvWN>N00AJ+a)XdbVqDQ*Mb;JqHC0 znE3asRA+9Zxx-_Np8e29WWr%E_lfAJpoW!_O(r6)DSB-DZ5pEtBY*nH%!&sffZ17o z)ith-Ai`&LwzCiHPR)iq?X|q1*#|)Pp38=HyW zQ$*QDhc^Gx5jy;C(cRErHLR@G{Aar0d>(?SX@xjq;RhtJ#eu%Am<3Txg>2U!WVmK@ z>H#ye_WCrhxyr>SCH*IWe{|8%bI7V;6`e@ba)Q{xplOHnG~g_rbCaJih${{Rq== z|1b1IwEhDdu>JVRK{$8}WNo@PE>*Go{diRNzu=G1)*fd1_w?Hz{!d>$JN*AT|J}$c zf4>@jGNQaXpLH0Yr*sY5eOQp4JhA7?ASDFI5blNfn0V64mGqw1Lil?@YZ2ti9uCLF zj1>ld<bt2m4aa#hqE9}x}{>;-&EAd0#Yfba`(AGm1SY9RVOR~dm_Vb zqG{1JGWy0nyV-QrQf2chm+h4Pd&v-`v%!=}BIO$(mR12$vi^OOW)=X6y#G=fv8J@4 zSv8&BE>qMkZp$G=BVIRnIbEcwjFuozZNHo`jP^6%wH6t z{%HQrlT*`(sA{%=CFSzGaKAm!ccrBSq$>dF4_EDeKbv-v&cRzHr8OHPOKlgFNkYnI z)60Na$PypdS~iY&11CQJ(B7OGg@RZE3+q_aeOf_-BPa5sk&@%=B{J{(#(}|j3t{?O z-J)Eq*ku~f|3eOJ{Bj6Nn_NSiMH+Sbugugy*?v%mZ-;kt$D%)X7tpet9vYA}?tgRQ z^LLy_T*x=$L;58;!3MY}v+(fFmBsS!Xj#{v3*Idw=4v!wSjzT%AHMY8F)lP^$?5t4 zne{5TzFog4T*S=ft}Dn07gTtC6U^|^B=W+Sf=K9b8Lp-dqZ|CyiJI1MbaYgB-86+u zJ3bbpy2m@S3Uw?@Z)2I0!Z4VyPh<8jE6d^9q|O)1$y57`odm#K=PRrk&3yf@Xw{E@ zM5}0$l8y?WUD-8yvvPAtCfh4l*}Z0if9rL6sk~3VvI)l_RA)Iq?POFt%qWnU#C4}H zde{$^mOEJJeuy_;bUIY`KBG29z2Z8@_H>ZM^5sJ&tzecKEp7 z>koyKJ8^j}-f_K=)?GFWzbzb(zdw)a7`?DmPXGWf;QIELqb|Dg(#ABj>9UzGG?yBO z=Cc)Bt`g(L*@W9oghti&<4?iC|RiCPDsY<`h@+7ADU zplqI0MofPRU#yxdQGsQG(zyL``+!$;W@68}3r6uSmDI3cZlbLXT?XLHuejs(W8g4- z?_=tOYIFAVfF(KZI1w-&f?o`s^ltgZXNTq&->Qa?<18x*3Mk)Li(+y1+oGkhVvqmp zP83i1dj+Gwzrn9u0?y?BxoHkrimgfD>Za0i_D>G`iN)IMD7p#e1r@m zmSvh!uH_XsEn-~%%If8QB??dbII@$6Mmn%lsT}9v#R14XpeBMmc9C0sH^t9w4Nm^lxFLm;4H%j6q*T^<*oAbNq{s0o5!Jco`Q8TB1j% zaXA0ZYQvZmK$Ke&NIQ4~O%_Hd}>{5GxZ%g<-7rwJ=v@bnKz8#Dh$kgn>H`}<;O7-YfcgJnVXqnM(m^U z%0;;RYmJM#Pp?ghh0#s8p<=3Qs!j}6Y&7vRmxgBI65L2xYvL|fP#s`fMWqvCq?!CD z{qvQ2O0C;3%tRaq&7{1V?#WGDNm z!l%`swA#Mu_?V7UJTd`jOzOo)!Dqu_4K&7d35i1Y*hidEyDz`%DTr$MgtKBKxC{nvTq&$F|h4Z0sV1hXYce&3- z;t%@yeEWE#r6k96gaYlar@L8?0U_$q{{;@o@VD0>`_9rJ=Rh!RbG^^zpN9cPrb`|8 zz%M&TT{Xn$UK9XFj-R}l;6pZqCh8A@4X;pWAx3g#8^PD+BZ0v6Q^VT|$z_?{;|}8| zW!2P7jHKjWMSWBjrSxpFI<{Y;nHbZBl9M%DssDYGh~Ub}JiRh3LJm#_EwwkHqbeBx zAyx0u3AW$4RVITj9?gaSan2RP&PzWTu_b{4ykPzKBu)FZZGmBAv#?$8J0`wF;ig+J zJVdcCbUu<|ndHD%ik)n%9}WVjDw%pgBoQQbD_uc~$pk+WO|9S05R(e@^kHoSzC`Ak zNg8SM$rKeKC!3boK&m3G$?t}uZ=4`bzV>uufrJ}3QYedQK1=tHVxS)UHH;zJsnA8DzVI8dX+!R+hZt68weI5(;IA!*ioVW>>!B=6B$fa9rH8k9Yx0-97W41t zt!`EDxCkA_c*mb`WC*1;gabGCQ9hHto^gQ0#p8&-Q<=9y>^>HlypBpyfaeA5pI%Ak z{gkEn7s01j5X7=tt6g=6*XM?X_4fXILEuT{A0NI=bqBwKx8sJSFUhN_0r**|VEuw& zyHfq^+e@hp%f9&MySOqO*S9~788^k#nviK?gTD7No~(Tizkxg>6m3gD=FBN61s zp0@w^2-|fT8NHXdLOkRN5wOh?%RYZ6*{jDG_^vS1lI;?@j{bA^xx(UEzPIrmXhqMo zYO!gjm14c3VUWKA&+k!(!@(}f4=7>f`o`7Az-$eXg8M64a(tmHh>!pgHng%oxLgI5 z4$YDN9k1zkkO|}Ol1T~(bZ#tIt%AT-FmLte!{O&9iHH1QwZHm-a9N4aS_SmF;MXM& ztW-|wlWLy1Jog;l4)UH|HkloafXPbRv&zcv)<6d>8X^IK)j!HrvtNe4ueda3;tB0@ zk)B-;NG-FcCf(yQGg_01^mDl&)YA*V7bjrpFDn1pQkMEDg8Qkj?g}eCpIgIM9CE7Pe>u!E%;(G7uq*u z4}*B!E7LNw;zP0(*JKa07$0k793hv#DmFnw{Jl#g2zqvdM-D_v z=%m7BELAuVCqXeE5ejOy0ko9F02XF*pC!yz!tjH}Uw!srKK`6aG`}$6aA_di6G9XW z@rDzhdUi(`*M9vC9p3xGUwwp_wBm52*usL;G;dYy?&GM^<0>l5efh}-b-%s*x23=# znQC2rXTqL#g;O69<0vEFU?MLZUS%P_%lZA#O0AsQNW zLX?UdhO$+iPf(Se4` zvrDvO7P$pY#gD#D49vi8(1?V`Ml7Lc);MNIL?)q4tC<=eRqVKi#{5Hr#5^z7gM&@r zW25=V}A&i%^5#YhsiirD-QUUO|&nz(k5yaxv7>V zB$-m3#oT_BvzyL$6*|M!apkH9Zt@rcaVIPv(JeX3rrdP zQt`PQ$2oRr>owZ7&*uvSp{}ABf;oq!{0OZK8$?$!o)qi$DG^OJ%X>*~J2&}sP!#Qw29S8W{q;=gP z@ImMADq104+~~h5DL#*%g?T>DeE`kx-nM6L1^h$N+rFnYpBM>Mc|PkhMzY@?iBA=Y zmv|j+Bc8<2mT~rV*gR$GJ(}qk6!RMYCvI0be-TNP;iw1`JPU~~s z2m5b69Y%Tv%_q-uwru{d%)#d+-J_so56^{#ld}MKe}z8=RS~~p|4Q5gTTcqVB5ece5b29LAnA`Y6tC8-v(RT z^jPB7gw17l0YrJ+l4D1vd3Npji;yD^FMjYM{(y|>CF%3E?d*>k17Wp&6L4F8x==lD zY7{t{({fD{Ba0`lXByUTsfP7%s-DnV_WZ(3p|H!DC$~DM~B!9 zy6Uqa9Va(G7Q-Ps^mc zZ3QEf!w>=E4M}Pt^D+7xd6#bIu-Nf32yW_WPqq1yNB~c?NQ+OckSWUO4F}ou$)KE?jtK}Sk}utFXL0Y1J~!`gfXn>O`)K(M>BPp5_v|Lmhoz_es1wY# zp_94#i}%Unm%WWi!wx>*PcLwnRTIPOeyf#CMg|G*7dw#|?e8~(b05S!E_crL%NuLe zpZr%|THpLM2#;R(b|-o6-p{C7bX2VU8}QfA%lp8wSgmUAyA-X>z!k-nlnW(shi+TiwFT%T7;2&8hbpfLFCwJ>>RFENp*p+3=_?ntgQUlE0kMVf8)X z#aYDx3G*XbE?^G^t$e#1H0ypJKd%pvAp4+CO-96V|HjH@%H9Yl+^w2ZXQKU`uOPqv zW4{JLAv>Pto|Kd{vceke0#0j7nER70<;23MJza4Ou(h8ZzH)Qkb|@9EZS-gY@J>ii z?8-f8u6JJNj-O1eiZ(ob1!~Q*Ag&KFZ&l{c_pVzXzlm9qQwg^T-H>Fz z=;U`ALuM%M(_)H3s?_|crqng+kwasQgGgh*vA}h`*@)VY%W2&{=PEQQqnS|r>D-5H z8|98r?#W@179vhNl-MLy4J9`Zq}j*KUG45RSo_)+pRSFc07&P{&U}VYdy3rZEPV!RqKd}}ZI4;G*3S^Djg-#qa3gD?um_FJ zt?#zE9PdI@=gZ&%hEO{bSl++Q9uivX4qEagWvWQdWdQT4Qyph(^u%%?On_85yxjOh zd&JfB;`53pu63ZiT<7Oj@#FyrPakEF6a;ubXu5+5#n zD{`0^)K0HX?QifHZ9f65#LKDPDHrYx&2`Yd?BQ800@PDj7E-F1lTichlI%b{fKa1U1Sh^$_8cN1CJm+kG+MDf}MREtyMp)$$pck+*Qn$ia!{$$Obnb(6f@Ms>4P|6`#OKtR9o zDB0!vNCcc?YlU_lg+BF#h(X=C!-m2g_aaolnIDA8jC|(*EIxsRfUo)mJFzI4VK*Tj zfwtrn$xt@X6!2a#PPCpgye>*I?+M=(u5XzNQg_ZPEpZet5!BHC$ACBWS;X|t&NFBR zXBMzYk@-IPhuTIL3yVN?%HX<4DVRO2b|hz}P}A^K;r4G!PA~K{vn!p-@?}(~R*@;0 z+Vq;X?qWBl-=dw6&`3hG}(!-c-6$4my3ryP^F##}FbBjUi%tHFBcmtfFy=%6JHA`C(Ll*Dcl zE?{INAe)aF#*6+Jf~N!F^sR= zc`&3EQVt}Kw?Q&wSudJPK#MXAY?Z?$QOnUmK9O>EPCR6xq}A6=EK@vIB{yl7sfS1H z89DKGZDzMlgJ42=QjrCdP+>J@yvpQAOgo3yj547tSimgm9ZHpc`?lBB}% z&6vHm5C@U&x*KpXM2WY=#RCUaV`e>qI{l14jGF>_+H(**Y+Z<5V0qUGM zE06=Mqa5>(;o+6%Hb744*r(R?Y6KiHPE{%Mjh`Nn#O+v)p-os$$Q>I9 zUXbvGU+5aXdVeaG)n8T>OsgRsv?IHt5EO`$7Y=4tC=yJ5d*Ai5bJCMey#M=(9VC28 zWh5xrE{kB;9>7xvJCHRTeL7|e-s>wO=O(XRXssH%&2-<@RopiD>%u@|%@1JW! zT+>kc>{nXVN#NZh@m7*Wl&OBHz=|R9uzvtY@oiR56#dY6HGbQ2nV7Xk?Qd=#{?HA&grKX`B?NMjZI{G~y3iLwqZK z$352h5hd+ssYHFveVbX#DAWta)Go2wzBx({9vdxg^EjH=-%V=$ zar968aoOWHej1~jYkHH}#Sr=QUn*uala;?`$1^mGWso`ViA;$BccCzC>1j2=?>fKx z%h4tR2{q`pyYZ(v%W57?gG0x0vzlu-Vo(?3(jF197P_|0@RI{D?4kC>$3v*`;6tKX zbd=S8QnQe%yv25Z!7qxm(g|RwfTCc5HJ4x}@vEI_V{wb%*IRfZFOjTp&8WYMkaLt` zTf%8u`ij`QPb0t!G#Iz+^?o^_FO-4iUjCSbh)kYaimbe8n5oh=FPCT`vPoKnv#(^KSAvC!r=TR0lpNqGMzA@%@uVd2p zs>BSl6V3H7_V(jL2Qvc7U0aepa=-oAzH>Nt!(oRpexA*Mk|1AaM*hPeOrMrRHv4^6 zv`LyHOU@x2(2*YwVMu56lcudT7d+e9-5t(4LQQI3p6oSA%Tw<>uq}a%6Kz~zg&z6r zbq%$&f)~1(gw6n05p7J2G$PXMK^b{Z9%ySS5&oj93Y$}B%aVkUY*LdZejS&LzPqvb zq@M$rL8bi$Hqk5Z`OCrHMU^6&1msDp;NVuLFT}0@`DMPMUXbEsc5fq-=n?FissXRW z!lB|h1o{Q@(FxLVPv(UP;_K9_ac_xb#R>0cy- zJ%h?5zSVYr>BH5l!kF%W7X?4dgQ=Tzj-t_`csc@8r{0c2)}-iMbV&(6*E}m7SgUpY zc@K38oXa?;d|K+EZ-bIv%WaJ`g-^qJm{*9VC)DzgW;SJ~^KmFnseQw@p?0~SN?B7W zZPjdZf^e#cb|;fzOt=ZHI*sKXsH-DYSoTQ#9)mKRQxt#)M_>>q*VOw)-i2P)b}g_B zLElx7`uN2AQBiImJGYpc0_ICN*H5xxDRL7)3l`mikcm*@4aq{Bw6cY&^%=GAfs%6r zcXNJdKL~3VCqcN6nFc8NLO%Lk2(E^fVvo#t=GOPV;*$f~W+JnXP}-*TLYTg_7z%{` z5;1l_zwrgHy?|_NFZq%<>6&wkh2Fg{V-2J5FYuOYOo9MLP{FNtKP^#MlzG|C*I^VO zk;sK8updcc31)QQ>v7c!{>b-pUj0PDzwd*@u%@ys+T2o0rlT+_)Ik=(bSp>}!C#3s z-m?-n&AqNSNvjRtD*f+XBwugpyM#$|WEUO%E0y9DYTAV6yT%EjO|AB@PY=nua#*t_ za1L%hr9H$wq8ZI6FJ<5h;l>%*s>M)xO4U7z0{ zAIsB(n-KaCF=($&6%%BwIm#2Kjxtpa@41 zCr2Mlc(voe)#Wo7qj^B;SE$46c`khn4Q zZBNZ@^=glXuY@p-!f?glPEx?;CGZ2u@a?VlZ2W2MVCREq?_Ik21PF{@c{VUx#C+&K zxdkvGIbtB3q@vKnC=*|Q9fwPuV<1;+>lj|ydD?^SI_6tj?OHRA8H1ZVP(Uhr!%`s* zSTA2yzG+>WwLw6RjX`ic`xx)zqz%{zV7dBrh&HMZ={?x^s%vpe)gQAHzH0*ON30?Jf}5?ZIWL>m$)YeZAGI@OtOE zkw;;(EZ1yUCi5B29l!Z4=i1@Ge(8ct-)P3c`d9{jq8g;`o;AQ^{13N4huHl@VJ(EZaP~Jf;sS| z!+mOcx8~=m-a^f5J*gOelt|)Qg&fZy@Y_LsAvQ-Zuu!rhU={$SPiK^k+~7{;3Iq4; z53{RnTL!sSe$N5EowMFt0&0V{>cJ+V15fwhUH(7pS=}Dyfo9Z}6lM-)QQ=f=Oqab402e!P;bd_GH>R7QH6e|p2c$f zCSW0&dBrVo10cJ6&L_9Ja>(VZ(c|rm@*fv$ zYSnh|P$ccW`XSWt{)YpDgp|u{#vkL0A)T>;M8XC7Z>;zOI{!H8;q;7Nj4OjhB-gI6 zf^xUJQb)+~aZ(e+F#GT*-Z5|yMvi8Y4R6#2k@1VRP$0CdJ6aF+DT1Se;5NzXDif__ zs`LJ^ws5eAONyIfqb_{|%5@T}?k&R>Q~B95<>5nh^82x719YbLjQkZ7^pnCBb^u5U zX(?LGG1>*P!DhfGwF_dv$74}4nrp5o@4g}lTG{u>n&4Q6z;-eyleEzpvx8yczyVU{ z+iONbKR}XB=DvjAVV0*YMXH{pZ0da&x$HqB7Je6E^SmX6 z@9i#beO8(-T{Vy{f{{4kcpP+=WPH&|zqLJvmVy1D&8+1pEiueQxYA7ih7WJ?b{b=Cj=9*5%C{)vTu z_XgPSBizCa_Ye%P4HT{~u(d3|PsXHq8;S9tl@*ks!dE!RVYeTFj1u4^omTHoU3`tgA^<cxH|~h$IJFgn+knYnkDQ$6B7003K2yf zXY43R`@teLkA8A8#+gZXauG(G{?DIzn8t@aa8a|Mlu-_NEc|FGzMrIvJdDM|qPOw> zHG!cFzJKtZxFNNk6Abj^zG}S_!|Qpj(iAqphp`%zThqp>w~ctMn1oT}V6fVegV+EV z97>nu%#zetbr@i}Y$SHUFPOGLq;GRLdioN1cnm+NHntlsnW^J5#RP~O86&oSK&eAj z*Id8aI??$T{t8vl^F`Ci8R!li{9Pg~{uf;cq=d5AU8I=`#)e(OH_}M|Y&h?QeE)To z7wH$d(;6!MNQjQvxib%6P?8QjZaz?=Lk@q%j-})H-23F!*ro&dR}0P8FU<>j@b;U( zkcRYSuaTerQc9?$E#n$`l>*!kn^HNh}+;U8`*5-r&n-Mw?IEYQ>IS)LuO zLH4^(CSg@)&iOIpaZc*O+T6yy%cFbLmaVT}MHaay<*FF<#Qe%|Zd+3G70OI(&;mlE zx)(?0n7xNWDW}=YxB%Yzxf3w&xryoT@YYp*0==BSo9O&NbpXcIg4r$eg)YJT1vkG( zUvSw6jRlqstuIC+rVvUhakLwJL;wq6p4yj=)7Ee1AkLUJ?U;HmdMzN-H3E$wGMLiW znOdD*FhZJh>yB|+(5S0!*-GXN(l*Bcq-xmS{2hs0Z4t=_%Wfx>A`)0b?)H?hq+<1T z|8wkLQe;Q28@=rpRigAc2>ofuq8)?i^bQ(1)7O*cZ^$iv6|Q;u7PD`|$xD(LR{ns_#3Z;JcjDeV`ddB^jscbzMTNNYpl(NA3?PVs& zgMN)N7~4EubO!?-7cT&SHVfQ{Tj1eYBS_p~oKE@?kx>46qxM`rpO=e1U`3e)VTSsA z5Kmtat>D&dsGn`8PZO7UIO|2JeICSqNAj5jer#^AvFFQsMBfl3f?z{8q`s7kXG=%m z$L!wzu%cPJ-`)JBoVx4krbUjhD3-?_vP9AdAoD^;bWrl_+&gfkpx<6zVLG?XcNXwH z_w8rXTYB8PK)1I_^_XG!CgZ-C#N!_K1j*Qo6^@CCR>;zc-KHlJ6Uek)8>=?tE zAt^chnDB*>Hg6#MQhRsYevFaaNWTBwu;HEA-@B&4bJ5q*|J;MgQ~j>1L7tx8q{<4j z>#XJrt?g49>f8dY6p7?-v|x~nPo|>0THuSPJ>_zdaDCj|Wp)CR=3b6HQhAF&nBDyd1HT|vWj-isCUZDL&^LA9bS%r8)AGRD@&KT-N9muz4mH8Faw6^(#1 zJM6|jDvvZawiO=H$$ZI=%61EGh;I5M?xJFv?}YYEuWxLsZ|qIKZ*016?49Z3OevTu zf!UT676Yh>$&=#|TNnq+FJn1h*hnmk=*)?C{n!obI?ERLv$9$8sbqIh24d^NY-ZeC z_ziya7aueP8G)J>D^M;3L6l(|b3u(tWSL5Jp0}HE!kHLYUoMN18I%Hj>qER*a<0fj_Y3p7}Q(XT56NtvRpJL^2s83JI#4%^;lEXXVn&a%O zwgbpNRer7aJpbl-mY9|uBhX=2ELb!rw_rTD|d! zc`onJWP!u|+>t3a{qL%;f)jlx9;9_A^uGZm;URY#k00#fe>&x}x0dQZ?kvaOhXv84 zL5icqoO$Kb;-r6bK9wKw`LCXEF0pkYs#98RlL_-d7S+Dmq&``x;gkRqeWL!PqOZ^D zfHtv3RFEuT7xNO)dLO9{B?Ce>ys4y(yg)@9=^xho=i}^XdeBy7_H}6v24BT^BMWd) z@w~Bw_4XM@QEZ@#Tr(9>#yf7~Ph06l?PC?UV#x;vq?f|R_zj^SIh`M5lZZ~eD!`bqLMImor zR>O)+K}b$fNGa!#5A+EmNV=^Vd54o9>gONo4;+roy5nx>k+9_9n^fy5imV)>wsuH`XV4>99n&mZw&piuz<@|s=&MHx5Gzs-P}xUtoT`>^WV zDJ&j3GF~z|UV7G?D29Rn-(hUwkb_a5k8@0&P|nk+ z0(aqhaZ-W)9xOByUTgJ2o#S7IbCr(f*y)j@n4+zgIr*x^PbM{r=w$gZCCXd9IHYnG z&IM#+1l8Kox*@;Y3GgCTJPP5sfUZ1$=x?#)2VYk2iy%4&`%;_+#tkm5YAdlF#c|`G z&3vg8Ugb2MMY{JDN)7MCQkVgs@13S1COHp!@K%dKdycO})Btq|_!nG{gF3ygwuOxi z6YPmxls7q0KHJL^J~$C`VB?&O9JyJ~&oTpyY|&bQU;2Pw+I4H>(HndpV>f|-i$ z%+C$8Pz(Sg0DRmPc(2u+nw86tJ{KI0ojJ13ct6#l*Z*u&;wV@$%FJbZE!Lya{<$tJ zGIVk(6Q9i@YTW*}A5q+`3(I8s4VQ&!9o)=(%hx!XQ_RUc($&-Hk5Kw2jayDW6AZ+D zX4XI%bERktH2R5!THk&Z-+RMtVfVoI$DKG`{ynEXKxqrS0r&fB$Lp^uu%#u&;lr=T zYiuEhL7|7FdHM&~P2Ob9=*86V>ZweGX{W+Dv-5r)34P^L6nQJ~H{Bp)OMmu%_&ev1K7dq~Okad9m zJyKYo0=4C`H(_pRv1a-MaYga)d!(n2#7pOD3X%~K-Mdc`9U`uUb>yo~+CGVYQZGu` zl=dIdG--O&Wj3F`Y#=1uPq8V{cb!_|Yv{HRT(LdIhUA)4xVyX;gl$&HjWYS$-lB zSUyeAZ2i@`k|?;pm%uqkZDRICsYy9CwBQ&nwxS6wngy8E;mgHwyJG_)R*1psf zkzRl`5=##)$3BE9tgy^_(V_1eA0b~1r_ZvvwLGh=-doFP&G9eKiGcE>-Oalna@@{S zDG$Usr%(^;RMR0#+f!{8@^oYQ{FMfXZNVz2EE~Et-Z`43@e7d8KQe*=?4kYt>f0U@59}sP{^gT9Gk=6Naiq^NZn@S`A5)quCW<5E{AOC0+RND z>5r18l5?c%zw7o=G3x%{UPA?8De>5QoWRMtHdC1m4{?sXM4N16hxHxj&Cr`vqU{uZw4j z!%=t_i}B958)N8g6GR%XiWcmtBd2HSCMEjKaMO%j%Ot7@F`XL{@ zu+Ipqux0apyzMbh<+X=Z`W7f-P=lG+2->cU4{;w}&rg%g^|{xvA%GJ~NGc{nH6ud! zcSDb(v@>t#$d27MP&t#kfPxa;wm&)I%E`JbULBn=_vhm~ z)}4$Y#HNH4kH%9!x0r?%aduhq?2`hcj*D~V_h%apkZD>&^qx(|vGn&5k_GJ+3Gl8- zHeU?dv??`6hzJav1mZI?@k=)I5%D1t$FYe)=7rF1BbzIB;2QH!3S4Zykb4MKfg}jk z%lZi=+?9^5)MM@%!Hw{=C~W*&pXml+bZjB|L`Rry`+y{45nrLJn7a-(lXOAc0Afl3 zeY0{yvMTgaCey*nk5+w)WkXKlTrZ9%^I{0v3N#xj^9bByy7dbErX5R!qDhl19qMMc1I*@x~sLFck0AM-EPRRlJ6o-Cd!5w%RNMR-(~ zY9OEjbw*|?THeS5v)T27N)+d@2-49+-0PYIlTPgAz0Ft|P10!$|#bR3xD5^GG zqq7ua@9mKzi3SId2etAMc`?({7lj2jT0)4NCQNZN(iP@EnCmsZT17Ah@08Ey=YKrs zvUnxRXVh&@&-!(Z{(mTY%b?1ZrCSuIp>g-d-QB%$cXxMpSU}_M?%KG!yKCd_?k)}7 zwf8yqdpBO(_v1ytTs1~zRZV_CP?;k$Lvn67tL=qT()MNBSg(5u1<#&ef99}mg9K7c z9b0+M`xv7DQ7>SysgQ|M=AvCBcKN@z=Yzj!<=>Br5N$g$3xW`6eC)wc(nfBrt*~az zxbi$XsH`%uP6FMhcISv%2lObGUFXAoy`P~trfz)cP`TS;fs!tiVMn79O)$IOqPF8sj;k*SzLJ+PLD59fk#8iQ2(C!^DsP&j? zGt8x_{rvt=Z=aPae%;>4PLHrjhJspuF?q#3+QJmVaUYW1lAZxmi=ej@6m&x6N5kd@ zVPl$g1aj(nq6T##sX?>Pizr8%>r6lGwn-nfbaIkKJe%C0oEFBRqv;q{xA8=+%mh6$ zCNT+kDPOmi4aT=|vRF}RuA{5DkH@5-kl&K=OY7{y@>zer)nNnr50QOWM;Y&_eJ0V1 zPivPsH3j5YEVoz5vc5vkuu_A^0l{Vk$q5`M_FBg843zB8=|QI- zrIg@DCFv4WmMbKjSb+a!3d>TXF@3`;xpU{mKemM5#^;211sWSL~G6^B3RxP{~F? zonr&x2p)p$pF%nJdp{RT@a~-l5IWC83@Dyfa3k@zZOGEIEvekhINi+1R&J-d6IKDp z$5zs{EF*O+41IE2t0o_C?g(z&+d*e{zwO4*4E$2i^11nwSeWYs1>zLgO#?0*gcvq{~T@?Z9a>| zA&)rwflD>-{bdkLCjDJa!^s#HJ+BSK@`znPyj(y&qvj2@*sxrnq92Dzuo*TIr!5i| z7q|0Ig+{GX+&_<-qE~82MVu0)+4n&Oa8p$#lDKiTA%)%8-$r%c!t{m$0m;DM2X(5j zd4=S_m3Oq?Xb&Ti2>Dg9Mqbu)gI;UTN1w9u3u+pf2N>?C6_cqN#jgbXEP)^dr*oYw}hq=F&2#2~nEiqfq9 zbi1=hpRnSV2}4&9l?fkPa@ZZ-2+ZS>dos`?brdb67b5~B>MbztD6HPga@%%!-TfR~cvA9_F9q#9NIr#| zx}cq2Gp|}3+OzJnm{aM7d32}b4%-vEl;QC8eau$Sp<7zpygMs49 z z^6TyN_^TY?^?HB*HZ+ktc>B=tSQFj!=l=Dz@tI;zU{8Nrx60m5?(@aB$NmwvPWQ-BYS{4%JX2vyBwwaX_s{U#CIOm_J6 zps@;{$3XRITgLcvT_>}%=w(lVjBD^e{C$&W zl-cr8Bj2RI&Q?{&NN{!4fz|wK-TMuoj5rln@%~u$WX-d$fI|`_3{?+-ZtdJcFt0MF9EbpE{lD;~f>Bb*tSK zNuwD!-kSes40LJZsOMrVEyb4OKTmRYqwv8zdtE_W!ako}^beo>O5Z!%10}pUKm-xr zEdnoN-~#GMB~+zvRiv2!)2$$V=I951G&*Qtov!gTAjOQ|W>L6saGN4t$YD$#Z)8!T zwAdanji!EQqacxsw8843hl1aN6e_KH>TOxOmd zYZ;QT@d-N2y2(hmU8TMn@Ka^4Iw%rfBkqD@UheLAq=4m1TCQWL*zIY_ZcHyH%M{&$QV6dIalzF;cZAz-*j_yel0<}yhDT^Ek zjEH{oS4mt&GMxi>D!^;Pq$NG>Gg6Zg;j8Cjhto0|?}}rOnvjTLHmg(5qxn#rwoHy@ z8pY5@Sc+J%BDEAuUDgt-L_ci6^!i33a_%TIJ3M18s1|ac>)?z+()N+x9%K@aFdsIP z4kp`|4W#rRfcxINPcu%>sB5%m3N#)X?n)3;W+!SGBVYsSjJJgtk4c0z9+TJ?6xbG> z8+uheT#htRsS_17J(TM(XJe5!UQSsKAsSIud!@xt$nG=MF@~ZWoL55fD!%Cz{mT69 zNNm1Q#OCJu4SK?bN*D>grGAbnP*>V|fCNKS1FL_DU*ONy^!Z+uT?Jp8!>BQd`Pa~q zIxJZ|sarQ-qzrk?rjm#~sghLYlIhnsa&z!M&EWGB^2ox%8&~3CgjWfxX<=kn$*3)| zCSv8HJlDZnv;a~XlIE4Vy=v{lEcMg$kQYcj1EAP+sm6o z1h!NM*=^H^TkuNdpv6z1QcH#v(L7Hs#u3CVa=Fvzg=z-YvWlS4(F;}eAI$89WC~Wa zJlv7u5-oN0E#*+zQ4UNNR~=J~lP@Cqa8^3b#x}4sU~7x5Df!;ZR+$EnZ? za~eo)tcN0PLrgcp_|F$gM^iIl)rnU900ICy1%ykC@X-^XyIme_kUCD42b^`dmo z+N|F9NZ&N<1w~9@Y^*r~$vS z(Sk(^Qg^&4b>0&>D4$n%aLr2l-E=wwxiG3SWV~fx!GE8ID9c--f2ND3aKA#X;}@XE zV2o3gtJ|s=lMG=P=4pm#&qxGPU2R1D*Jr`O95h@GjLBsDGYFMlnH|_o)eH@1;%1ue zUzd)=QuV)q@ov`Us2@-kV>X@n5#=Vu#(`G^!4X%OjgxRDEVRt`cX}xG*x;*Rkx{&V zXPHUjUFj-%e%yIriB)p6^spDQK4t=bIc1h6E4`C5L;Eis?|^K6DkX7-A`LK>?j2$r5D{q<`6I~DR?|4c&wA>8z$|K`z=y3&$2

    o#Ka+h50=ZpwhGeUZpU9IwS}^{R4*%VvaqW%}v62cf~;z`^B^f%M-` zz{i!K061~>z`TNT2(KuKUe8&j2k=r5;+dGTjg+2X5k4x)S}Y*8sks0UVxsk7UAHeu ziM6Q8NVRa`*$rtmXfz&*A8-bYPzJMysvsitRgknniza!5{`NOB8jA}WiJ+f|{%|<& zdPeHGKB@CN(*XvsBR%xLo+7*y1r7{0X20yTYuuNIvz;b!NoOdM-$dtbQdOGt@nc;{ zOqOL;+^33LkG4h~7hd6l4OJ{RGs=u9A8>fYqLos%)jldN3zKfo&a41kJr(XFcjevM z_y`pYzF$3Efzx%Tje~%p4oTS-K48{u(TOX0KZd>7MB`G)%h?&w)!>U^0G@#Ec6;Dk zPE=sC%^5jW-APb5&DYWD@py3%{xXx#8k=fnx=(Y{#dbSU% zwwFoJ)+yDI%2^rnC9A!zfA(2Zs?I@tQO)m44`X4~G?eJ*45 z>C=e8c5{umT+y*eN#|v6W0DYH?b)Tuji z{j?yrl&dAm+{Zw(X?=3TGecB}4(-6!msuY0*NobC5)Sc$2)*D?_vGs3` z4_)_evM_X=e*?V9viZK7k<;Z0$PHvq7dv}dpXwPTEW0q6C5W#}WB&wr-W}Xcptnaj zoHQjyV?H#uGA%D7Xn3esis$t@ToaI2XUyeb$Ry1@Rd*=gM)Ikvi5iPHwTVNQK7l?S zVz_!;VAco9=2R(1eu~YP4FP_-Y}F9rQO=lm$PTy1)zxaHnFKg)ZOVRp-cL@$d&yhgz^fTHNSR$y}+c$6Y^tz3^^-t z+<VGmf)}Jw4lW#rk8y9frW=}VrrS}igjt^eQ_X7rb z#|Qt)_YV?}5AFd!n8ye2fFG7g;eP~RP#HA|%!K7)0PixrwJei^uera%EnRq-J`$rS zN*IN3fOBDf?=pgTz|pi5&`NY9?T^41mNO2%vD_D_@ua0o6c^B#sW5t9exAKR7WiZ? zfCoP53-Xt9N$(<{fFAIKRvH|)56OaRi`hrI5uIE>jlICOEClkEgoxyvU6)6gjEuaz ze8m`7Zulo>0n6P5A_@P&Z)ZKaa!V+vtj9d_o!zAXA2?2ushogNJkukiUaqh!Y>!cr z6CF6t&>22(M9+(?NYLZnHuD{#*bG!`tgA*x?q9oNnW5+K06BSzMCHpI1A0g~`#im~ zdj%?s@;)OjNsXPWn8OYlA#cjBUA2HSA4e~@97ayOYO-%DUvU!xi=T8G(PuP(*L`sM zuX`)@++r8ds@JPM`zgTa5P-XE`UvJJ)Vo)F4wM~_l+nP>$(`4aL(mJsQ9^NcZ7A z;G~I1Z2hxxuD}R230}j~ zsXus=q{VB4w-eP{)qa4UHTbB>zdj~;cHCvA`VeApv-v^o26coJ5Mu{}g{CGhI0iy9C}r_2A0!PVJ78-n7g z+S=!bJ|*_>A5i9Lm&X7OaDBABJ)LZse{-fw& z-K>1o=l=`6S$RK(Z3Jv(xM-xuFpXPLZa-fRSmiKXbkfXKn=e08)L!J8|DZGB4O<_` z_!1e}&?jmRuntRtkS7Z83SJTGHX|r7OpzM^DuX)HQup!!TAT;-Z=!IyB?q#uR)1Ds zU4+v}&~s#?JlQ+Ww|6lZZg=lL+dqU;YI82icr+`s?p2U6?5FKL&$v7`0S|*E(vWCXtvAJaw4$C<&tl%vjFp`JTapCC4G^ZAx)@kSX zxdl*&u0G{b5@Lh4j8S_xqe41Zx6~U0(kKKs9Wto{v=IjEW*Yz6e5~8G7;5=7f2x2@ zo$^*^8LERY^t6}fPzPB5sxQi<^p6(QWi$z6G{5pp(NNMj0)FcjlLas8pE(2Day5qA zC*l20e;cIW&*bmnW6h zmP=D4c^iFiq2xotmw~pe5lcSAu|^_!3#A3Lnhql$TE?C$GAZlWGKeH6lir*%Qo!)8 zPfIK&GqViSTqEkiHY%w8on)~RoEjGsu<2hIkEsXNQ6O$y^E=4n<|k4geYD0)Fh;L< zEj+Y=1*i|i7_E_biiyxFQXK#{lPYZUNJi+Q#2nVBrB`DR7aa-F6NY%@CqBH_Ey@el zo}s-)2s+taRNdeWZT;X)Ml4y#vIk=wgB)04WFU7$xL@`w*e`<1y88KtZWm7om=Rfgx!8++UD~DCqPd7MlYS)3uX^P_v+1v^jDX!;bXWz6T&Sj; zn?5zsT2{mdi~yvVs6z&v8X;p5iKOVQ(bF<(Dq4K7zj$|y`}Y~_EClaJlOCKu z8A)99F0T+cq7{$}8RQivF>l#-)-sY?D;Cv!~WQKb$C zvmfq+3q$w-iY4u~>s>SLN?_M*N=mQ^rn86MB9uN=tM6*eSpmcgm5~Xw8c{q`9Lm9$ z?8?gGVrrkBpim`AUW|0Ah`c474LGzO8YcAyPFL*PGU(0gGP3wCTCdS_`Jucx;4D&n zX{Hs>Q0N58S(?$Gy#vIFkcrMMuV&@7n`TxG0%6$pha*2>K%qkL9;2^Zde zc|^MT^6_q`E(82cBS3G%*@e87O>tmk+e?;yT(1?p?EgVRI$}FzHJRUfU2-`Iuu}59 z55sKWbN|6E-uB~5!L-E-BPza%!T~uWbkhA9pJGa1y#O1zwNJ}|pivM|w0Z$@C<8)3g65LQ6ZU> z;JVgb0Q$cSVl3SI6(fC9w1iv1N2OM_cK41CnCGPwf1MKP{t;del(~xNvPr`a^~qe@ z>z7#SQJy;PSGyo1>L+q?KuC*tr)Ei+a^e+to-jHSar?MaI5wU>vDqoS6=^ zr}ZCA%MFH%3I6GZ;X{HgIxLEjl}TZPabXg+1-Sje{XL!riV<#wk&=%j3L2dPFYt5I zt;@HCKTD_6$Bp@w;4n?W^F7kJmR~l?&~TnGNKH}{^lVIQcx92+*9s&AcbwyrOxlkm zY9lQ^p28l?ruDaMDBZQ`{lF*F{K6vq{3i(&8WI195X#=UyQHwsCWd5~J1Xzbe;) z|2tT#Yzt;wl>fSkH|PfJ*7u#ID?N}FE0UP(JTiv~qUKE4%-kvfE|*i_?bl5!IRx}y(JnWwN`$~zFK;;vZuwU90U|!^NWm3)CUu@F+ zQZ4C2kl?Rb{$N^Dg_>}F0mZDKb{K~7+8?M>G4LK#q=nzWhQ3l#t0$hCv;F6}Zd&}j zN~ct-fzqpPYY_e`!L}sY4!8-~?4X2CC-L3hRGehiWMqBcO`lxgg;qu$^VhF1g{n%6 z0-la#hw!Q^%#`@g00)=aRd~oFA&lpzl>Q%Fs}}ElP+)ZlYK!={9J`aJy*!vRtM<|FVM5wuO5%jafx%8#fI6ZzlcevBJVrSu2@!osxp$SV9su;?+n+bRjdFXFnm zV~Nj&WZrg8Di+;McBZAvsi}6J;TuEeWe5%N)&pJkZR|Qk2atzV4OF$ip{JAH?{KWCSY`SjEi36^O!U9~*FB(6Vx{abe6&Zw~=om$4d?mwB zFBAr{7>hLuh{zwdjV3JLR1FozyhBFo_jKti<$h6t>dld-DB|GkVADWlCiL2^IgE3 z_&VYZ@Omj5hs#10j>5-+ytxUFqnn%bt9nXCghH%F!b3_6s+*q!#b7x_v?)P4R5lF2 z<&zP1di+`RFqXJW(W)jU`Jzr|*b0BjEa?VS@0B5z@R-9dBOpLvG(@*kMo&1Iq8$_- zFi0$Npl*y=vabwdXJWFmqJ=do+(5>be7b)5aPLJ=AI!| z6vD03M)I=e)4@(t!g7>v<_KMKbS>}aVF_v@yG%8sb7HbK($APmnd4%eC>kZ_Ap?FC@>FVT0Qn)IEOqTv5AKoYqmmQh2+rK8LW+pw=z_1hf_er+g&&)O9$vxlL!9>HMe2>F zZrJMU@4{z!RaKpKe>*Dq9~=y&aIyo1vzPLpa!(8hiZL}>z^>E+B#C|)M11oBbL}wD z-$vB?6S(Gb+aZ7gTMcS}n&))wkhf0cY19t0p3MlZ579df$Bhtl;5f(<&}Y_T%iXkZ z)zPE*=Ly-)&W0{+hy2B7D+=Mn#HKvvg3k(q?Ij-KL4unA`*s6-On1IEMDS9Gcoe5XCFzPK`9g5W~W$XGP^pyA!9*mb`KO0F-dxg>{ChO>}EvQ+moUa&ii#US6&$%%aTw(-xjf=_Jea9@g z)f2^~2Xh?)A~?)~M?Fz(dax$XAxZ+aHa6bSB}Pjvp#N3M8mXaFK1W=8K-xIN|4>Z` zd#+r%)(X~4S81{x%}iHp3iioZdt)(BH(fVJW-Qo^D&x_#QB&FBtvU^&R7JJ@P7 z4&$G53=wf6JXRPXO-VVoeC#5%Wu1fm8?R=ey*SHn%sc%7BzZTL`ZTRPD`(8x_|ZRU zH=X)4qdcp7%$x2Bh%%{9v&yr!#=M{ZL4a)P)130G&oS@5XCTa_KFur7A{h6Md;y|- z>eGVqEdFtC)mI=Ypgt`u&ypMW{_zGxh191-O#3z3inGz?6mXj36+ zhBa$H{f&)for*k8)^oSAQD#!!cS(VwbT-P8xZ5S`N3|CHgRL zxj-pn_J!TR-QcA3;KM=yz`lm@sNjPu_|)VJKZSzanYg4hrU*S)vLq22B8B&{>D#GN z34Q83g>`$IXl{YE3lF-k?m`9DOrx!CYW&MJ^R1JuL*mvT+@EZ@Ax6Fb zod1IoE*ZIw15)|nk2CqBP-XhR(b51#bX%>u9NH(fs9dw;WVlCZw)M?g zl?LM}SZj9A%98YKy_U&nCSCkr9%Dwm5?Ol7I%}J*)5Y9vSF2~6!2zR`4#)ISka#vX zke1{mQ?0^nCnO?<{%$&k{%0135bi{4!l(FwRD!js1S4&O?L6No=;~sK%jFm7)-J%J z3myO-Gh|Db`Ppbge_5N3;(iG#`{$AIVYr05h6c%L8O0MNV>tO+z#=skAsXo#ZSEE% zrf4ik6bIw+W`IG^oq{8!YPeIL7Lj2nDp?Xir!@PAqzr;abXRS0Ff%FNcI8ox?S4*@ zD)yL*V#8!+6>5~}Y;Q>*Z^pFSm6kq&hbsbD8c65t)*Q+T8h&&xxf z;KyOzXReqht=q3eI7mv4;5({q1aDP0@j9u-7sDsD_mn-H#;5|HM*H~{by34sb$t|D zo!LetOJdQbDjE1-RT^W%T0>J;y-_JZn1?HkGKu!$xQ2?gmZuu<{!{W>8+*M@qK>&4 za;5REF)q1wNU?>vc|G39)aJd`@~|Gr@F@Wq=J_cn>J7n~SwfCc=? zjz>B=WbhOMIL*)M^)v#U_K?W3oxP08an4)8=HoJ1(XBmPQlK;~ zXhrx}!eE-K}4@mg60tx6y z{Dprkc7ViI3y`>vh@Qu;LdE^t5&g1hZq!4A2-DbA{epDqY}}C^>I_{c*U}QuX zX$I3;I{Sfby^7$Tu96gQV`cS&^>YhL@$(OMpX{eR#nfNg*mjquuE^0#)i1a_#$>Tg zsLEIHMrLDAbRAaTQ|GFGk`F!5KJpuVbj za${n8^YkWX89GHltS%cbh6OW^r((G!&n4-sFLOx{j`#V#Gu`8&h z>s5Uy=Hr5dh!6Q4(VdG+7@{J(k*i7SUoOAX=L^w&^tQd%En|D%jSZ zVMkn_gTA=|_B6d)7nO^C9W1~CVe{AmJ&Y~P1D&z)*c$EGx=OZJoR}uA*cjq7rXINh zQ`jI3u2}2aOV$x#Qe%}+o}3ljtu@`O7|B{7V}|z1TW|hHi1NXJLjDIk*?%SUZ zM8!WMEy06)kp+nsb;ou6F(5M{5or9pI1qdUw~Ec3%uJ7j^ucm_rEX6e52QQXAvG2{ zu5DUg?Xikt?OO@~ft%kk;`@$-fmJJD>b7Q!3Ap+&0P%espcp-9s78_c2#=#5+J?wJ z;0C_ZOoYwdhqEyoY3LYz93UyRXy8WD8VHx8`0D=}BufDer2a9G`qzM6lp1P~U4*-l zM16CB_yww0PJGCJgW;MV&o1kh&L)axY0Wb0Dmoh zV*j5#2d9090#BNKLqGAZIENtq;H$f!GPmxd*>CW92%zsPx5CVSD&Ef(AukhdV);-N zVDB@cyL!r^YrZoln#YdTlKk-t?O^J-1wsI85LF?Lhyt;u@Ap}_LKQ!F`EiR8q$Dd1^>wxZN_5?U zL}plKh+>?jV73gyq#ZFJ=Kc@n0pdLIfy6y~IH9r-SHn0nEHbS5o1zyC5ruL?U5_Gc zF_;8aUMjyqq(R0D2XB4Em~T0z-0{yh8UkmrY`afYi4WG|?k;!{DD;OcSWP8?MW!}#Fo@0bN6K$XE!_{6Nx>Pp*x>On~`y;uqs zjPOJgyX{c?GyS>^Me4QJqBw}KC;HFy$hyC%{1tn|3TXQ11PIlUBW~OY%!U}UH!V5P ze7sHTWR5C_V(9kfuiUkVL=FWg`NVZtizXyMCgNDqk(ajT-tbS(=$SkHZ+)dudf#C? zBo-f3{ArC>Nzt@6<=I`3MJzk#$pRcRixw$^>ojf*fJvqFq|5l1Q9{`y7 zRsu3PL}o7+QQ;$q_j>%^UVF}R^*;Z0`rY1@9vh5qzAcyhl%JWQ7|4A5zep+BgkIM& zme<>nUq7Y(|7s}%4-*0I>t0b%N4B23IO$u!|JXOIrh6hNh zzAxTta0t5%dkK0@b;a-hzQ=~`XpuT!lED|rUtVFdQuzaCSHq!x1J`?2uBfMX2vk>e zVII>)R47&94%B~iQ?)z`ns}OSS0RKhrf#kB{wtRN{HvFEMeMH;^bZWWb!2uqeg0u* zzjV$2^0R<@E=}3S{Nqo5nAQmAIulYb6f7w(4j1fw-rs_1#FftUL5LL5L!v z_;|pqx*(WPOo%8Hk3J}jOrE90fy|n^An}v3z}#6l9DX+##;rqMM6oyC4UIv7D-Ha_ z!?|I4x`vst;T+E$M&G8{y345VdULmJ#AW8ySw16g_J_ofk$OB#XObzaG4h!oByDnd z@tc>1!Ota|Vg2vlH{^`j_Z4er};-{czu4n&$NI_C1;Z)`On5qS`UA00nDs+?GB z&uy(&9-kZ$8B9*Y5O}8sO>fCAm4OdYfu}+fu}_wmb3otsWh-y>tjz zyj*%V(DH4vKmMGk;H_?c_wxNX$3c@HtjIe|zT)VgJbzr|6uUyaj*)F@d%Y~_?%u3A z|2WRv_rhDu+$^D*0jj=qeO)^?w=1v3ev2a%8?kz6T%UU>>h)lYaJyH%vM-I<5x5Bw z8uI7abvJ1A+~j+w$hM(L$t_xry-@%HlPNMJyLr_eAKl5|Qt@QgmD2g=jppDx1#B{) zZm;g&pJhR|YY}Fy`|!U9`ch^?PA-;}<=ITC*kd%5H22f3F2bZ zml`_zHV`=Lsx5O2TNUR!2C+$r>^F9bg)YZSH_R`N_8gKC$QGmECd9`PD5wJX8WDSH z#IYPRkyk00D7bSMGM^tmpFAjPGy<-&uU zWeT064C^K=azlboSae_uC+9KK2tBsa2pLae4d~~aMfLuq&WJ9y;7l=X9VicC$vsuoTz=bq>&A%XQ`W<}|IqBHBV@>c}uczkALTl4Z zKneHdw)E@y$6>TLLkh+6b&Xx)hx1RDKE>vE75tuy>Vr3TN7aI?GgC*tcel*_xAxX@ z^%?+`{{0)jp4)9~Q|R?1dJoTY2wmMecPsaHvU@Iog#0o0vFld4EUw87^m+h~xk)A~%$uTYJpP5o`G3wObp2aGTy}?dIF=)ZQHQWz9Nzt9TDY>2w$ScJJSaEYr&) zy@O4YGuld;bXQ}|FepFh)xd7OujV$bW%u*T?rQSOuAKUMEhp1i){Bn}Ex)aUVf$dfttS@S7 z%DdV9RV}voA#Xy8vVg+EfHu;Dji7#zXGjDqftCO)uy>5xz&XQVvJ)S%!#N|-r^H(m+B z@pTM8Mk!*nIDS5K(Mkqpl=)sHFT(TSZ=|FyJ;n#Z{bwP`S0tYsG=+bgi5~Lk#6}D1 z9lo!7RrAZ7`6go1&!6S)iH7O7nT_e9-?rv@f; zq=P;C^cioj#Ip{C8GJL`xDHg=HW#Q=UuG7={Nk=`o*n4&dU{@cxCWs9!AV4mKrV$K zk?BHXxl+rtOYg%Nh6ZNIW@3rjnz2U1|pZAydj}Y2xdx7if zE?~h~jP`_YmyvnLsg2J;>f;pxDr>)t>#&BZ1prMeOxM&cxkyn2#7y7fVN4Y4YzNb; zq-7Y@P?IJ^2g8{|(vK8)`V<}x;@n^Yel(;+g(!|i3@*&Ywp|V(u8!i{y!)1rM|gd` zipizSj77_+OExYw<^JGi_!|Ncz^tzPWmAwWWGGR%dv(NU@RA)dF=>q93^7})fby%P z1P(y;U}9tlD^Iy@iQPKe72>Yf)Q19*@$Pda!5O}!~AVJIctT`2HB^w zf9c8-QNX6_`{43GSii{tx}^kyk2~V4?en1^69`5hRQkZBY*#+c#ZnY&<2IIgZsDZI z1+y@&5<`r1peU($G<8_nug!3dB8&=D;aos#*J76FL&hA;#j`(MW#?8oa!W8rpV^9$ z+=rqK(>?i0ee4AZ5zmz#f;)pYd%Lo^dz;1`48Ma;HERBI*y$UVO6zCWi@|)VK!*1 znUU2d<2{R@UK2y}p2GNoOc*l@I0*oSfY!*xF*1cOK#g{T9%lns!e%+ts{!>o>mhe% zOzbeU^rO@v>NrvC;)>VkE)6R2jbPtM`OPof6K?RCJ=!JACZi5=(m0d}SF%h3e02&Z zT+qk;m)HiyA(5L|DG3G%CaDP--S-9x0m#N%LMM>=_=Im76VZ^R8#Qt!R$4;M> zgRVv`y~6U|#CC`kNma;t3Zdl+SY8O}fE*=NLLQX2zD_B&FnRe1+~w1@+S;XS>(*mj zGwQ&!MiA@QZK1U`%=P2^4>;)#>A?L8HOd5r$KhpvJ}GJ=qGzsn|3*wN za#;&Zy%;C*QX!WxL8D9R%yZRz_F9(@G!(*1{lc@IKJIezDfj0$n3MAe%1Ui&?8Yn#sniw$Gd5X4iNHS50adm zwV4kc1c1D;MM$uD9yonb-@u$y1ozP_Fq{H@co*6;7UxnB3UOa4JFZ5xyb-Z!FFk&n zyHV8%>l^&sj(;Xg?|nxAwWIb4UTg6LrVxxo+41Ti@!9{4P|8gHCqmiLGjfQr8S+B2 zrth-;RjhV@236B!#vT)0P$!vG2T&`iV5!*@EXcZ55Uxt1G-gv!H_s^m;yZ=@hdfd2 zOw5JF^kcO+N*V$w&UYHcVT9MSv&lqZi6k##i1F_h?l+yIki}EFVNY%WcGj2=IB=T3 zo5P-51MIYc;JfDN?>s);y!Cm=t`K1iW@-xo%#&tkp_=%(;IK2Ug<*iCGH$N2RpDns z);2~wf;l)TnNbhK#U5mBy#xbroq5oH9t%LKeTs+w$$8yI`WT2jWUn%>ptFSxm;?c#e#BzP#0hL*!2q6e z97uvVX6oKt^#sSUhyT${AVeJRtOd8#sT6f5gJ#J~0@+Av<21yzYzozu$=D5fvI_P~UZB9A1;Q_W=`HRjd-TtlVxES{U1Be z2={aZmd*(kuT-k7j!EUtiLBHyP#8`CdDjHoweAt{gy|c4mQ!6bk85W6TY6{UO37>H z0q;~z;7X>>iOOua{|Cr;rD6kjQRrQSG8;RbPqi( z?H(B=o@w;GZA?WT+N&LdoPBL_glR$0i1aW~@7T%HBA^keK*)Rgc%DZp#hz)AYx;QO zZA{0@#WE)>xPVu&hxU4hY|6SmLzsuQ%u(%EpEQQPHZ_!^+T>;P_g5_RBcW@$spCyd zC!H_*8rTE|RlHMQF4q{H%w7m<^#~2jFa}| zm`-+2In@&sm$CzVSxb-*BrcyB#hD%MsYfb9&D(ZVp=X=VRHJl_F_Znh4<#S>g zV@Q?ET;erzTVZTj%0agk*jM3)nL@mtGlXTuUgaM_`RU~2FC!4?BUSJc9LD!5F);bm z{5?D_9v>l^hTmDd`?TL>bxF}&zbOO9obSAxofx71lRiXd>OkIu-}#Tt(UOfTmBeMe zd)edsNAK4~l*$B?w0kHFQ7XTZWT|JUz9pJzSi|hZoLYC-Jffa!OoXjG5+kMf(e&v2 zp_ftG0dd085$ihQckChc<3Ehr`RHFt|yR$(M zcc8W27&kHmqml>BL%!i|Bvm^Lc2b8UeiPCT?Q6HmU%DN>TaLo*ix2^SJ=^T5G>pEg zPH10^?GgXI~9t}hLpHJQRGgS}7`-yQTIojg;(TCI2vbytKlOKTG7Co}gu zFJg7>GY;^?paj5z%8xLDI=Tb>f#WUv17G<*Se-ryu^s({c(n+Eyq+c&y9j~i_)W88 zK$57>Xy!a4BBtD`pVkTlE$l@e7jP~OWqS8rKqsUE(hhOudkzs%DC~FSKX|I8jeQF_ zo#CXUjJ_N~U&C?J`#L7mjNBt2%FX(%E_c&}9>k1)B_sz*;)5{TbEX9HvuGXM^pP7j zR{<}X`3zAA!>_7+$T)m8N2aPbIu8BucE~NQ|1y1jK9!7j&XNY&(nroYLl!-IpJPIw zX2!G;atFh&hX>m8+|vM=ukY=CDOo%e8{V8pMTNcFV%#W-KMj2%<9bJa6_ zIykS+#}{|#*HXyB8<4snue?&zE-3CjGrnP_*4vNh`)ky?-$W!0RR;^5$S zQ3G5jhvLBKc!xT+$*pPWcE@hhsA5H$STw+oV5~Z(zB~dWx^+-btG+CPFcos)m{sA9 zdQ{ITHa#%=ee*h{Ijx@}-{Fdb&;QGBYZo)ymsopeNCUzk3Y3C;_lRxdKcC|&yqGkO z>jT2SN%|&#@4TG7fxSmw3h~)&nI6A&Uvg9gi{Z>&==o z`T?a zVCK26#r#Jp=8ejo+8?B1LX~4e zl{v}378R2Yqx;8hAOsA#3WicqyS?y~YPFfUxF`j-{skhP%Cd3ZKD~5FgbSTnffzYd zWHJv`skclby$LN+#%@4l0Ogh+a(Ql|S!6`CD9vj*syr#L_rc{KsWT`?3PJJj(H;>1 z21@=DgNz7LasLuvu!lV{{7V2tIXI2RCq(oBz1_N) zJ5+44hi9pGGy%`~)m51fpa8zk>Od0KpVf{|P3}1q54Y z`X|^Sa7MLT&CFp;u{ihXY`JdL6A=ZB=n{58WqJot$H!Xk#@zLnuynio= z^nO569N9&@o(>B$1_vW0CLC|m(@L3|jEJ6uff$v!e{8f?ijz}?O^q8*N5kw@)K^ie zP*HQL{Fi)KI(Y9xB#}Y}zKO`Xkkm6_!N=ghrNjiKZqiw4(;yLr57n}dCXzriM|~Bh z3KfQ>%2Ra?Jy_3n3$1)@q4U!#^nm^XX<-6ObCx?f+GQ_i68MC_*PP8wZT^B6O+rPK z1bm>SiM6x;ckQ%jR77Twy~9QQSKLOUO@guXN!3KT`Ne(f_l$NuKiCV{%YY5RS4KPI zNdsy)qOAh{GT@VQ{-RV0hjT!}Lk0X9HC74)v_lE&d5QQ-kU5*erQHB)TPi`RKk6_* z!G%t36-k%PwMeUUQ^G@-mXCnJDU>;#PlZ$Mw17V^i3H6C7Z}A52|XEu*BI%jmrO~W zl=#2s6w1$ZlY(b@-e0=1GC)`82Wo)V@}-g8H96|A2>h8ZEP&FS4a%UBka)@33jMlY z`LBP$lYiPDG(=(jrx0rY3h`ehIh&>bUxWbtpN!(tEj#KkB(uOQ(X;>xe>OMPbu33o zHCfI@Ty6Dse!`ih7275eDoLd*Jkm=-yNU4*TrK0E~uCXK7mz0!!X?aNe0&fi? z!$vb}e`3&g!l=(ezP|m!0cZt7z}hcpV`FI<6!j&)(E*qU?z{Nd&Qg?oF7qRD6<@^i zRIPHC*a_^*3yLR{(py8euu)KEttI*sEC2QFDH}Mh=QC@13_lpmY7hewOKE;U7Q?+A zX9Wa)Zcl<(K;R7)Bme>+Sbc2gC**uC<3Gd--iQU&rtarldI*(>J_}CcvKXPHWreJ> zqE_;=O<_p}L3F=)N&VdML~Xx-ayhflvBa5c#J5O_(@2Z(2@9iqs+0h$FpabTpD;g) zxDssTr;+C26Ml$lD+gO2(nxdh33H-!OWDNpSwDceE1^%^SrWfW9Duvbob5)boJIQk zht3FapT4EvaEu$opSk&KKG@WWIi}gDG2f8J4aqlx6n>lA2%AJs?={IqRHc4 z)+e(SFop3ZkHyHJ%nZPMfd%xUJ(-?>34u0w9De`Asv?S??EztzuAw)XJG_>vQH1bz z4f-kV>8P@8(fvCct>+hY`zD<$hf$*ge8)X%Wa2&A!qj12`3jY;e=K$^idd!6zlf4vi7(1p9#BBdDh}#JG-@v%c^^{$l1JsZMR_tmh zmV(B>b9O6Z%XBilGf$N?K=8Zbdm5Bj@KZh@{=TOS$mgKJBUzaO>I^`;fpT?V+@je% zW&3^VsQ@0b!Ql8pOpTa4hzRMs{8`VgQ&3N?p(szTc{oq5vBpoX;mOagS5K)6y?|kB z>w6J|FT>kG1)f<05Q5(f(^Eph>`w{tgQpL_fLsRh2^#Y}NMVF0=!o(&l>Hg%^$bNA z>&9ua=4Ko7FnewYfdE&t(+}&ZevlAP1?q@>DiF^KG`RAPa=?0F5YY)bAq5xH58t?u zt{>6aH@+DaLm10fDG_e6QT9X*AUXjLehuf8-8Caogfld{Svd$ zzh6ZxOhZujTv4}>#qMY~=2}W03jiDb4Ug2y6>Y40g~Ww5&m8k#?x{FcNl=L!uBnwj zwXu8^5(kYvvxoKl8bGac?G;rHO?O!rOFDLFf}gI|4?99<_x9vDv_j{g_Hic;Q7;}9 zW;yp$loiJ{;M;?=2jL8?575+(jzTKS3o;gk}_V|-xiQnlL0=LOrAR}izjIzX=iB5^F&0Km5s8CwrH z9{@mj2?ppTYO>ju{a~zI0{wo-sb-&JEX=30p6X{zZy?!9nLc#61k!r^odT&=1mkAV z^XVmPRsB2GhAWRRG`3YJd6X~h&XFvbE4+#u_!~RAup3=`%pq{;d&&Un-Uwsm0{)@A zFmQ48Aug0zGNGdj9zw@>>(b6LOtSr+0fn$+1}3c~;W(h%0PF6bWv(uG{D3Uy9FQ?z zKFO46Ev2UbS=A9B`*HL{^Kij)0A#9=fNTTmNtQrs={3t($?vl&FU*&`iaQ>kP(S?} zcL<~taw+pmf!7mTDfW$LCtY2KTtP-aUeG266sWl7aJkywa}KmQfM^t)RqjAWC26W%hHq*lgJRUoMyN5u^7n;a7iCwEXpq!is$$hQ74$T1LRY^g9{b>iBips z)Una>4>%T7$5SjGufkzC*dG`wH0$)!(oMv2H_{7Pp^LC3vLLc|pgohqw$34eN+!xc z2YT@@4jOIlehqEF=`?tz(~jZ;pYBO5r_l+Kxt3(q1QF_ zYU0F(>6uha9%FWJ?2jAR&l-3|ADu4xI~a=R&S!MsBwAs%<>1&>lO7g#=n2<+8_4b_ z+Lgeyt@hh476h#*)_xlTS`gZR7Lm(hLF2y-5!pb4^J%f*hQeQkKhThWSS)D%w;{q1 zXc*fs7Tl44R@D5FWQXrq+8)nsq?t3d7%`7qa~Zv(WI4#NOcb=(*1IH7fbVEn8_yN^ zr~4gfv<;i(;KuN8e=K}Q&+2&Qm*RBO?P2qnHP2Cg7?w(Htw=75WxZ(vp!+MxTE0l- z6Z_eA?KdZZU9MYMTb5*#m<*mIE|V$!8n})h{?_uA&jPp-R(0Fzx|Fo(XzD&94o4PS zBRG`?IQ}(HYk7ue3FsREX5LI0Yo(XS-xwQ^=b~rDTn%q)z71s!5}9%a7|?gtTkOzH zr+Z;ndNs}ah45kX=FRz&d=ef`6UJkYnS3P8;oFaHoi~`d8}`>fa(qMnO94v`lG*0_ z0D5jwMhXwK^t!OQC1qn&bh_1LAP)9$JD;50oq{oCBWML^dKdk-iC+z9;)nr6sefC1 zw1Jjzpygfu-d9-ECqwz ztmSVXXqsl=Q@Kk&5|2RRR5Lyg_5E}0rOp_38U=7@*F?DiHdbHhprPO+l^Aerx9`>( zgiO-*Zr)Zx@l}{OTi~GJYi5^oxEuq=)}x{otue8gY}zTGbsm>6ai{!>Lu|hpxsUi= zwj9(!$olSiyQE4T%Wmj0U2XZ{pIepAEj|K%=*27bqhgvlbl!%lRXj^k<3|#^`4tX0 z9ie42S%+`;nh4HE)!#-gS55wszbZNh@xy!XmNQB?D(qjB+^O86LJGP~WfXW&UU*M* z&_4)(;Pnp$Z1M}6NS_9S&bWJVembPMnucVL#QIQ12K6_j0vHc`c8(tZv^Na5e)w zhjpxn^m#t1!vVD$FWvUfz|vREs}!QYdrYamim-#o#KCN36M+2^V7pS3qp*0^*n#E> z-&X0Om2+Hc-QM0q7<$y2v1V0ERSg*R&MY!{Ke#C6^!yy3*@wT*ER?7+285nEw~-GJ zhOP~}?n5H(aE7vm4dkn~BX}LDe5E6+_Ir$1(7wY<1v4BzQ;1Pl;GcfSsu5Zr`(fuYAyd>E1cm0PavZzS;HkDBLn=7 zQ*cJ^dyMx$M!yPXgnXt5vsqSlB43U|3e4?TIJNl3ff!Ro;E&DXvU=7|A1bwwNV}6B z?BP5HuNqDG>fz%I&=0sQof>@&8B@gpnPFDX)Tv-)GmRgF;$=M{3G>R&$f#kULny0f z__X6wbEGeX;#KY5>dhMsJ+e0ew0E;8EU8DvwA167f`rFOcwLkJ6}j>F-K!dz=bf@L z#&w{4=3p*KC4M%g56j`w^uAP+{-4-`<`V*M&pYL0)`;^jEn_ez(NHHpURkRktspcQ z+D7NSv&(za;h3ayEm``YYYNj441KZZm!15DM>K|-h^jPSyzotLV#bqA2iO#_rHXM3 zML3<9HfCc4BB;#5e%@{r#m6y9RMDVs2sROr`xAvI^r4O+joEO^v1@f4Ol8vah!*Jz zS!)d(OqJke0*9>jZa9eA>w^%9#`m2=a29L=9%04Y^e=l*dfk)o7a@f4R&uI$xyRC$ zx>3TeMyk(gimB6$n;lP~^q^n#Vr3^M8ilQO_ai$)yoiEV)QZm99dtH=1NDYmY9%JA zU=6Wl^LiPhL71JaBSyJm`+0q44{jHRhp6>D36W@h-zf)g!Pf2>R{RlFJ^8`iq zor+8lVHiFw>mpj^9ud4uI{02^b6MO zsmq*uCMp%*rpDj!l5D;ncr!WcEe%^jY(Z-+b-af-U6stxL6|6AIeqpYJ?-uJFrrsI z4@e}7uI=o#F`|vGe>1UU9n~;ukz{`;j|!eLf;M(mBH1JTQMwz28a&l65Zr~SGHbUR z6`Tg5LSz7Ww?uaG&X)dMbqoRKOovr-3?H8Og77{j9+=@MS%%wnqrx{}pKD1gXK4vT z61z#uV;)gp?Oxs`K3<@M*)jIF%iLBwBh4`^vXDR(=!EGL; zN^o$!!IIuU*O25BK~%7M>Vdkb3<#*{MhPpLhBmhrB6#XQXLa&wna`QLECME!*AWPx zVxE)|51!A?J+PO(hA4OalS9%Xm zZxqzdY+432B0C5i)al9J)A{xk2-^D`v~LeNL_HN%^C>9cjtOK6xchRPOMHo}k@`=s zSH}P875b0YHudY?sGZq=!A<^CHuzTtt^@uD_IA(Me?t8?1CKU$z1K1f{R6wNCmw$8 zde2T6{09yJPdxbC^{$<;Ke-(D9(Y$d>m55`SGgSR9(X%B>uoz>N4Xq-SE8M5DBfOI zOXo#;e;#@jRvz^!&uXhf6zjQ3~VK6HL3;W4iPMB3DR24RJLH9(_Fcdutr9%K&MWVs*o! z=N7`pxf27sCnu$s0mx(mlIU-?S#I^VCny#efurx;4Vp*^dg!>s-%x>Fmd4Xg$O+g9 zU31fI$Em3UyDSqbwK%d4R2!vX^1^c9!~pj+naeW7B$=muLs>zSAZj@W3gWJ+G_aYj zENJ2fn@GE=ASuAEDQM!mDCdYqy7pJMb0q3c60{9dhFqzNl$VG0thF3wV_3aYuSv0n zAV2$*x{Xo@)%g*ZI34a^o9fv{PGBoMkMcS7$hyy>m`uRCLZyl?xNz%EyGFtq*oxN? zE;6kQM#e}(d$y*@!~eXhG2tJF$o*}~0czH&4Hwj#xoZ>iYnpR-*EJ9h(-#$aOr3X; z#f^#e0kQUU=72wP8r=~?k?viiP6i}<*P`J1t5Le`_)p~BYIa%muY#rgnNK1LGg}|k zx^xfTOv5WHic9QuQL4wiN&AHJ@YYwIAWy6JmlfM5ON*ozvR>V>EV>dM+C|jA5n(}e zG)TC=XAd=$9nn6~kM>j9!1B2@Ai3BXR#@@8ox<7NT&oWvp(KRm<4#tt&l0miayiw~ z5kWJK4k97`PB%Y%!U@ag^`i1cIDw={EUF34!M0ImP_{s%M!XnA;fwE*Y?1F=spUl! z$V3@`P z5~!4EjQ6zxq>m^|`}&Q>yj&>WmQv zGLPA$a~nwJQ33@KH4a?o-bxE zHCbBnD|P}pl@!fcTKIkgTu3$vu5 z-M82vIz%*?byOKc5BLBT4|ZZYdNi4RbeXh_@PI_A;Mx_$pPU_H4_tv?mA^KZK^96E z+r5%&!JIZUrqKeaC=P50?7g>&Ww%y!aMS!!Nt&y=ngC@IFGRo-#qG@p>Ab(?QmB4WEm{j4Ew zRvMq>mOv9Piytlcg5oFb`K2!BYowVUI{F?&+B5mB#8BrHmBKNTHFc=3E#fakK9FJ0 z$@w@{{}>Q;PyTWn&n(JLaU#-=m?4?sPt$9vVWAh#{m1%oi-WO_@e5KFUxu_#93kP$ zGSEb%m8KaJcCVr4I?H3G*>zHHU{xmePC#As0`hQ~wmPNZk(TnHtJVbQ)4Q7E?~XH? zSxtrxkH1bIK#I)QA6j{vA2(FZzXabR5n*-lFmbZu*_X~guDR$I+mN%i$pn>j@r*MY zsh5Jv^bM7f*v=@J6OF$N(bV?m)|ZAl1cM+TjyU8WqK|aBdC|>&w!mB=9= zH-1BH)Hf}BsHcbuB?7SVUWT}A_5j#urh@O-v1?BZXr{h(EkzCs9k zFqv--$}Q*i8mJ2QOg%W1Ne#9wBO5HQm=+AGcEIKnU&@2&%gcMkwN{$PGNdV6ST`jW zE!t3Y+cMnl)bVB6N^6;Xv|eYK{KfELO!kjz3V$z9w{+#!10+w!;oTkdfOCB-!?zl1 z%Op)AQ>8`jKa)8>-{|Fzh#shj-(_%sB0{k41$24(&H1Aw1v}N>2E#BGWZpTWO1*t~ z&D`iu`O~8C)zVKp(`*isusQ9W+E~|(6^BSqQr^CHv|N+ip~`+a_Ck(%O6>T-kV`x4 z`J|f}Udq5SV~2zp0sfuuJfi4JP8-L)WWz^|leudXE^|w{sl@2HWU5xF8C7eYAa0p& zX(+exmTA5;q4$j*`I4B$wTcok?3b!U zn8esRh|pf{o5;c(zBLbgVLsS;q-MTmz42#cV4bbHmKWtSQ{L1yh8fzok%_*3%OF{E zc3pEwyRY$h8G})J3{koBs_nH>X`lho+FCY?7OPXm-;3$l3J&5ilrrX3O!1C=)oOSx z$|5G_fpn$FXH(g=6y8M+M(CAC=1ya`ms@rIiY>2G!!30C@ex#hEPIcd zQ@3;VW2dm=)aRqephyi`3Ys{5vz854V0Zpg=%#2Ld8LM;!}anCMAK5N3Pic8;>;J6 z=7aS|YFyw!m)Mw`wmNEyc>COZX8P6Tx2P6(yw$~hq-kIdSGke#D{h^R@cWO$j~Z%L z5=x->s>sd4hf)M0(=kw3`N0rwAgs0DY6NRyKBW_Ge|6?M!>c&JaPt5RxIKnMW3l3j zA1T>C*5FN<=Qyam$>1hL{FfjxE-D>*7mA{eH|D0T12ux+l(0x}{siCOG7nPZeX@j+ z*nH9D-z0%R7tRrsxRz)3nCFJwM*$qB4lYp8Bk-uC=ZUoiK_*Ai?6+PN33MgpMr;$L z4I~pUr8xcScU#98BQdS~ytw;0L|1WuLyRk2Afb2>fl&mBTSbvtD9M{rL~g$yhJ6ux z4AFt(oydORnLo``fZbpol@re=CVG|gL*EAOQ#v=EkJFQcww3t_EctE5mC`>GmvgG7 zB{f10w zdldk7X$=`=X(Bqe``nv3Hak2TxR=ndYiGQBh^ED)?bsfLF+9w)v;-MdY#aLyv!ErT z3^lgoM1mIT@QWF+o#oIZCY7HdDZU0|zV>dM`XJZjZ81(B*G38rE8m&-0l?OIVQBuF zR=#a)qF==JH&e->8qt}>Ul^9b+l}f4!SKW0qfTC3sWDxRpWc_#u8yu!dVqd}xDeKU zvQt$Nd=o|(%!LnE1{utW0WE+g*UR2=*Z*BnltA#r6_Yy< zM(SpX*!Yb@U&-Nd;(pN5R;BBDv*E#NX4WGrq4lWGH7db8iI=E}ylTbJzj@JZT}TBM{XjFE1>C!nz$+1WP<8;%_E)q( zzl>GC!5zuWI&+Vn&;F+-?XuFUCAM~x@UbfM_^jWUQ-8+nUh4)!a!10wu^K(CjJX8G zU$U&sd$Qw}`_qfnsa&R8#Rg(DH2`-FOd`!BD=!zHkfzt2(54Rjg(9hC2B9drg5hj)!*))I*CcrG3;>OX_+lPdQ@Xd z6*ZM1nEXU3iaPE+qfE{`AV?0Q=U3Un5Ln9og`nA}8Zt6lKFUWDbgzlubmU*5enR_4 z8J`Tgn|vYa=ax{Hp59c_^}=3zj?h(H+Np<7AXYxTg!cQS{t-H?vt6uwLKE%xqn*X=J2`~OZ%fVh9pR^G;yYGxyQqYdM_(j-D^^cT z?v($WDIKx*di>aO18Tg`GH#;lrQ+~Q47_u`G%V?{T~T=8Z{_hG-VZzaf*t#wKx@w-^SBulQ!74p)5>_|Mf zt1t0&eOPO3zXfiOf3xnQOR{aXF^eXDPnyZg%X#8adVw+5mv0(g;!Kjc>>6$X?i^m{ z<d*Hg3E#e2%%`8{Z4`^*MPtSbmvq^E9V<2iG~TH;l6-UCU0YY84G*davfTQp zJgom-nnBJ7%+~aJclM8u6h;cfMm+a5b@O7X^0nn8W3$HZh-Op- zYjf{^yi4;Vo9q9I9?U?&^{S46Vu}=aaYqDR2)TflmKVT_HVW{v!TL$&t)O_ptxFvt zD;5EwET4avovFv%cgg5#Uv_Fe5m<$eSh^W%|QgVRK;l>BR4)q3O+A7j;`9(-=pQ8=}DvjHNoNpqLIw zZn3q?a%sr9)x+Ajo>{F5+e7<0=dTxy+D1R7xUL%Kc#pjonAlGDGkq59NI)I-cmx6N z!yWeAXuZqa$kDy6+soX^H4fAR6zHt9P~~ z3Q!rH4o4yvOL;S(9%a+_z}F+Uk$v*IH1mhAUA}cTXmJ{RD0mFFUPqFS^%NG84=9Qw ztPf5%v`z#z7n-dZJ+o+ERl+5btSj?O4>c0hGgs9FDM|kXd{`o2S($=R{%+wuM7jjm z(F?x}-)@Mo4Bt_Sx}1*94}viuf;|d0=!AEKS>A^|(j7UYJ`gD)rRQ@1Zic_=lDm_a z#D5(N$Mx%LKf9T{N57Sfx|I*?xEqIU?c;uv`srp(ozSqZ3jEpCj#FOM(YbTU+IQ8? zbZ!lFD$3Hz!CqIiMJ#uY_sh2U57mUX2a{ZAoQmw*u5e<>_P(ta8Xz$>u835+8-dRz z$K#VEqm~{>d$Gz zfpG>-K!a>OyyXf~cMvRaYK}+Kh9B375!*BoT}yqU;XJ zSPcy7Q9s7)M}K2@t{5EN5c#ud^!ib&g<9O4pNCI;#Jza;yLoTjtyztHmb+7}t)fcL zICbiBc)F>T{jn%YnL-bCU1;5?;m20Ttp(6kzW{Dr}jaGD~G^Ko}bLwbxot2F!QCCk|wUH z@>2(G@#F_s%f9+BnbhwP%y&>4r8{O2E|HkbMfv?hd!~Mj z>*cTVL&&q~sW*P5ySERFZsU2##lZT#-~IBN6QBI?n&>BG>qEl8DSAC;#6#!kD_qcP z9{JJKy#zLy)!st?2oz7H4=j36Gp3fwM!mu2ue+4hGa%m5E>@QX@A;aZeb_d9qk1QQ z;oNbNIZQt9Ew{=OsMfk9pt1ANH^l{qnvq2l z75(rC1`Xsdls*z?q@=%w7MP$8TD&v{+0iH#ZNZ*8`nKByKeQkm(MIrAGPgg7H=3eTm6NMc0e=0T4kxncCEgCsIN z5lLdmnuXoNlc;zj#993olE3Gb*A}gp+K`^D!s;WJ-^N2pA}7zWRYNaA9baPTFF-Em zRlTZ2@>nl%Wadz_Jj*iLhtqB*_Nd(ZDL5bOjAyu%59_g!-;}bejnwk_(wPkNBcCj| zc*_mC!xJr_n`tie&#T>=OI>E5SDhRAXldOP&gm|@Sk?KMs*rdl;|`zu?E20-5hA}2 zR}&giLaO|H@No@d2EKB~1D|U-I0CX)i$r2nLK7&YSO?-dS;-XJre^Zja5YgGJoxCn zN|@Y{xCVvkc(oz(vV}Dm$7pUU>Wxv4VK>n_?I{t1vsN~<*l4@0aJX}0&#ctMr_gPm=Zk$cfkhCG#JFNKK zX|_D9Ir&7-cF?mvwln=Hwe=EYHA)Rtvb?#;v_zVtZoAUtX!In7U1=_k*_=)j(;Ol9 z)@Y54zuMdErJTjn94^Ok>87F52ts2PD$fEswBq5R%e7**I8=WvIq{mO0 zLsj8L3}&~NU`SiM36@(uKy=PXn((0Nhe12VO+zF>8e*Er2*G}XrLawFOnSOOXhw4y z%>2R)jZ$ITX#Fbe=)D}~Lu*^J`)xZ#^RwbnSMlp%H^(96?aOh78Cu=8T` zKi;+w=Q2v_kHl^#)EeVBQ%2ayicdHGQ#i8yV$%*ybY$~MsMrb!I|1P^JDK@k;XjJM z!e?R?+uej(4Iuonf^3cK3R1 z`v~*fJpUc#*yu;sFGco>4-0d@)&`PjR;=mT`#ttGANTf>8uc5FT05cK^!W)wH}`8DEq1*{FbB64PEz3q8?}McV;hVXz{J_JH z*NY!)d10srJk*$CC&&b>u;s)5gb#E$(d`8F_QBHN*UxfJQNsTg_TVl(b1F@8N9IC0 ztJqOANCQ=c2;Mo&>~G)p+IwAWU7q!AHS#izeyi~->X`bX*=Ft7!qkveh#X|gvj{7D zAn7XNbP(2NRJLTrlGY-GMEr^qA7;j(898-6W;ANz_e7>NLJ`0+h^e|CkJZ&p^ASPPMtNt) zWO!3dO4XKZ7@PJ-2no3U203Vu6-eO5+)X4Z#_^`O2hGhg_%(XC_t60B0k3c8sVqMk zh`x(NKW$AIi8zf#1c`=pa5o5#c;!?!m~?jp&YcYHVmZZd-qQaX@F)~YQxitg02L2b z(YPu6`NY{p|C1q0rA|V-S>mnXL!*qcs|9aJSFLMABZzTDUvu=+KrpT|6HOOwzgM1~e%TQ0h)3FJK3k2eQY+LwD0sqSlm*n6De^p!b>z~F~rXF6q zGD{CuB8(R(Lco6}$Ait{w=r(_>p7CI{ZACANV_~gCd3-HPe^@dUA8XTz(3*~KOu|q zAl$p}qo1Ud7-$qEMJvi45*y$~cG~g$9!B$uB~4HQi4gY<68*-ygp@Wm{`+M6HbWAr zxy$zkX$ula9Eh}=!UK)ySBME|E#gS*2(b+CDvf?4Z`1N6kX|8N=JLhY9>jy5qy)t~ zfV2=4Dm7;Tc}Q|JJ1P#`CR)!IC+$%jiBP5;f!=nVGNIO9s1TZd0S%@;zP45{(N4sJ z&?y;P>@>>5L<$%LNYg2!*+N>5F6(^dOFNs8gnCayZ4V8z3-u$}JO4(^gn%hIV z2A#mWDG$>unsG;jHK5!f$59?cqSt-=s1(4ggkb;!5<>uHg(AeTeGp@vRiQYwpDz*4 zrt^8{*4$bu&BIg$@KIdL{#a!WYz8&I?L7^f6vCG?cM(|}uWqNf_zA0;vD(LsI!HVo zu$$5k>n!_kg?$r+>T>+;PEeT~ON1^_Do@d4ixEQ-@_H&9+N*yS!?sY%KrHECcyZvy z-$l^hWjc-@t1U)a$G36p834nFlgaVNy=#vg4gObf$X9>#*pm8T8!CI{yu`LYAX~hO zqj#{@T8@Vm`1q>j#na-=P7v5tmq@Au2uTBu4us4ALiU408vk_!t5-eu!3%`yp~+SM=vBx6E#DU*d?vF} z{3c+ubWqKjH29MSVnzdLf25J{K_@`d&!;Xu|<17d>C)|TOh5wogiB< z8gT#jGMndvYY$igo`+8lt|A#dI6H-GVh`U{GV*ai&eTkTr{vP+a4L%<)teClu?CJS zX-A)h{5$6t9R?JEgOLjkZwx`)pgCJBN!-PDCw`4NfzLOc`kw^Zm`g*FU?5OC>^b7h zIvcHfu#Jelj>mN}zq1%F)RV440#8|GtSt7bKW&g-D8>Ui1AxvQ24DM$cPKEklDWB~ zI+>QVfT_U+Wk;nbWyL%k8e3&QKO`Gqzqu}HYpsw8bk_DlER?g~>?- zJnKdt{VoP~9ox=aJDvB+Yp+*(cCUCU44G(8HgjjKH$G}oUS513{@#>z029N|bosrO z=&l5U`UKLce^eNv#8QqADxLwN2(UAzpdizie<28$K*aaKcbnHD!x-U034ex&{|;CV z2vB*vXpnhI5FomB=+#%|Fi=i7P~AF^Mx^8rz-crX0lgRyXt)q)8$jm~6jU`DpDM1D$Tu>79UW+9{3?mZ}$G9ag6(!Oi@EI4-gud6(0GrsG zBHG3UC4gyAIy_!$4(p|4kY4&8a3);G2-yZqi}cbmz$E@_I;5BO119NT^IdxRoH4`y z(oCC^LqY`s0kiR8%)`qvNlQ%T@*BVOr{V)o(K9Z$2rtum2Ttez+AOgM&-f42e=$LG z#?N-?0owW?a!_rr+%OtP&2NnG7rd+p185vv{6k-B#Yloi+h3smKQ&zea$haJn7t*l zu0cGUd3Z}E>9)xn1)7KzV5Z&4BN2jnyhN1VrB}=uv;3{5^#7S@<5*n11^eHfwMyrV zDgKTApUt!+1zBT|m@vhm zwp`45QN^J^C!&awMzcm8(5V3e_oBnR1bXT9qF#b~ZvtEuW|4@<(~b`}7S?8=kN44V z?jRA2;LV45R&jsjBm5M^JYs>4xZ5PpJ0$x^74VSEg&(N-iI(QLa3d)zpw)*Y2jWt7 zS^(CdhU{Z^T&&_U)c&FKXQ)|4ZC3)%KJRHLq1SKtP)pms=OcrRgv|BNf;2zDvxB{l z0PpM{VDAeyKq&(aC|fW9r86p6$QTSfT$vXvqzwdwTVSC(Cm`$u3;A#Wp$NK>&~O4e zkmwqE=k6+N>**H%;YZbJV=m1Eje*d9J=AR4S#=_ zSw){j7|(wH5*VR3zI<_aYgk4?2kh9ZFYf}e@2}Y`1my@_UEyE>1re;!n`kd|)sgH~ z>AH(jB!A!QSL9b5jNSh86O7%mm#$3LCu@U#i|F+bch+%@5Ug|PEysQEpqw<;J7=K- zsNWw2ub|q^gg}tNVJ;)*U z7|b{WCj_$30CT3moE5NY?=R;EI>0$b2RH;6&k3Cg0|_OG010u3{!IuO5=aOY5`bZ> zJtrg$1AtUv0FZvj^T^UM0Z=z40NTZT260G%%OnLZ6G-|mi4II+0F#(cfV2d&*#8(0 ze8_T@160`Z*C)on&B;!8w&Sq@PBZr33Mqmsqy(;z(qD`O81oj4k@=etJ1me8KP-@t zMCfxuytqI@Mz{bd0vGg5s?z{Ss+s`lO!F_v1WYmmlPtjrq2_?gR1AhyfnhCwVF>sD zj13=vY2p8?+NstG6$E) z99$xczX@f76UqgX^1%tM7#+(UlSbtWhF>bxriQOm^Th*@Meu|CiMQ zX0-t<5PBz&)^n}voB)Zc^S^4HM*={8kUT+3&Rh8Y2V-v?S4G#o4=W&XkP_)e1O)+U zK^g>6LL^1HySoMf=@jJ9-Q6A1(%miH-Sy7FJD=zIe%{|dn>}mRy4JN~=5S`_?0JI( zx{>lGBdtI3e(q!%G!El3wf_}~PsNS#QsZ5G%%L5K5N3b2u<+hB(sFghoD@WeBz3hv zvm{F&uHvkMAvD7fhP|I#nFbx-2SA9k1#fT0LydQ_F)RRRKLo(+og)ucR`|(5dwp`y z{(v;Sw2G4+8MNo{0qtwsd5r3-X6i?(IqmmW3VHdEt1IEX&0R5v!FR+GSwEdU7XV-P zj4oVB;lgw9EHkD!iFwU$JXBzk&PUv>Qcv;&hmMeHKTZ)K<6dg_DDs{~-}gk*POtn# zI!z>agP6xrpd<*Cr006)`@}s+-BwbEYvCbtuYMjQNLo#4dlg(B(1_sUgot1x!=Coq z`ps4gnTe(c3g&4n(nh+mpAG1Zf={Wf8Nr9A>wM)lz%;XZMirPfHoN7rcXd56ad5pz zbv{`GYKA-ta%LI%t{wO07nci`7kYG^s;Zs?eL0Qu*O%`GTWv2D9QN0*J$x&r&G>j+ zPGruHa*K~TCWeLxQ>S_gzK}`oLt|2Xs&%BfhL7i5EA1;d@gJHf zTkN$TpSYawTr95U^lYc+9@U$~?Vn#9HjeEwR>asFXl&UvZLpeF(BmduP|bHV*14k8(EqDylKp@|o{XvrJc# zTV2&`zB|d7iit~Z4s+exE@?OE9>&mq!>9n|s4#gr3VoLcAq5hmP<Ye^q;$%m6og zbwIY=cWbbcV3u(u-T8}7to z9pnAfSEL_LH1KuEia(Z%NMF94WahFFePM*`6T<3s3%jfS2Dg4j7Fo2|v8KxYR^gl% z8_J4acsOL1;|(NtayHPBU0ciFoHc{15CwMu@?N)-h@XLGp=&SX33o2loW;Gh@^v>8 z{BfCIF4&@x2&*mVsLd|T7)6i#lB8lyB}wBFZexXFhg7q!gK3A@*f-#jx(@LQx_0CF zc%3Y5FYGy#TuzTTxu~wU&!v_(6Vw9Q%JEk9=HlvjFM)-}CWk|xaDwGN#JgUuEv**U z17jDPyY;v0ORKlL8LO)_e1ONP8-h(dF{uQG_g+(7?u@Udni|_LGc?5UXM|_+9xs)$ zI^|gMWI6DA951eo3KF@TY)>5Zq+mDkhG;Up*7%)kRB=Re)?=2nUci+c)$0PzwjnMo zJ>x~sfGGTz*4kpHD3hB9drR;fZ=+5Mg%OQW6MenuBNaDZc~99#FcJ8&WwVkKP6tI) zX4CLh1b@lSw^P>$G_p+=QRcH+2-QZPk_>J+E(nI&pXQt6 zd{G5_91|NoQH7#CQA%}D48N+&fInoP77F4;UtH5d3^Enj&GEl*7*QlgNP>?Gt7etM zp>6`)LObQ}V-=xpGY{@ishUruO!<(&H)>!DYL_N40unE}w#`vvDxH4DbW#d$oAMklF#C$KIe9Wy@sO*n<8W7%o5#iFqOx{E`Mb<=I7+Iou8${P6kgo?WE+XGlTVA%R3As;As?b?E?5Q7h#T?Bk9Pi`W*kj897wv|4 z7QmIQOPK?B=4@b?yFHa1xy{0!-sIzztLDutNE|qIDzUK9#F_lScF??hl3wPS%Y&Dg zP~q~@CXp7XocclAR-TbnCv_>bFq>gE`pIaHs{s#jb{Hv(715TDjiy#Ede~)_=aA5A zGsv?FJXs!W{MsJzGWNM;5p)8c=gcMQ+ta_FL8L3#4WrR{s%=LpMOWl(aq_ElyP7vP zgLJJXx}oZt!uGOx;pCaEc*^;5qX*P37Pwl~sZG~Tmpq7mTXFH0ElY~{OH@7bMqxht zlxI>oQ8_JYPD|DH5cPW&GM^QtQ1&pUwq5hj2tKT3YTpw?cCuY#gi!7Qua}Z^Ba?90 zC1+5czQ`}P$9V%OeDsX`fug8(1anY5Szn)Og8YJX{pDHxmHqV%n1OUBrI_~^-``{X z5+yigqc=0`A(nVO~xSjOUMNIC(t!*6<@&uqh78NZ!T#ZE)sM`6yWoUoqS4MF* zvslY<%^KkATQQihiQ%p0`Uu)=mz%Hhs4#IfiGhBqDzV75uOrQ8onhAxKd%J&{_?{w z$EcDFm{fL;;PP@uN^RZv>2%6^Pq5%N6{3~Nf9_BrjP5d5@rTTbA?K=TT7F5a48v4x zs9S<-ypR-Bzn=Vtir{^TAduIg9bcz1JeDyL)6folFy`_<0x#Mu*3^wB7Z`=;wp}jv zKWAC&m=V@L)3|}+fhVowY8%lKv0vLOzsj9Yb!xWRwOt8!ODAToFl(y3@)QWBBb3dE zD#ul)Rn0afkY8KHvWw)z1*m7To+Diplb#ssdW+`RFuA@G9oX_Cz0ZP?+WGG5RF|F3 zr`v5{aizTaTrK954)@+beY1rG#-a9s4iBR;O3@nTm+MhiwJqCikA2S0;>D4r%W`4o zaGk;L_2Pz#R4VKKsanIV*;!`nR%piMeMW7a3eW2uYnsel~Adc-OFDc5s=u#em=;Ju{Lv!`Z8+D zK{<20_Jwtu{^rBnPm-h$Xir)RZ;_=U{nL@?LZ(akGU)pyq+;9pwF!hsrnctrqx;+X z0dONr@nd^G?x4=Y?WrLP$v8z?6~)e?o|_`scUoE28qaAXWFrhIR1~w#q}n;HG_#B~ zp0~4KwtplDOe_AHmZ|vhQ}$PDjjVbelGSP7w1cl{#8X>j@0VF4I`JZsHN|wYa0kDp zU7Se1GCDNUO)Q%Ve9KspPRUT*9W7{D4fvO&e;vYCdZCr66?07_ggObEKf`&yfj=o& z9gyS#E^B2(6QRWTVtHKmR?$#GE9_)$hd^t}MZ$5lX5vNy_B0EAr-5rMo^QJ+k-O!gNCdC%vgO2F}ifH&H4d)Kz|_`6s8VnLY^_8R-h?2#UM3 zZu>K;y@gvUH#7Q$S39vk+?F7 z_2AF@?Jbvykz#mBZ>if2LVvcTvRFudu2S!K$<+9gC6t(CIje7i%l5?#ij2XEyYAUz zk(oEIq8%b|P4x-uN5+5Yo_$QQ(B1c4l|(Zc8FJCFsG|*%5Bi*(kx@Oe`o)CT#>T?V z-g07riE|K;XxNvyy!Li!d8$H&m!)N++4?l;*SD+Prl)DYzRhYW4?p=y@pjnhh5p%g zLx2B|(~tQ5Zy&W^LrzL4HI(k}Oq;24_7ab&Z~gqy0ykYX*G=j6P@Mip++`0vmA30E zPA2?*Wwv#UlZlj>d(*}>CB)>@DyAQQuH0MDXix=o7l0ijRdi7lJE*s*9ns}QGn(Cu z-x-rL+SuBqPtD-CZ7Z&v#cI>RL#E+`iB@8v>jl;K53#1Em+?2GWHp15Zr0MCdij{_ zSUx;noq5^*0eIQ_NT`L~j??R6=xwA{CSSR>FNJ1I4QM%a*3C5BP~OmjS=6HfTB;bV z;BTk_*{Yg8<0}m9AvY-I5%`0A_v%|hih4)_l13Xjt~rtfe~GLc`r>F2)hl+$=L~Dy zW&*jx4QM%qg4q6A1YIu{Nre^SpGGxHntfR_oV^M~%g;jAG9Ib8Xc=Xd+~Dw=?LuV@DsCzP9B z=U`lFMg6ifwlYJm1vcf`D{80)9}CPLMv`8D||DiI-O8!R9q+oeW29Ne(FVk!T9Va;#d=|ijxI!kYC>n zpsPguBAJ>dDqrjKgQDesrLR8ub+a_1cmK8Zg!RIm7eKe>Z!bZF<4Ij7gulT`hIBlP zH2m}H!uh5$PQA2thg*E#HHh@l=l*Diym73xeHHHh+%LL4oX;nHhEQuQpYQs7fY$ES z>{J)X;tM5fSQJ%}Y-S1Ghs7s3R!(uXip3eHZa zBK=95;a(HEiah)sYh(wjFj8gI&(=^0Lx|lInGrMiF9m{w9p`X+(RPyt;xghWXT$J2lNO78`WRpo^nNp&vqtMV!i3 zqr8js|It5$Ivp&dV2kotKbNrxLe( z?XGi+?tz_aIe*tvKVxD*lksFDSY~u6z|0VaLI?CA*uXt78986>lCgAP9Li|SR0`|v zkBne<&y3x5cL)c^`d{7ce*u;W$MwItOv!G6+gu zVOqvWHmGRBuilhu7>gyXk^@=74sTj zD9^);d*z_CQo346vvu5yQ9gBcdRQ~L|(jz;fNrTa0|2 znWDP_KGy~d=v;hPKzdYlZw5M~|A-%UoZ-5I#~BvL;vbCx&DDnpA?GenXx4#;9n{Sb zB20)O_eb8*!AWYJ5;I%{yK`Ut@Qx(3Q5!rntfWKPbRg9@s~QO9uaw7|@?d8?AqUu8 zQy==s073&`&rM%xIqg?DgTDvy?kTVR^IK>7w5cl_|Kg=RCpT^6rwNPtPK7nE=653$ zk8bmv?NmNh(PEI+o-gxFCft_Tp5NBgUzIxWeY-qf-P|@YY47OE^W)(HjB}AcXQ&UfLAU`cGm`ntMp~JW*I8L z<<|ZZFPD4=a!!kv+#=2o@_QLxH3jSmu65UWMTqPk(^?H2-UaQh*YV&G7z_?1Zs3p~ z0uH=i3fk*ekazYw7B?_Vd%OqMY7PdZ^p=D9xE&o&DzEfSpowt50OX9=N1 zpy*QZg`!$OWbUUy)hr;g_p_j-u&sP3vL*QE>)k%ZJh_SeH3%Mk?}ti#i;qoj{0}i1 zlwuvt2YwlQ_~X*xE0?~?&`*9c^!7SNGHsvp!RQm-#vhI?dPIuBXT$KDSP9zDKX3{*Iw8gA;L&X%UEhDZ9ZDKJ{C`iXs@l_`7|^Mhse{F|tc0fNU{Pfm zr&Mr}EK$e*oB)4_%F^2BD@A%qO)ey=xVD61@|t;zB}nQcPfnXVI+p4O`clYZo=De} zkj9Ab&a_u7cUmKFEN0?dL)@+eKxTCSe*SW*;5wRoNS=^t;=?#v|-77_NeEH@1M~O#yf6fmgvHzO?Q~psRI+&CoR}sZSOu++1Jk;=;{#WZ>$-n1@ z!q87PkmsD;nKi~Nf)~#-avD9;-m-}WAg{;9!MIS4Pz^x-nx~%U#y0wWe;Em5{CGh; z83#&g3n73@;e=AyLMZ7Rs8!IYU%tr}OU4<5%}HOrK|I_k?m;%_1jB_P8}LpW6ly+w zNPTC3&iVSz0FfLtfXBR(LFsHED8M0#(!jy*^vi|`)1@AQb8dCN0KOTW7_7lX4AATa z=tood?dsMYnJj8w`Tuin^$%WuxD>GhUH&o87CQ_z^T46gc==~$f&WM+%bz1$<_1%qy=OIjBV|#p201rsw#5o>nA)PZZ5Y^t5~DGi0+W z2(?RLlR0mqgO>=o&a&r7@R;Pd8s6Ti2 z{X%{l?M1TXeijasd-^RlR>I9mb<@2-$~K=oh~@L*NmU~Oi81?(Xq=>1^3T@ZM&$fd zeAFqo#Ude$a(iWI0q9`fS6uSn8WJt2HnU{KXr1b5H96nuvYpB-hj+4@6Po9xywUyi zQoW7+u}=U=zh_f&?1#|?!l9QtYdK7d{X2hNGqfF#nl=-;CN7baK=-$+y!g)&B-1P74U@{_P){f+@q&%-TP$>rp zB|u%&o|9FYEe&nM3$c61=mHG1NJ)G6sdn+MG_~fS$_>~Ws_#Y{? zdbE2VB|q0+VX{8{{WO@#1IZJ#x*w@rGt6T?k0vcAv`{wUXP-z55wIrN=zDhEHxD6RLm{U>-jK1&*z>Y4v_bT-Kd9XV+ z1x+#a8|~PBD#RbY-BK3jl&Nn=iG4whp*sz9NzZ_eXy#B0K^fwEG|YS`zm8_ZIY#h$ zDv#Q@c~eS!zMFW@0ts4EmtPnXMp<;?W(m&(&gRcQx`YN`MDZZ(t*hnYH6rzeZTM?D z|IDRulL9_QBJyhdY|HR{|e6|09RS1p{8;Jv)%hwhl*~DV?x3! zSzdX`tjqFMswKQ(f*Q8Cyap>cd!)rL8Fm1=^q@d;DI( zreQVRA?MtK@<;Yt&7v81+QHVK_JEEh-URQ#6MFr*o|VoII2;N+C){e-frU8vgn|WY zzLovHc{^6#{8mGcQW*u zhD`gDibmNkOi8gxJtjE`GaT^A<Bz3$J_m1u-<dfOo3VlAi_R zc~r>bE#uWL=F~?YDDMFF2V}YI*s`FQ)}t|$gT5ZsYv$<;8j;DF$q!}s=2T4dNi8DG( zblpN29*a?>WTbweoYvxA0g7(!ljEn-iHG{`1pIu0;W>fv_6blxPD1H(!8;@aq?OKk z7<8&9)RM)pFxJIRlxm;q=U||m8DOLaORB3(Jk~t$5JS+QB4^`pLa;Z|e05Oudhfzp zRH}~@dixlkJon-<03Y%A@}jU|nZnwipn#>dv@O|nv~-8a>9(?F8u-y)3vds}edd}7 z+=1%AHQ#(hnoxf|?VT3NKrtOCJE6)boY3H^6z>eU&SsC-Yffi!9N(Vh@6@gBtE^yt znB}jCyg!A0%p_gMOK5j!kS*UarqDF5;CJ+(_O+QU#&lpEiBPKZ?8%qc<)}e;^Rpc5 zjOCe}0|WZ;Pi=l#y#ZW!0w*cX>5UD&mnxuHB;V#P(rhEG?B2R|g@f{KahRNtoK6@(Dr~eBo&XeM+X4!YN|Bp@P;{Ysb_KrN(E&>VT!Hu~eelPL|pl{qDPvIvs zB%mz-@|6M%JAjR>(KbSAn`mAz=zT?e%g(Sy(~QQuHB3)*+<~JV2fsX8%HhU8 z7n|^YEmb?M1~AtU?qadutfwfD)DN*hHNpZj?$fE%%_vW^(|AvCy^%wIP{Ik1$6tJ2 z^CZATt#IMpJ+>9N40ra-S3mlFtXtf~qi2>$3~0GOCEVk*KG8ky63Q#_7Sg+3PAc(M z($g)SD8;qGjEU%7y!Wa2q^X#CqA^G8MZsk0ECx7)1Bcls@(~nemIvn9j+MJ-JqwI+ z6`~|Db$P^z@7X1t6k}0&z#fH9 zsx=&P>)jirwi<&X|fjMQGsyIE*I6lDzW{iqk#NlR6Zw_M}DeZu(7VF;0-QwLWDg@(58`bnh zuPAE^;F~TsipMnMr!YP0z7Xws52WQ+B9xQ=m=Qp3t=W zDJQWYQ{0)X7A3a?hpu@&@0=;EmBv^53laT6!|l)>B|cG^ZM3zSv0qv-t+Rw!`rVrb z=uBf=yiXv&2;V(__@Syxx1jW#hw^meA4Ttda-XV=eD>3Xl2bbc>#IYN<-}}FLEY#p zH1n6Onz`&{r#nVPOi*r4p(E*>eYuJJ7B)yYO>l1?(5u1HfVNh2buyvFu^GU**wLrg z*Q<(s+;QKf>4m4Gbwz(9QSyz4EtRYf$0z^v@R%q`Km}Yx?4iOUywdHxfO}p^EoF2S zjV<`md1f;$F)u8(I(r^zArB(?WNY$ga@CbjnLA)EA-qlxjv863;OWj4Y1rc{A;h{KJmofIP4*tsjeHZ+w-o&MISc*4 zq`%|4`aQ`iBxK zAdr;18vm`P%SSu5sI!YXN}u_{;Yh2bY%9I??6CMMWmed5Qt8CrP%y=({YGFa2`!Ms zGfeWbXHH!$koP1mH5_^vPJwWFu%j#aYbs7NZh$8^aRUPU`$=xueuFs92LQ~ z&X;#>*c?wVDD8tlI8>~iIn#7pIZEm=uw{A==Y&T$Wqn9MVA08K25k#MjSeAHo8B~4 zS9tl9cHFf#4Lz<40~(VzF{1}b@xzcUbQv`KAY-J#mYG#nNH{#Kq=;r{NS}+yR)#C@ z5v_!pBOso~_HCbv=E;cDl;P|?USO<`;oC55X*2^%%4`Y=Nny6s4&O{KR!*!DAcUGG znJ|7ySYmtFwvDxKO8#)RUVlkgRw(-aHrk`2nJZ=hza6;9NpyW!N!@y7ItUtIoAdL2cnB-R)PJ%vEeoL*H!Um*`LhUKEG8isd5 zz;wQJ`e|X8W%)rKr?akV_G3^avwAu)Ij1*1_Jr~xH*!d?rPymu&-;c~hPDpWi61{X zCa^FYeK)EXjr*|m6WaJRFH7Gk%B6TE_fYBlv%W&c3gM%ueGj%lUhMonR{B>PECqP3 z4lcS^)WM~Fo|`NZs6f!gmc4C4N4Oq%$*aqg3>0~_8{cenU-8J9K@IlNYQ!s(M@#Wh zPi!WxSQJ*In%;r%za_^}a2>?FVM!ur^y7L>IAwQX_no*A>FDOe!bVXTjs{a$&l>}* zbY4|ym?dDTJyYzs5FgTfF+Qeu*ry!D2PD4OW?Ar4`b(6?%%VW3RM_V!<063?Bn#X` z<;d!;yc0q_i86naXTXHJwOMkmm;W&;itf(saa8&PQ5W{xZaG>OtF)vCAUj?#_{_eQ zgsN|1$2DE-P!yRI@Sy^>-h_2M5M0T*nE^XLla0R3-9?364K$4CUjLf{gv#nnTzAFq z40r1;uYd5T4I}9NS4>kL`=Hu?@nZgymjUQgbn+E6G6+Jh|Bv`|{)`Wn{h$kDFuuQV zT+$61r4xGite%FkgH6bQP1?&!kMC7-UecxvQnI)1dN-j zXKE;UFyt|HclUQM+^xSu0u#N={M_eG_E>nx{mFv~4`N}cQZR%L_*YCYP-s*YWxQ&| zx4-ks@IUe@Pz}p#207Sw&wpMKyrlqjS~<3u|E#1A=o6lj)6xB@iDB6nVUb^O{_34lu8e|Q<%g8te?9;sK0w1 z_}%QA+LC|wunc)rGpv2?h-HC>9)zn2RZ1{Jki+ZPF4%DiCh%SQtD&}=Ps(5^=Znvc zSfU2SU*nUUjK3Szf>kb_G^T+$?0Gk9u6i(vl$*X%zyl}87mh0I>zM|sw;fAtr6_Oq zfrS&1F6KApC|KZg@>UL3zOUjQwz@qh@skcy=W!4w57hF%1fPK~wy{rSjQ;SFQ@elb zmkgc;<~aci)K~BLv!h@sWo_-s5Q=EdAs8M;q&IG?9=R zLxY&K99ypM;1CfE4zwO=Ips}&?s_);m14DF*i=Y$5hhC&aMs&eFq>3bXFy6btCfa0u}eN$>-C zsK@Zbv-f(VMU+CT#~8)2@&4x3C-jJVZnZ92xFmtlA{fNma0m_}c6jNKTO3p?9Kwkh zlseFxVg*eOhp?l3l7w8H=zbW`%2r>wo(tJ~A}Kdl;dhc8PxizP#3PQg8NV*@&!J z6(T1kb=fUdQ{eJ&(=R(d{$!c*gsHnI2c{BFd0G~%Q7?99YaI%zltHt>$97#-20O-h zy|{%(hC1qXwYO&@Sc2T@+nWsF6D>78I-b3$D!NMQ1G9P6DfF00>dV}j?SZF7?#Zzy zyR4I=yOVc?ccy*(OI@7$`2KGYDJk>at|LsGKJ2!u_AzXwi^X-6+)}JP1ZvuNnt-V2 z0-93co+0c`Pq$Q>m(u$Hw?_AK7oMcF@c8(7)vDiyTVtT%!R9*3&M_i}^ggIE1XEcD zRpOr>VLn~BzqzU{+nRxzj4YcNjqy!c@x8+@Dd02Ztp{d|b9gh57-a%-i`SKAg!yM$vUjZG zzo(i`X2w7=W0Xczs}XwFoIwnL~mInm&Q=k;y#`wr|%Tp3Gpd6@!1~FxdRAKWNpF84_P)G4+b0h;Fm7 zZoNGZtf!9OEP-sdd3Odfz*Km8so3A=fJ zS^SCXEgE_;`fxT$`o2*t()zWaO*@dkO3lj3!$~}=tvIPdcvSwd8|A{)AMf?ixISO+ z`jM-iM}04DSqF>EdDY_ zzp8)x6TIM<2$r_>r8pHnFhhx6{Q$2z>i$ds>dZ~u7j^5yS8FTEYb%J>Rt(mcV-)TK zJ--rTERsIs_tRJQZ_gbdi{RyzI1C(j+I$J>EW1C$hF5*vMc25NoW{ynt-8+CFYTbu ze;NX!#l@;y4;V(_&Flp@z8!8)J3?4o2uiGVRKRr0IyRq(SJAozjuOuwb-tkR+ear1 z_a(GAs>0xiGS2^cxJ|M&8b0;FvU7{P(K$RGfdXSSJC0rbQN71>w08}^WE2inWUyrf z`O*S{tyRr=fTe;9lTY~ux_Vo7fnrCt==?rGJe?qEo_$W^+6?R$Y98jlpX@M*uk*(> z=HnZz07_Z)0dE-@c)FkO&~n)Y2^;-BvS?Q0=L*!0{UDU&L(3&UWGz$@Yj2$;A2isq zaXqnXv6|r9PvNWLUQN;M8REH^xe(`TFaIU@tcu%M>oAtAsOZhH2V42ilUJOdmL9EY z`NyU>8B3E4Y55z6ZfS?UrwXRG44PYSIm2x3Oi=lyXaG#M6d!OHai6C1;E^OdKBqNP_%R6*Fc2*&EZa_1*RrF%l0m zr*~cqSx&@;l>nwQ_WBUS1bcpLWQ0z2_xaahC-_&6J^BypZQ|bI zJO3(@CC4281Sh#C;h$@(6;^2e(sUg{w<_6hxv8h*rq zm1E(%hopVc`jE{OOMcPtO}^sy_nv&@V~o0)mi~#f9MWCW!nwUqo5;Rx_kCE!6|ezJ zlX0tk71Ka1s=0)uL3(%E8DV-G>>?#7GQi)K(Dz|;MW75+s_|7UgF&tK>1Em;CK`fJ zGs3yEBa#&!3m88y4hc49xHD%ZhTTCD_8^I+>+^>=W~8$F)P@}~J|nflXYdC%&R)?R z7}*ZkWor^G*{YOR_ZT8tMJ$1+y#xEnob@5@a^eBnH7xu1*+A6AR%7OW8&b$bY+w z~8JuA>@>mNzu5*mp?l&h;!I$qI~W5l`%sRb7zhk^a2^qUswapgDI9er(33FRM3 zVEUOsB!s__plNXsss}kP-|@YmU2)(ShVR|?&QQxX=>II&ANpUFj&biiyVdeVC2dH! zXU_`eIieP2gI)7>mhU&{UrN8}fu8??bo=m)*@vFbnQUeh@`sPW?!M4_=HNjK!q}r0 z`JIL!y7Kq(2?32nvb(s3b)S7kYJ~UTnQsU>XA%u7KIF^@=1x9!Ckxr_`>=&LQvFqF z6IZj00qe@m81VYYfpH(mldm=^dIv>z<D%_A+HvxHaHZFw*6sJX9Y3eQyNol zT=KDRh}zj8q0+`~dlKVB(oc3wjqy@U5BFv2%P&{D0yD8}*vtKyGR|?F)uvpAMnr~v zstBiAeY&m)zZGoPPiQ_dWMvy2XJBX1o%LHcsxd1b^&#vLXDj!Y5RZbUxR{*wd?%Dn z@l9$2Y>ONy4pU=6tE_jR730u2_$54`V7oU;Feb51%A4{2F<>tC=z?9stN&J03L{+V zS7;JT22%czFJo(&{lVE)X_u!3o1+;zy2UMd|GXF1CxU799$C*B{#eDwwnD$Q5%p+E zra!Doco5}^g%uL{$OJT*zO9>?@80kr+5jG#ntQMT*tYN%#nR(wQ58hBNRiYr#M9~$ zPrrf?wjjjLCgLdu5}Iiy_EaOM9X9wjSm){9{c+XjK(N(Yp`PTnhp1x8qn%-{DnIr9=^i2ZjWda=%dvk}JRN zFWa;B1fI?~JL#_?7b!&}e=$FXnPT0PSs&sg$31DuHlRdkI=-_!QyA(B+wD5_i5pp$de9&G&W{lb6ZDI;}nHcb=269*+N0J3K84fkN zNlwAsY?y1&->&6moGW0it6{E51nykh*c?7c0bSD?fv#;pKT@JgvqAdxI;^jnZYZ8; zh$4^uf%plYLyZlZlmfvvn1i8o{sZN^&0*Sqpqwd;_HYE-24Uvp_7L%Ejesau#0xj~ zQ{$dce=oZp5^V~?%zv_`WY5*qP;6T7QEKI>s3YOYUm28Ww6KnR+gW{1IS7>o-RZx5 zE$SmTDva#8`A5XLF$Gkxh(BRd{DDDvYAbwLm)eMR68se9wctNMEC+kBG{3W9!7XsP z=YP$3az(efLiFwqaRr*83F8IJ*C!4&nY-Zl6b$|uTp!jB*{4ZP&5xg^_zI}DkpF@5 z`ThUk#Q@z;0-v{T_#fds8u~XAOEXc{Ic-8>ja%}tf+yWbSS{Iq=cD(307(7^04x-L ziT@^H#sT~@J7=6t*Fuu7Ma5~2E@@&tNhtp82c0-6P}Ke}(TwxNzv2hG6D)3E#$AgX zCaD%}{9OZ{e|oX!x~suV2CN30y#Fo&-0;6chcW;B;lG&|2>wnVM%h2RVHNqdsrp=Y zxEDk7D;w7R1!l0231nBB8!I`|ulamNMphOr?i&Bnvz^u7;OAQ z4*+cZ_lB!TMcL6lZsurUI%sAvHex z(V?Exf?3z|J&h9jITvGI3AT$BPfMFs@(rYC;pm++A5xWzT%&&#(d>Y?r+2?EC{_BXAj?dvIQMALhf>|>nB>6`H@Iz zOhk2tb@G0k%~OY0dM$9z6e0)e#dQW3s?p|CDiiPGHPv)T<7i9?Kj&+56)X4J8*rtl zjX9CUDwp_j0HX04A(QQZN+=Sb60k%KYWWsKtpO!y7e9YTD@u_XWE@)GCRMSX{E#0pC@NiM2)ro zWkmLtc|cV0FP@w&5i&a1_BObE0B&!K%u&F$cgzDEn1My-zU-O-8bsEBw2jxqTJh7b z=1MM1kB;x5X=OpAUL$nGE$(e|sg zEE@N1)DAEm6SjS{Aq`@Za*f^o6vx5!MHS@Td&j#3=EJ+|4<8`!ay`Iic5T`oM{ASf z0LU%^L^+iCe>nJFNXpdhIRvWKA-{rUq{#O!hE~!11 zWcO1j2iFHB55?@C%@iwQL8rNGL~%HI0x#qi7cdW(+MMKn1qOW?C6A!pH}`S)2sYZD z2n}}*=I3Ci6>lJthGn({&dl1r1VsGeEz})`zx&CBgA4iz1gr-EJN!>`Yl%pzazVgZ z7+~w6oE=BSRK@W?7ZS!S@u(=ZUBj+ARqVIvvgbsIyRJp?U$~zgRIH}v=s$T=xris=hospUlWjUqf)rmhsGbz#LE44B!jj5_7HJRFq zdbTzQNyr1N^`V3$AV9HGQ-m(7F%2DkAFuEd< zl4KIGGTJvJrGLhYd>KcS>JX zsyzj-f|GK-(net;LQo6IrjI*)AlH>ez#nJEPW(|XJToV8ve;JbL)mcD{o(VK22kz1 z5>(@asf7^m194_;M4+B6sAp*o>Ip}}^eREUi84@cfn6kKrLqbUu*gZZo<~|nJkB`>2qvgQOZSH zZ#H|CaXvP-%dVh+bbC=!yjBon`NCT68lKi-e|5RWvgZ8wV5J;xe`VufCjAAUnsLsD zteX!8r@Ur0b=RAREAvxBdKs&FG;zRu0njjgWidZzGE=*LGO@myAveOD*pFX*ZN6`6 z_43}3=MT)*@4<9^a&Q|jKa+6dyB552vy>Cvy6oCsnb}@G&hS{BYjEAa&Oa((D^yo38 z8{Q18Y1Y)88GYD-txjP!x|t1^?^&=Z7@YZoPyTi9*G5DC;W$VcDC2)e0b9-fewTc``XW?SyR9AOqGvqwLVWO$&G8`IjxzKZw zsoB7B>}^KJwyETuzD~+>4`*0{mz>PNHhc`fbCYn{W|m1pC3DDn=KtgDEyJpcy0%eD zItA&F7Nn&c0SO5y>5}g5*mMg>HwZ{~x6)nGEhU}Oc@}%~yzlc}=lgN4^T)BqyvKcy zIp$h>GWT}PwJ6h^vUg_rp=bF+vCh)pJ0W`<|EWn;&P7_H)T&QS!jCNoog_bT~3zQ3=eb*#t` zRA(9n`SAA%;;I3%J(J2|(!HURs$n7pSU1XshZTK|$A55_e8$JCyQZxpUZ`@k@LZ|J zvhXIW@MOTte58CeBt!N&05Mtt9TF@_H)MG>P-rlfG5@6H^c^#(inrw9$g)C}pB>%= z+rP9QA7h-lz&F4alajZfD-AdCgQMqlxjHI0kJpW|I$9F)2f}yJ`KtT-$hNI_xFS3I zD%Tt1)xd{=Nj^i!YV3Lq^>CuU7tkmI8ddz#I3X*gUmfx;Aj+3(%TS>rM z-D1L91w>uA?2;mW=Zx!8=1WNw=g@*I9(5nrQGMQ>L_tgH!M!AebK{0PFA=wLH>`GX z8|$*vc<<;kET>;R;bH9zpPIhpQ0*|gf0vP}{mU5da&e!-^5P~V)eAAz%XM^E&~I3< zY0H?b)0k| zX2Pi5N`l<11nhM*J6q~VdCE)mbu^>WW~ObMKat6GRuIi5W>p{);#i^mn|I%6se{CZ zvu~`!FD33&AQK^=EoO5V*!)gBu0X~Cp~=Xmep8x&&&wO`E2R6K{gvnpCogXTXrhym z&8N)IQ%XvalaQ0mXW>YXOiPi|H|Hhc;Yja5yLQ}XB6Afodm=*>GWw@vulA5$l!l-kV}UPC>Ya|&q^wIN`c3LlN!HW0DrLP|iO&wp zQi+5Nmj%#FnU~5T!?lMsF-(}3dLFW4j5aas-sOmGRsjelE>;2Wfg)-+H}6^WYi6@X~eq=l}Z=Q|%!+8B@Jn@P5o5>FH|Ko?{i_)d;`I zJ5{cM9!mzJP7Eg&*C4YbhSeaGVNVQ0q8Hssj^|)^qV=2H647drX_2s^@G4v`d_+9K zE)3EB6NwB&Ny@CpT_iy~X)6=Oox6%YNmlzfsovCnQ+{yn6|8$sy8L)%^LGoRTJhlh zvqf`JEz|2^$NRiMuVt}G=JDpH`&Y}bhfUCtb}24Kc<;prKF8lZgE;)j!H1j0spSWX zNGDXJ<)nD5#&a-BAVG0tJ6|;zEZw;eg*;sTU;AJ2GHk?H24oo0C6si<)T&iseU$5t z>HX55tad6!uO4PlfYbYlF7mq~vFH!(AZa_)_%(d`ZdTy-KAopfL`Rz})}v>G#J&>K9YFi8#un zu{w&MWZk7iICdZ{Vmi!ph^;z`m>#XU+*gS!Ex=ue)b+^3iAycW=$ujHg;}|Yiyy=M z<)1V*xx3qGcc?F8*8aqv#dt{B9ZR|Qx8^E?6Z|ifNZty}N?#DbAomqj>xPaZv1g2q zJu}^9>Hqj&47%&WWSxpa&#TWVYh;8=8?>3R--H;!n79jcOruWUfj%J?vy@>1522=g z&jgJqRkOX%&(saysqx~t^V4>@iAg2(-C^&)i?!gy`~xEomov-zh599%)h`d;SDRl! zDaFmHr=oTXMZvoxVRfZ?69*V5mfjBDH`&@tH8W&3^DAZ6iCjId=UX-hLct1JpLz6L z>sU?}mvxtFFpTYE0 zfD&gLXrYe7m7H>m1vlbn0|uc9Y=QyvMar=voSZ&N)Ug3#enCth#EgN!wlQ(cjyb4+ zAAS5A#8ff|nfe89+J&HlSj9H&-qfpFmn~|P{N`RK5N&_Z81c;oPDjq+Ou6;^LUC}f z^Q+xC-RUbl6pn@sZ(GVng;5TPuRj81+*oS@IKH^dasRE~Yv-D@mKVdY3ykh~DK7jz zb>uv}@`?t;4duWS+Pf1LU0H*bZa}7~Pnkl5DKmy~Fm;s9%lN8G8(r27Pk_xB6l#^W zxp+~Q9>Cz3ov`}0J~BtVpS?0yriGzFcVkvf+OkBFDBVx4+72Iufyn7CcFkC^yz*Pj z)7!CdO{{vJyrnpCb2%vp&)Aek_2`#GD zp;E&PV5dPyuouX$ssr`;o9WTMaE69qe!}bWwSVq_RKwQ>5M4;~_wQj+9Qx zsbV}{QH#u`I6d>Yo-aHvo|>_Pxary-hBcO#-!BZ%kpdd3?}MUuY?AAGna@@bBe59# z=;0DD8GTeNx zG?9%8G^`*Ok_ck`zA7Ef&-vO14~D+$#TO(o&+f>QH!@|f+eVV(3@Oj3#$Tc2QZ?!M z#)-|mjgHy2f1&s?{hpS3d=3OT098I6=`P7ghZ!L1Ei_Afc1a5G(t(Eyi|NtnsJ<%S zjyZHiF&hLa&3}Z{SX#vEQkJb!`}j!GK`s#i;+q#>;~(zUk=@?SuRs3hOGbsMEvvj5 zdqWZ}1ECfnE-o4q8cG->dK@S5XDc!_NXko1nSm~f+U-q0r4*^;DK~P^x8}gEfw>UG zrVOl{&I=->J^W!p-l({ci|A;E&e&PrIPQLm4TXJ+LtM|8i7Oo`r#H{_RdIy%% z4kDwD>{EA=>zniM$4U?F^Qk4m^QA;~~u? z|NXix*7B-?r<+C4XI)}_Z%gpCrxE9aAJaJMntz_r+R5tOkD(sjvA|q?PoArfLg8?0 zgMhnP25~`CFTlQdBg7{|0J}drA+8xB*nKeqajTKQuF)*Sy+;PS-D?n60u}61A3)r1 zXka(+65=kPuNGIG;5m8-W0KWiz9uCb(JivP1HD-4!t6=(|9Q5DE9^OUh0-)d5y6ZA z+R4`!0lVZ>V0SAF;#yIIUD_Im+eia;O_m_;i#K355#HzODOHXlzApO1?{ZzJ6QgzJ zZRaAEHsZS+okd#Z!3P|%r)c(EE#XKz%PFdKM@xI|q>U#yCt*aAbhSTfQx zJSN}`RM4RQQwOmt@oVaLKCI3wd)sPn1?&)J%>&WPk3lC!g&=OO;%d8hj;ba=O6zH6 z3|Jtg@%HirkaDp^w-X*nIXp&E1yLG92P@sWc7niC9Ir!|pAr)VpKSgyzK;>d)aA4e zIt)c$A8a3n88+c`q_8EZIJfvfZYv7_)=U^3{t4AR>z$42u|SVB|3G?l&Ip#_+J(rx z)CbFu(moAv>iJ$0Fi0ABXJh^>Z43t}Bf}|{i>M%h6mnq9xb{>7{I9C)-km{(LV8>W zyov(ou>n&DxQA8(U}=nX#HTLZph3NE1K0v=PiKb7+Klaf zao|+V%Rg&*{(xw~K?YSR3!;@z7$WcRuBZEeT@Z`QpL4u>y)&Fya|h_x8$N#aYVo`` zEDl}N%SL_E2AD65A|GIuwfm+)nwU3Q&agDom-h8os#!|n|dQ!ZckcT zuU0qBJe*vVyx&-Bo`0gWc{^*pWgvt7a}ihu?cGLYTH{59Us#{q<|t&#(<2dTHG9ve z<+7cPexSIb`_@=2zJ)!nc!{4N`LSMCpRf^q=U+~a$q_9mh31NgJv{Nlqtb_YEehLPW+pG1k9>nB9`pz^XD7SXHjGh*iR; zlZVwN*1Tz22?G{;G>(awqROPQ+snAXqAq2OgaEvVyhQ|-g{JRSf4&pj91kd)GY%QZ zLDDuG!i<-|ZW2r&2R$)WXhqq{Q@UcdrG>x?!gI6dwIv?I(@HResaii|U>#GNxC8Um z$LwN4@Je%Qjo@kBX%Y=KTLKVo?+J_ZKuT{1Q_@}Zo|q(zCLuJgYNWy2$8!2X_}K zv81Cf|Isr?vC{fxPW<_RVLiolUD`@38E`5Y*LPjl>8-Tl&55TS)q2nn5Y&67<{NmY zxJ#-ud&K3Hhxqyi8fXAlpfbWBYS;Q}s`+|eA*e@RHo<6-YPrF{YSFI9POZ3sql_n% z%R29C>JP%;NF|Nw;k8n}@#%Cb&ERpu!KQWBJ>*!bunh*^$a;+nso!Fmv@zH(cnd7% zX!~#irCy2KmkCQmGTy@VXS2*@OUmJGAJ-UVzShN|(Z}g0fF*&g-^b34=-7y$;4#aB zJpd(m`IRUn)hJMHp*gazRLMtkBggWDZA|*g5m+7+zi7;1>CcfIA>D2X08~71XuNRx zuVK^gD)zBAqJD2gvGIVi-Z20ocM2(Y3N%|7j)c8{h|oY=@wYUgu||qPL6L_yvJY=! z{~_>_8v&gp`_WHkWd9&+(#miPcMZy6zs`{vA={oz0rWv}G@!UA{h!tUr5_uhc}xGl zD%fWKrIODFlzN@RcAX;)h@=%gi73;6l%GTzYM(>~HlpZ(PF8n&Ua*1^8)Eq!st{Y9w^5MTJu&~5cBB^}p zOH<~tBoKLn^WTw(J^vf&TUG-Od`>XvR(J6V81>XU1zMofn^8}dPtt%Uf%3F<44;PR zYd@JRFwiqB>Q5yYoJzz3B?Kxy)jFPZH%6=+;qb@8}Ro%*H|+NmlXIooR1+%m+2RQSh`UtDrL?>r4=!W5Z5XE-VFU0KL% zqr*Afp+CHbuRIcI{vA|jj$rOV7m@lMJzgQ|m^^}_k{l0_PRPj-5r!mMPMDerR3pP06L^m5*@c$g+2;v9pi1{m!6p-T= zF~0(o5;_ppqraK56g6|eiJrG~==rQGT09C{`@%o7h)5x6;P+u;3K?Bqj;WFbV>I)Z25%$-u8(xSZS`VZk zc(^6_QG|^{{t$$XcQ5Q)`Jvy0(luzlT7$lp@zv6khm!t+e7GFsDlDkcdK9OR`VKCOD(}x>2822+1g0)9f~kvC!eHt{1eogb3KLBIP6?)3AwsB7pipqy z6&Og`582Q=!)T(y8y|vMUT-fu3BGoTk^%~)i{_(56qb@{Uy6H)(Px6Z@fjH?RLRz* zvJ3V!8K&{l4=Sz;XVHooC^S#ldipgKHR}tPOc^k>nGZr$!v<5GF(K5oCn^Z05flLC zmhwTk+~i za#olMyLbM^#B6k zE|jPOQs^y&8_5Xa4nnxy;b88P6NHOI2_7Rp9mx3hL8w3Rz-imwL8y1)u)mupf_(bY z&Gm)H(E2@v4{_VUbzatCfiH$a7E;jDg|uV~DO5B9JV=kk;6jP;)}OAyMLP*_p`Uvp z+-(S#hYd2mUJz>j6E)C`P|1ghDH1Af04GmSDJhTj#dh8rqHv+icjmv&*_TaIhdNy6 zTDm<}+Vn)tdshFDK%mEk&jO9uOtw~~*KoCFD~T)(>*b}w>^lc>%hjZ$@e5L}vvp37 zFID-P-V+2n%ACnri^aD2b$Lwl1+5|G8dRYD)9(#n!`n&P7T5@(0b%^u#1FHGj%=^n z4JN@J@X0j@vPS~mOdJL@R|C!HNQitiaZ*yfVn?YG?AIP3KE~cpi@}bl&io>?tluMK zcxWENaKvJN%1_#(c7K=b)kB~RAi*YteNBSx)q$Gbzv5ud6SVdP$n5Xg5sCDbIyy2` zZ~=aN<4CubSk#X9H|t@7M4pM?ErZmISZ1-m>8VSnM%nkCZ>BR@`8EOC*n}&uwXwbO zFtU+mH7%||L5oHo`4IR7LT%+tO9C9_1mTHufAtg3E=~43$swae{zOC^hugodO^+S& zUqZ{)+R9~Fz0wT9l>POfzrfS4HM?Xv00a_6{)9mS0>$fqz~H5?#adf3N@=lQv2Jfu zthT5ezPMmB%<#~aa6g>VieXns%sb1mF*|cjg6z{{J0jL?GTyU8-hAka?QCo(WmPqL0(syA*%DC?^PruAT5#RnUP&b4|Prh-J*xrTb zfS5oO{m`8zJ@za4?d=4h_|DMf-8(=LmFJ=89iTX~1SpC+bA50CQiGz`kJLqh+5%?T)=rKyX#Z%d9;h#RX?W#nAU3Y{4uFA}gQ|0gA*gEpmbRCM z6GRNEC(TCUHu(kN{&>m~z0Q0ABr(CA1@{}?CC|aHc~@%7&;Zo;aE$<=t7kyyKga?4 z$98*kw;~uwH5attYPQ%QbQ*6+*+!8eG=CxxfU|%)CxGb#VaHMdY~~JdatypbytIMj zy}AaWrZ6KJ72vM>BR7mB=W){#iC&CWR)D~5q8JS$Qu=)-c&-#FKJQp9p9bv`>IEdt z!FM2yCmJM8gfAqG6CIGIxD%4bS&x_2H3e=b%@TD^=<>NS^f~u@7?2yYGEFpt<~^T8 zPqcr&R`f`JPxSpiNgxjhNOX)0N#s-uB(lVYBtrCuBvNGp647=;5=}j8PKE=TT9x3w z!}9)bJvNZ0PC-aHsyLJ>KGk}Va{gdKvfTFpS+ocsSpq--kTg8+fHc6v zo-p9?kx%s;JvU0MLdNprS0MMuQ$5+Dkb0US^*Fdf5{=-iDbwiiJ*cYd5E}y zJY;>4Jh7|1An^GSj|4Oct03pc?0@TFgfxj-gX@_?tOCKs9Hbih?Pnx*p35SOjPyj^ zPsCZSQ2jHw+$JfrQM|I_bl)c%GQ6cpCT-5YV)4S}=M6cP<#gW9Jb7v)X-0st^NQ_= zto~;3*zjhM3$)R$P-A1b!R?xbwV7(UI4k5fa3RaaoSTCZ@2` zV-0JDi*Aye=-tI=XO&m%P{dMaY(tyWm!3IKY>J)@a!o*}OKpzkLKa>e~)j#pnV@pyi_w02pJQF`w-g{uFTd%ETzzgR`}c!@0Gv9=)@zcfl$h%r(p)oLOFmCqY!d{hr_5yH-QntCr&5uHARP zirdlKwb3S4#^#1*^)lR;3A|=j!pAi$furh3uQtz59c;&aL1_wdK3Z zvAxF}itC3#?X3%wT_g>)pF93%H!$YL-MJkv>V*jOil^3g|5|t`RehBZ?ervgoSb(% z^4=E%xw_5k)VWBEPXF{;xX*(+8bsE1ZbEl1y*EE8WR_iulkrvr&4qJ-IvY~R1X5l0 z``{+el5BpFi%+iUpO_L`q=K#q>vawVb6J|@J-W@frpG27pp|L2h_L}m>742Hdw(ccj4~%?xxM-`tU@*`1-o((f;i89HrA=MSyldI7GQ(5X94)~mJ8J%E#j&`QbBghk3FK|rJW$`%_5(2w2J+`zMT#m1~*wwjSRJ7K` z{Tjo6z~@|=!3k`~Hu0;R#k0;le>s!)6>$cH{^~P1QJVj4D9ip7*0kbX%qp>A|x-Df%BI2($#ED3K1T-$AE7BQ4%`mGmAM8MeDjns(ey)L+h8mkLYoelJ z&^h-CNNr1zmf1U3K8V&2>dK|ZZEAh(Rc{k8xY!r{M4dK`sErJh^E~|DH8?F7YfTV? zMDmQpxRB~&F*h_wlqoy(E?FD@F0io;))OXuu(`Q3w{|_<>X+YIDEMLG8=M2fEptyw z6ywhK`>wH#O#VavlNvPOgHQVtz z4g&K0v?c+iHU%f*zGmYnrGMSCo#o{EK^iNcawK6U;7Ry0%*^!%(puQMZ-P>mv6FCH ziS^3L*^*-u6Vz7T80LwO_Kuz#Q8=8=W9|`QC!3uGG?=7lF!IwfAI^H`@L(n5f>;N& zbPHH%%SS5OSYwlMThH9fm}`<^RaMniJ~_m|Ok}GHjt&RDbhz0?+<)U#!6EB3O^|o) zCX7L}i!54z3xRNSCnvnLyzdE~0^nl+o`t}e0DLpDljHFW4+HQa0M9(L`MD700odXh z?grp}0G@tk18@@nYl5EfRsi1x@Tq4y9~VLjfCIm~(iss@JowBv@_cfXBO@{Q5>Fss z!w5-Fg%#L38&98BHGG1n0oXx~EZqzOO9C(>0LMSWzX8}DU_Zl%089eFU#C@pw+;dH z5dgOX=%x^QUs@_G8h{5r(+2?97N9@FApm^;_iWs2p&X|Q6$>Q1zDQial^-=y4O|A8 zCkRv{!@kbIWxxwu22b$nBJmplj(mpU0N5IUIiFw5b+h>QHu@uf26%KL(O7vjlrofTetqmBf@7&7QyK1cW>t=u>1d>r zRr#;L34zX%n6e)?(Mk`p<3)_%SWne5)9Tluh=#F9Q(@Xiyx&aVOtut|HN6m)yXe>zQyk=@mvJ&gcJ< zCe-_stVcpufWX&S2s=3JnsG*0k}HJ}kMudu&%L5EiML{rcvq^tT{;G?K&E2))ntw; znuk2Qn)PSUMed9qwHbmkjhX<;?)YAGD=i@d*Qm#D0k!dYO+ZzEsX(IK@**SY9i?Ks zG_Q0PTRXDurzoAb2Lj`IjRH#Y?r5KXpToo>O{4m|pY2*4g~AUW?79E8sE8RM29m)O zOyBNU4u>xbesr%m-X#Is|36?ed5bf zhNrSq0jYT}uvDIy#S|cQz6VGV{Q^tTjqkbuQsVpty`X*O<0q{M>6#2uK+6DQmz58< z*!w7j4It>O-k+|?=<4c9e`hHEvIyAhaAQFHmL0&KQQ z>hY$!FhK39(31wv-mX!oi^`v==Xap!vG={9&XZcHmMLMm;#EQ~&<3 zmwmrvja0Ii@PQFVM+3Bu)}FOM2!PfWETA>^A1ziGK#KzUJV}7(KU!HnfRrL2l^FFb zrOgOP$>9M~TpQ0)nW%u23p^l2;TFZNb#VxylJ`X8A}bDC`3k$s>X8bry!hq8CUiW~ z#ift?kTudDb$a0JJo*04?wT zXzd{aCjZl`Dcd~U^M@9yimqc z`e+M`TGEpx4|M%FL$^En20ysWaTt23_fMfvMn?LG2l(_=6w1((eH-Y?Ib(7LvvW?z z3uG9ikDfl775xnKM6)u)(nmGmP-1$rz=8HGWB0`BCYO1S0_)u6_phw%GiqmPKwIj+ zX-s}_sd}&sPLK|bF^*K{GL8_M=S}M+-FUn8*HZ zY>s4{mhdMPRH?%~uakItpE{U$koqtD;(SMUq~2x!iwk)%vxX|U#7YNWORdJE#A9Y# z8~3%ban9e9z5MR+!GAMbHcX>87@(cKm^oF6)S228phZ{vp`kTZ6IT7+bE>rlx@^!C zTWoe}f90n~xbA7|VDsEwEVjTKe?)5#8}|@NhU#9iP%_lmDW`6)5W-aJ`(CFXqjUty z0{6nD3GeV!1=Fp%S-)u7-EGvV-2B1$RaZ0{Yn9m~)6lXvVB?m52(@&+1)45%HPMD% zO6Yu>zhF=HE7N7_@9thtmb|u-?c;T2X=H|#x2NuddOd1b3(GK1Ru6Pk)63%0&1Lhw zvFi+K^H3VgFDlM7k+pirvK&y8-Inq%a?02q9i8r@y1zDdJI%?tJStQua61zQu6zCJ z4bSU>sZxe+lwW|?N#W5+IRJIOjD0tdJU~F({HT@nsVS%g3gw4IUzk@z$J@9GyvLPh zvXzXVrn>1rt!>IlNj1HOk2}}u2I;#EHMRV?ex9!^=AUQ4a+tvg6iZI%2<#4}b7Gn4(A(|KX~}XjX|Uq*a<##gO8XlptK{UZe3T)6f{~^SE9yh)ZN)O2?M&U# zgOa|4i1;i|JH-`;#ID=Wvtz&KdSerJ1fyS_V6vq_!e<^TS+YvY@cfFlaqXAZ~a z8Qwq1S-}$e3t{>7rCpY9FO7&eLZiA9(QctTx173AwV9^}8TLTGTAbRgFJ9P`4fe5U znGL?5RP%VZU4F2kM5gIK5YT&iSl=3uoBTMTyL>(48PHq~SKRHNa<+1!s>Vn+J6oJk zaM*pI=9xzm0Ob7Ek1;sk(4&2Cvnr*f>HPpFZ5|)c;c2#GfosJzD0}FV0Rr zW=tr$n<&Ton@Q+&7qz0>V)dwc***iBlNMZjyqjJ2_P%qh)#B)-EnvZ|pdBtDAWeFG zo-I&|%>Sc>TlR9T2DI#|@Tep=FhT1W!a)VgEr!t74RUI>C$<9P{8>)Xk`ZpDr zB9f4B&m%j*O`qTq@Fef9hkW(IOA6 zU&|C+4zeby+mNY0sPq+TD0oY)p!zT&u{35iR6x{pkjb-4FEy$1c&5PRNc3(u$okeK zvPt8m)47n>B-&C>MAP?$hvb~HZ(`cmBu-9Ew_#>8Q9=PBL`@L{cA|*~tZUQh7vXbr zB`LBOTw%VAHuRv-1~bPlBm0$Z@vSD5^^OTq+ZDS7;1=(u2g03S>zQrmT^Ac2{f3(a{~Vb-op#ZnKOHJj_}RtEl<|?=IaK}h)tYtcTxJ+_IuV6R)^f2gdZ>3^+)-*~ zDx)pd##@ORjx$Q#@ofwrsPt*(Ca9BknJFpGkx#;|DSrUj->g5@Y1aA?=xK~uFHHNz zt*}jfYBuBZ=|Qunq&*hqvhQGyC88BiRG)ctekArIDiy=R`}(yVk>8Ax^kiaUZnM!% zYZI{2bzXi8Y8uKRRWZ6+eVoP6O)pVVVxz)Q$ zKPiIkwRatL?*mygO^`Jwx8x-J_WlVtQ%*BljX(ZVW{RUOKE;fgYQo5SbUT5uamySC zTzw;^-O&4HvW%}eX~$4kHWFy7^3VJX~AzbaqdfR(EInIm+@gSaX5k zuZx^Ts>z*7I<$YwpslMv^3n9_E-|WaXK^m{n0WN7<~4Ur|gPFB2ExQ1C(XXbY`-^=%|A=ia9iI!nn3X!!-l8Hob4ZW0l`!#m?4 zCH#MmLrMCipCgll<5@7?_6~JQCk4kR{@O<7P2@p9K~MBXKw(LAK|sMt>_9-FO{@Zr zOs+dmEBKr&OffEX7xr#PUWECzJnpOvjXsvy-rsw>)i+eO72Ck7aO+sN=7GHKzv!*} zZRP5`Z0XKnC~PnKm=U0Y)_NG|4ljpyV8(6fuTDlUaboEBj=ZX;6=ocogRrY zb->06D?}6|xNw64+=N|ZA~?#(?;jG$c9CC!*1MvgHaUo~Ou3Gx=;6Y(^G_%{TOh>p zG<`wcz3Tk5_OzMdc)4^GzQOVc9xrTfrIY(7nYUNY?!ZrXB2M7pjyQu+B!f{7>N+e8 zLUNU!f7JvBjokVxJZWkduhZr|<_oJwQa+i?iP{8=Ys+D}9{U2E6Fb;dpRSAYLBNNgFJ(KlxUYfYL6fG_#@91Ok7GpKba>gA4q=k z*_MFJ97ua_3aa_0fA%Eos6EmzkZ@1`OyrI-@S40PCTg(@v$sB;rVH}_SVnmk+(5^m z$OuQOI>N-KTz@K?aoYT}C<^ZFmi1_ExZOONxzM*;XlyO0r0876NPJ0TM^*~bdVqi1 zVY!&x8CGZdg*b0{bdSYat22y+$mMTE6=O*|{Jj3|eGB@!B9j`H@%jky=h|+mh|bAd zHqxl`2Ce^-H>UCo9#kjdA|l)e1X-%9W@@)`%jO5`AMSHMkJX?$&ZkK`$S&S-pn-qYq6aEst411}958*A; zO9V<35FTfuqUHS#Df6L@OuH=^OCQONx3U)o-RWY+-!#92wBbNR_4J&dnVmdDuL;tX zs?w`@nle|3A7Y3o>?zo>tb;T(#bPgz%0hMZh_>ue_RWoO6_=GoF4?kN6VZRE)sY!$ zntl#hjuSy4sP(s6z44bpDHmF<$t){-9Cr$61C^8sIt}ELEBXG8Fz>e;gceQ0%-Tb9 zyhr`x%G%Yg)xVb&EI9O4`zT!BL;J6^w>u@0r=;Zed#e$2#!} ztY2%mq#nM;p{+q?IUagqajRB#X`|b0EpOUc>u=c?Cea_XXJ~f=!0*+PO>waSZpv z@bOT1MIZW zPEP2ma~+SF#bwrbxv(bw@r!1Qsgz|n9taZE%^F~>ng{Gdcs9zR28c^#G9Q867ymCK zoxKomCS`~E{r{(FT!`n2L1(O4sr0V%2Ep|iR#dM?c(9m$&q*`;?anaOyId*B;kYaC z$>sVR<&W9BrTF?4>^W+p@6ZdZVP=v?%xo&_)ipas)O;VHrw+G`Y}?*v7xv|a;=(i#~0Ig*cMsigm4%k_A@#kiWr@9K1cCr(geE*NX2OeVFPQx z^SD%@iW#|ouW~-;PY0HL%q(Ow%zCfg8c;YEk^3G>Lw|6~Yk4+4@(@ncg+fH4J5%t!1ZDt5Dw0HC*e*TeXFwWP|-7KU}7zFL*!pj>ptm2&C(~fLCxd|I)0g zzjVVTP@;s+BqI^<5V{6#-}}cHXJcCUIUUpcVR=!?kM9?s|9CY)=2D(`<*HWD8(v!B zur=((nm4>}g~PKaV1NT=-~byIICuvfD8beM_aGmKT5AAzBws0WF#>yuq=6&Lrz0BA zwp3i;_G7_y*>DhWH*)4b8u1e;8*pe1Bw!5^a4rk%*+9y?%M%CgU6uz;0CzHX(Ec(5 z_R*~>{Q+l?vVEd$vp4XScj|`kFwh$@B`7oe_SU<%yyt$M0r|4X+D13i;&hvc57Qj~ zTgLhJt(4h!I;d65<6j{lNr{5Zjmu231?lVW`*#)Bq7g)#@8?lcS0rimNQe$62@hkp z$neO77(U~+ZDX6)XHC-m)BN<8t=;gGU!f$~q-_xoa$FOqEy}R|9Gi<+2ishNN`&2i_A}BEyhW^s|B3YaJ09Eu&@ne~gT>hJ)hwVW>;MolW zH=h{bVOkgU?^iKQ9Y6+3FNK9IgQR&fY4~-i(+ z6TVM0r7*qGA)+Y&#VfVz*Zz{@7wryyNMP`L|29x{^G00XGKH{sRyx4#RkdcOTKD3* zcg`yZ;0Kh?Ljr;c<=kanQA*7@7upre$ma2|K^XT~rV{DUtV5tLQXe`}Oh?xQ*(0yL zjf+3IgE4sDd8d&7tBc#bC{TWi0Cj%nAiTcPLN_& z);?uz_WpauXGcBsC^Y5kinUiivexvarkLNL@?=35!iYB@XUXF2i#q%E76uaf_}G`o zZoI|dhBMX9>cBF!r9dFbMtZ$f*b~eiTiSyS`s#9M@rmPQsk=0l9@SVBVqA;LT&ML{ zB@_-Sn9WE7l%dL?_JE5r-(su-4PR zP=-YVjT!4ubZc06rv{rH@4vT*7Iq7QkI&bb%=)4pmbuA_R-rU!N0yIEU(CHJsi_;V z0CI17VUK&*T_cd_77?EC2 zIxaalE^!E^^{8N#wacn7=!_+~l+hp$r2sJqv!>3e&~x%Ps)R*;G7<5R3E*@N;B2If z6EG(slI+L2f%EM`_s(i2Q0On1lZx@;uZ}bK>@dM^Zfx+fbT~@-GtIE*Y#c87JYw(K%OUlLJWaghH*QVq^X)t zW$!B%w2Y4i7Cf4)ydS7O9Y${;hr=%<7rpR5h&DGIi%5Ch&PysM`RXc*61a4( zXFqU%wiBa_DEu3_mRI~?LR*g*_0!ilkh@46Z%_N3VlTRM4?DMt;+jaFdH=S6JcrI9 zOd@ZQiz!DD?~-}@OYgYITh^dbrgnBcG$@O^w;ILqc^z#LDq4rqto4^zrAdS0*J0~4 z*=v*UsVKA3WQW#q>$(a9B^k=nHtr6Ql%IC^A!@D+HCfbUc+IB|!+v=saSSB`a)STT zul$vBzQ0IZ9rblWMfo@(&32i|A5{J+?!A`{0mZz++pa~_0^&aO=EHXzgEKw~Vlw`C zAH7;Pe;lcm?w^qqC#AO;ysDmXDf!}j6R9#pO0Dj8BY2L4fl|@Lvk<}CSd&fnXrziH_)87bQ z7#5}m5tiDl)`PeBX)btQ%=NLKy%e{cdYw=ES0Bv4^#N-hKP>Fu!jS==2_jh?2Zmis z7L}X_$*KuA2=<7j`KIkK#<$&_)+!&m_l-hPgMS|W1%Ex%xZ(@+2 zI-X6h+OXTJqNK0>p6_Ta=cs2l*mi=d(m4oHT9HL ztH79nY$0D|+|rB^2E%IKWjtSxF({YF-fzvAg{1IgDgvgSv+XBTZ6IDe!G`zvp2~q) zC;Isdu~$V}S7#&im+Dbb^QPkKosoZNPmE04&;(mKdcCICbDVd_t|~lWBs~cTE&b(f z_H8rIuL`_K*#-C6U|;H%(ac+-fSa^ofTjm@WL>)$luVt{L&3chh42|E&+T{)sy1~d z&uXYPbvD6$8W7IQ6+0$RT4+z&{;P^T4N1>V-#9o=@sM9Q{iyJ*IU!<6QSESaGHk&j z<%$%*H!v3QkS?5l2Kd&KP_d-1-8kfgN>u;w&%V&V)aN8s3J8(;He@(qQOIaqzbAYvbrej}*O54KUL~3df-@!|FfnLWCV9wsj0l0w zXRc3%fa0AITe8TJcrHL>GYT1u>(_#BZT$ui2ja--m1vZbKS&#~u_nJqOPjf&#{yk8 z_LyofGLdjIYqX>@_4)q8i$hqNI7%jEh}@N7RfK~dL9zdn)-*1-m^=TZ-_$yo8G97;ABFYU%0+ZtniS3d$w=8q(k8=DkNBUIJ$8Ja z$&%Jsgxt*Az7B-nPeGWi%f6`6SLMaLx zI|itk05y$fCI+B->x`{$QN3TyE}~e-E&@@o`v863hG>-(A%4xmJ457!XqC__<8k<~ zBu^mXeSS5E`}tK-IH7RTkXZmqF>k;ex9tDJ*;huzwRBM;0TP_xlHd^B-Q8V+ySqCy z?k>S0xVyW%ySuwf&}s7CH}lP!S@UDo;x77B?Xyqa+jhIosa=@cjE3|DNYtg|z?p*o z6B|lc8p{@8qpK8SsjHOLTnOqvlqTF*Sv*)@SuCqL3({>MO$g9XQQB2gQ7Zgy2BJfs z_rYcN!3D(xLYqAG5x40hE&vN8Nzw!uH&g?r1bU7O4n%UFp*uiHs60R^;5Z!;1o(p7 z>MkZ&=q~1Wlm-WhTMGY(YYvS2ln?4XWbij`-A7yn9G&!0!~akL<`{rn`ScO-!;_Nx zAIZQ&l!|j6D&;8csCIz;pK4Eguc>zK*O5O{H$ek)*XM~j#<+%fE_*Ks)rH{NAM`(!N2KnV*}gWmc5pnst*zj$TcToi z)s4K-Ej_)DR6I0AT)+2>g7Dns#~LE58BkG2DHk^4YjWG6Jv2@m8?>AUe>8N?6TEO!&M}V(GJ91{VdS*S^IzG?V^)a4`f|Sp z+!b!evzzO3ijKXtbDnyq-j+Kgs>HLkshT_M4X2JX@avnBxnp2{GD$|W#Qq&9xp=7h z-t&As(F|JrCm%-^d)NDhK!VPTXgA+1{btsi=S|;NL=K(dlOx@Vf{^-()4$8CW=zaW zPI=k@Pml^s`w+Vnb4e#k+t1ZwR;0^ri}JO1+*DU-SraFp2#Yl`7i(#N$x1UE8m8yU zUZNPg`URqGS1lZz#R~g60cLS;SJFjEc2Zjepd*>bK z)Ds^{A@{)PEE1fEar5WPxMOL`i;O~j)Jvr0W7di@z=TN4yL32Ua=z2m68EiZ1+>qx z(}~wMy{*@Iu|Rgll+L9&eT||GY7C4{c( z_EuJ#y2Ct`7eGVvX#Nwpuf!>G#6sucmd{r+*V)TXt!m-UdN5PeIhSKCb)gCWHx!M* z`ZhQW0PFzdfyl)Eg@hv>@57ZmTn!x@>u)3;E;}P^FJSqs`Z;T@?3^HhN}-wE4B2dY zQhkQ~(??Bg%}s@;#hvpLS;5|#b&qL5x<`#j#dt& z{Ti0M47;_rTF#{EZe(KO*o?k`Prnq_p}s24SK1-~ zlaPkZf42VId6K$6JXR{bd98`b%!9ozn!gmi>GMtC|pyY4wQyHk37I~l8f ztyS~V;HCzsb9&rFSY9d@3~a5ASd~sWKE&94F&i&&woa|&s(h!`)^1-z6IFTx94)}y z$V4>13|!Ex*T0uMZ=Q5m5aXT$D_pI4fCam1@7P^j3(DZUpHY#9jOMWw{`d*u`)KrzQ)p(rAG8{O*Ex0tP`W#M= zY)ak_Pd&^^rhnMTBRK5h#=_A+#IUL{ptLWU10QE6uazc~(f+{cfKkAY@U6ZA+SARJ zovnqnW%B*(?O`_(TT6Hp5K>D*Jx!k%;TKGQu)l9&d`2-0Owgj&)TlD0Zbodi;~Z#= zkK3#=QV-L!owq35@h~+qC8Ml$$ecIs)C1ELj;~DpjsrZN9PvwWH=*PD#~Wd99Wn>4 zKMU4nl_qVEUrE19pS`iky+8Cyhhex(ONZe&4WHJ=A@9}16*#E@1fjG!sH)vFLrO4> zxm^8)94c8ElBo5hr$fSLfZW^ z$*fSfm2(W6wzdkZu4XZ+CqTxqtFMP5w=1{WLjg%#vFtks>j4A-g5!ESfz0#StzJHZ zD}!q_ETQN=AdfToz+P+Vz2vP+^*u6u;d9zTaC)M#NM}ZkM7{<$s*4->y+b?gSxWy| z$JF=;O8x-Y!8csrn|WY%6ZiKG*b;=}_hij$$8plJD^T{a@4LI~5jfkUhqWQO9o6Oq zO9#(3>G@HAmi%U@smF~A3dB5rkz%`07$*&Y!|lM{09co3_c4clT!~AyJbl_&Z`J>q z`B}r0z{&A@aeTF@bCmse0htnge?2bEA*k~k7c6Ug%nYyMB(&P^GHWiMVzGOsfVs|Z zR3;p|QX(WGJD&1^IS=$$m-{b!dr{UC8?^vanRln9jEr^{;9>%qx=Mqc#XE`(cr7`R zqKmN@F~I2dC-n6=!e?pz!IX@~)b#CpVMg=Q1#)wKc21t2 zkVFQWDbEO)K>O#Qko&&&?%nO|#=|$NQNTlu3INPjN-9Wf3_4CSLVSjX%H8ZQi+}hp zPA22(L~4UU^w&m{LG)c(lk{_#Ixt=4)U7{(e3q9P6X%{wlS*Z}N_CQDvOi~}=jYBs zN><~Y#vC%slcijH47T;aaKAR;2INATr z$D$bkxHw=oCuIa^dDG2BIM7#I7nUJ3T|QE;k1LftX|XW=~VE(pvZDZ;%>FOezqUX?w%QPBJ3V`JfB2_=w zGvxs3@UFRPq5azYC+v>;>&qE;UqVJ+1tJI~g|0npT(ar%TaqJ@KN;dMCOBQ{j%3_8kyabq!>B%?D* zO4zSKZg=pis>#W$HyV263Q$f}5e{$ir%TlD*XT+rq0=wdB^bR+qGO@mHyTYUpxr-8 zqU+%-#bH38_^(`UA}tgQ8w`0;kdpqsF6wAcapKz{G~Y)?7b>w83Wf@h}s6A&4lw1X%R{e}30s zu5mhL5baY8*G#;tIaF8{WI&~kT|g4f9IAfwEN4jb}FaQ_)PIDSw=Vr-3nmM0tVIpz%j5FHT)WX0mu}}!FRY0uP3w)qp+hfy( zN833W#A^}4ekqNhRG+f`_#E%-Y&(`y>F(4QZBM8YBjqJs!~sR&3Benv1M!pb<)O-y zr-z$l9#&`d3WyMB4Ln-cdHnVk3xc|9EJ$98uR;4D)BqJY<;o;WFW1w?K?*rnbF%Ep zKWBV{K1gRiY`&l6ddgdVCnA=a=BXRfEN^L^NcRhcIl1hxlOhew>mR_oEG9=Q=jM(z zSyiSi(%X8}@6c~4H|QqY6k|_nxoUTw@tbL$C(`%($~V+8VUY_o*MfP9W#3m{T3rTuibsS_ew(1lx?Sx zvk?{aB|CekemYw%g@OUQP7Oy55?jqu6GM=bp1vuD!t~q;xv>Kxw6lOZ@Ak)8oI6U6nei)cbc>BDMt--%fvVv`?jyJRW zn+o(KZ`{_XN&;Ykw(`x@hMJeEN*$I>A7POlqTEtc*7lA$#;wYCFNFekVUyYr54uF0 zjM8G=-&{RKL9T8oEp**diWRkOBV|X?D0lmzv0Hi%4J{ONYJ`$f@$4LCHG8$X>gc1d==Z;qv8oSa6l5`pJ)Wu+tAM$3Cv=D%#ca*6_9k=;m>=ykosYDr* zdPk-&In{3IJG3d1lBS?;mwj;4HbaTPZDQOvz0-+9#J0&Or{Jc(*eyhza*#iEKllk| z{a>J!Yz3uEx(GX5!dV#5qEwxQ(4v~S=*_Q^o;ei0ft6xQ0BLYy9DH!wNM8iAnkplKu-eEiMDIxgZFs6Lp|Z$Lp~Shw?2~Dl zjKe|W5oWm^X;^#fUVY&E;`kaP42fSJj>DG1>=pWWeOkF%=MbvwZkXy_Az!618Kd~P zUG~d5`WY{tcBG!K-i-d-*i9wK4hrIF;8T0}0&I1GuNhFulC@}Z2V^ALHwd)YE=z4e zJOrew(K8-&=J~UUppLM>$4xX^l~1(cUyc-h3MD$@qo}mn^t;B8bbBxkceg6@k0|@r z3ooshwon-J`vuKa@`^M^i&nOOH?$^ptkkzY^`bgCk#u)-`=WYWi&QFANR7IwtRxaH z2T<}OIPXu0i0|)om=tiod#-L%Gi}2cX*xrmi1&FCjjL7gBVkL=VvVbPVvU1^`<#Mu z{na8W%okJ2{#4*_e-|`Zbko!jbY*86m*mn%%mTy7pmz!~&^Ub`eqfwlj)e;!Tp$z1 z|CP66u$aI=DLRC9EHu6IeuDZ(q+{lQJK#6<6p=gkV*GGIgB&Iwau#TEj}Q}4zI48p zM>VCK^{uioZ^a7BF~@KxMvWjGEj@)CGFDKylAkI#ESRrcU@$nLAa4xnp@Bondi7$= z`XM>!p`(P~%&U_amYy~vhwZ{!4~HS# zW>mBrlsAEqcfBD(?r2h))`aw{2``zEiGF;9YIL{d=$EDb%jo{dXt#U}?6{a;M$(<` zzp1y!74n7L#%6_$e4x>aeaR^m0TqS<>iW53x21GO`F>PYc2xEW6vGUUd&S|BfyF6a zh-L{C{yAjD#$j~yFp9MPsEP#s_~$!D=pN9{C6IU|!6}oW;K2cSX5Jwai`N1RM)>ot z@XQ$#@?ONsUaE&qo_+vlblqWr@9m7^f(SMNAkK5>&?2jL%yX`6Y`zedDgWB(r`@~?6Kj}Zm4N$JA-} zI6?b<|0b~01MLn8;R}`5BA5Wy*1fs{OL&Z=P@K8i5&l-{#>h%P6F` z%M>1xCN@&dN03VekP8ggDA(B?cOHQkMTfJGy_Bi0lq0f1dJT>n$OHx?GOwgM$-kN} zQg1!D7|UBDo7ZLQsG?Us0}Q6@h?LxtH=Ck;2z5)B)v>Sj6L4 zhIdVBU;i8Z8#m&!W0FFYE_W(234faawUz)~@X{=i<7T6;`@1`s-vVl9Y_r^rV}@e>f> zvh9suO(g=0+YzFU6%)OfEuRw$G1f(sf2_ZV_g9@rk<=Kl=&PoKn%)8;GK)0ivwAOP6QfwW%V1I$AByHI3ownXL(n zF0_nU8;sfCZ1z)KVrk8P?+{H#3R{sI3*q2wbkheJ!KZCO`lTHl$UCm-!ZqpA#`SnmxGr@!=Ji{q*2-4MSb)6X#UPK!Uxe!&KVtNXyjxeQR@4ZV1V2nsWyhO2kz- z;?SB)0Pa+T7dj((6rpW0d8pPnE#~mYMB$KsnQEy`WRb$K>WcIUZ7)|O`F{$L1)L4hhC;G9I69To4>&0#`w0y%C{R!TwfM-9}; zzgDGCn)J&+#&!1`wtGHwE_zW*XC0I}6G)G*<`Oz$g#=njf{2cOow93P_L@kzAxKIL z0dy>Cdy1@hAgc@rX@%gn1HXW_YiY!B>1^=v>^L;u*j*mdef*C z&~LzZOCQHYaxP@PL2nz!rEcx8;%~YR8es$DKcfO_ z`5m^^`A1Ylui0J|QvG~zAd`@BwaiYcqNF3atDdn>d#B4OkupWapkCee;+K3TCRI?k z7&ok45*6w@UJ+yvUIslKHDZBL$C{=i9w>4UpNhvFZj8HzJ6vEw*9 zcnPCW!gj&Fw(fI6&YN4ZLR1(@$&<=;lqq{SUN1k5V4591CKs2Sl5pOd9y9_*!UVaR zQU|8aF^8^veD65)09$rfR}yJb#c50?h5}ByG3hqG1A^(>ZF@jfRp(e#kI(=gpiQ*! zm>4R_l{XWSp2iIVO2PUR8lGOWIfz~5qtK$rYUWO{NP6|^mcLa7rj7XqQ{h)YY&8}T2*#99HJ9fm!3cNRB)HWopyYGtf>ItW+i#)VQ|J;;85q(Ti+Q{v7otQwU9Xc(mSdJxKr-O&P!&#NdnwIsTO+jJs41ZX*n;shJGUIt~qcBpk0Osgcul z-W0vk{XVn3t6s*kCW}4WIF+(ioYZ`#L@1Xs_WU_ah|#KLh)Hao)`?kcj#h*tAvN#K zQb^(D@9OXVn`=D5T&#pXrq#i(kC}KdMkKFn8C%IBq z4d5Q;o2==rMG>s&vVumlqiKv?j2vTz4ubS|!ZQ7rx7$oVnEP?s3fq#jN);~ZGefp5 z!^(d4F}h^Uuyjy*;g%&V40P4n!GO+$-Pz)_Ri*L|X+^rn_hDfqwmz zAYIz{)AK1mc0e2W1gG2qF9Ee`sj^meXRTeFP-&e&+Y~sLx0=5=l!yUs#x^9O^k-T) z71K*dv5(gS18tc!rigQMvfI?6*ev#1GSn?W7xL*SK>r1rf@u9vwp7^)3U?-Ta2c;H zn4v_}n83`}7*1z(wqkM-1SY@h1|ayrDotA9j#GfFR|tGRwG4P02gpUVt(NY6R4HGYN9S)Kl)VdA16m<{E%hlF*QWF*7{og)~nfgtNVYWX4~R z$=Bxd`@-e*0C=7G8dS#S2-z3H{3yv}v=6EX2`Pv>0&@VW zAVjlUE{R}*nGom9JJ}*?E?l!Iy zFOYYSe3cbANDkq+Xh6a{=dQ47%%x~n0uA(*RgewR`yzW+5A_jd8KNr)OOF6$_OXSX zQ%Gvzjz$q!MiWzde)BMPS^%gQ9Wj1F7UiBf9`e}Nh~6u@lAhFenFOg|5De>rBBk?- z64ztoK5l2w&K*dk5Cm3`U&rTkc12d_r ztDqsYX~NB8cAO3i*YRf&&GgO)Zx9@}V@1(J>41o)llV>!HOF5tYh_mfweVdAu=Zp{ z-qd5(vZ!4&iU$0O>G3vUb-l;YSH_6;{7r+q+XI$FR5z9_yd^3=iWWPFjGrj5l`>8E zhasIIGXO2e8tvhGITFbY#)BRmuz-Ox|1%Qi^{^eVwqYoKDJi^tW7WM;IBC?7oGg*7 z#un34sQJMrf;M@qG4b{i$w;5!>6hch7M3o3Ch%*0i*U5x_*Up_y@#pWKzK(hrAH(w zV(PGEy)DQbnE3B+69@thppU)B2ZoMp0f`!DxrkFnA_@ zC@nuW5$_ue4j_N9-*gzUfGmzpifWHhh*?@8kX0&q)YOcuz}AXYj1S{)5kgU3+W!Mc zR&AR?-fLQHAgv(5CKVGgqlho9Ky06)Hf$Pdk(Lh)>`k7Tm6wi-m{!CWS0GkM5f(SC zrUUwz0E!KSXGAfjnE4d~K1(G?nqZM-4fPurBW4!G{*ffyV*pmmpk^~D(Wjf{I*?$e z7V%r;iyJXS#E%&eGAIR)Dh?Us$HXREXyn7&CbK7xFzQjq!2vaaXNMH?W8^VYhCFU) z=3&ye=Uxl?j;~Ip9;~Ug>#fIWmKO>DY&>pnfXha;^;f&w)1B+Y`$yd>HR|un8^`q) z)>g_NrSax>Q+qu-dUM>X)D`Fxkht}?Z)bBS9d#Ad+L;aLi526hmjit?-+ZgN*_L9B z|F1Gpb+s4_16BPdt}jofwzt+7y?s`o?ZjnO6F+6JfGP(v}PvK z@XIk!9*Y0%f0u_!d6&bw=vZ~!=TwlH)O(Y%UipR*39ZMA#6bC+0gN>NV8gZ@HIHGQaniKORD0d|1YUoH+?)M z=PKj-meXbC--Y+dH~`Mw;!4Cs6nDF!f=E89i{@cgx^E765lA@JTB6P3tw_*#70i znf+=+Zej?EM6Y(=Nt9h<{3-qHEsdAF?8>rnKh|_qH7;?;;4muG%}CBYgG8Z+Yk7hN zNOoKsX#axqm}TWQyVngF(%rMDFc`gQF;m`3kv|VB+^Ii;aFngQ4Li!1rC&5pH+;TZ zCW(d`z}HxN0lePy=r7!_{#{l5Q3ydE3)22V^?0qTS1F1fq019ai6wyK$7h|##R(gS z0qb6bK)&(T`r?V}s_c3EPc1}{J;DYI`o!5>kN)$Xmm@Mf^+BB{O2~06uoS|i7Jrkl z7Rgp?B{RzDJssoycf!iwN(hBY0&0kOUjVA@l7vT)Cv=@Z8fHQ2SR1|LBqgGs&@WS1 zcirhLjC?wb{GgC6R>+F@n6v*xgQV7t=+WgGXkHy0kQ=g{VV8a3rQ zmj940IPM>h1WlBb`*|oFdy1`Sx50_wGr$+p9Rhb&{AUq+e!;yFEr7o+PR26SoQbl0 ztOBsOO%FGq6*^*pwIX3l7fUyaKA&p&oQ~WRp@FZCP$;Q-$VXBznFvt4O~uX7-f*sV zjzUo1n^;iKiPCEK(yiYtPM>fN8(7)v$yr(|dHbEYPR&zVuB<(G(XmdsB2%(Au(xyF z(AUGVu?T7H_;ze4|G@E_LRr#6eZd0>)G;~E zH5p#oOhUpqMaNeWs9sOst^Uurk+w zEzpjdatrMGAwyELrE3F%LNl%X=?cda)&8oKjI?J))e?PWK76(f110wv^vJ{?tt*vB6UsYCWYbQuM$9|=P{`DbRelk-+0 zjl7t?pT{e{jk;v($phI&R%IoJ(|By?i^idV5$M0 zkUJ$U!4z~HSxhkK`vzADPxsW@;(y(hnGT8J*gTZZh{}uCT*VqC$-^pU8=R4TieHU$ zq6uMF+d?MN?uL}CRY4qO*HO01Z>$Sxko9rgsGwL5_G4;vN0XL24WQ*pe6D#E2Y9-p z+vcHMjOrg=8(;2ew5OaCmFKtI#M)pQplKIbUl5eXZ>PIbdJ!7HYc~l9x^9bedI_4N zQKO9iXT)iJ`qyxmRd2Q(@mNBU?V2uxZYR3gGP4izIekByz$box>U5=ABeiOliHPG= zUEgpSa1iYfqM0H+c6Z$Y2o|mS7$;c`Z6Yt=7ui-LTMJ(JOWcM$X|1!$k=M8glleVJ z>wNDfCd%lpBZm4~?lzDiu~Zy80T>RQ{S9Mg>}FfTixK2>l)ExEjJ-IorN8=sI@3jE zL%olO#_oa8CY3yrFMN+BxvKX})l*Au{IQ~OGb5>SGQ1T;I~j$@iA^g)s-;V1cmw& z6n_2dw76w12O911_v)-o&j15ja87j9Y5q7n1KqqJ%Pbk-c%nBYPv)0cUAW!)lLS)# zQV`Gfev`HEA9D%}fNrAwI-^y}1zU{*^LT&PL9S6TsM@OR|K^ zKBM!5p3}u^heNAI4L|yH&HHuqsZS**Tq9Rb1EE4YoSA%FLHV7_0HxD88=8kv z5)sl+jGnIy3V`p~FRAI}xAP$QbYeyj&5LD+C$EsX?st~QR+Qws2W>7YBBVj^H9$=J zIYv>M6mvMF)q@C4L6HiK$$*U1rQ^>&&Vq`fm6(ulW;H1cxee~0{ZEU)2GzctZTQvz zxqykt2%)`5y#AbRCodbtSvY&Tq|rLyFH&|mHpDI}K;OPyfuf`>Rls~S1nQ!^g_T#Z z(B6EJi{cR#gy(lx^5VnCkx6;khc+SI>4ItE1jXbmXU5OY&!;P2QfpF=kRZzix2B39)AF*O;)&% zo$h}~0-mlfb7RTrXUVRiZxkhC_Hr2w_#hUF?!-!~3Re%qsEcqMLpj43QI$MY4GR@i z?$^>Ju>+t7+hYt9NS|YkYx=XrDJk;sF;P8h$s&&}OnB&YaJ3vzg4R^hzapy%Ah^3$XiA%jyouxv%WyEAX$$GuMq2`@;(9pv;hm*?BjNpvB2%E4<8iG*h^1kxWq=qg1P=pB z_o%EWy+9_`V^bF5ejtCM1vw01q8>R60f3JNKWPRAvK|+S1d7jUa%SJ&E!N{1N!Jc> z7m`bkrJ!K19ycZ*(#Mj7A^v3)PZD;@RoDINdvit8>&U!v^MvrX%P9Tt;_!Sy>_^~D zDzm941k8mjfDnGERemI1uIOF#J6`Iqbq&2{aO>`fJK!=ZE#1!)M@TxX1d1=~P=M{% zEHsi0;M~1dAv+Cl?p_BtcfSpsyFX~IF7Kc=YisDHA9X{~x1?)OQUfT?=355@piw+E z*C&nWF5sfp-Ky(m^@YxsQ|;5Q+=&RCy(_DjRei5qnTK#+g708fEK|Z=Ca)KEP&=wq4!^yt?f`47?H#v~`0Nkjo zw>P_>Q*yX=a#Wxo_=fr-q<`2@H^U)HsTZg_cQpzOWL(}# zibdhvIvsaj<%TI0$s{6tVj=IBzK$G`!0~n@_Mp-hPBM@}nB&M?)TQu2@J;3}*S%Cr zI8J{odTREpJ@lq1)dSF0U?wH1CO%U_&HJ8_*tQ3l@%}J{tJ|1}(7Ot&59Z85n z#*9m?B2R`lHLGZ$fiBx*&$LYBr0(vE2?OK(Fga@#S?zW2e9oQP^QT(O6n8_^v}F`E zSF@8Tw=++$WmvfOYG`RI=rYcxJCimv9#G2+5?nEBpxuU93wVnqVOxGQl>Ys_4W-XL zd&-U!kL!p@&H6hhsO9Z0YoQ2@)fMnJ!77=Qj9bKfs;UexHrE>b@zjVR@cgNqV`t;E za_-zlfkSafPEc|KjeHoK3{zy@qk)&`ObwHQI8-FhyhcwW-W&s}k`drv#B`+&7rqEt zd~so*BX7F|47wX!=G&12bTz=%CDX)djDV_htwp87+GdH~EXXC(Fu6dTIBW8XYxIi` zj*{DKioYDC^j}LPTg7R1fcV;?QF6knVPI!B?L`b<>hOO$nSio$|EqJG=^{pWL~C+C z&MQoF)kH7g0)1_*YZS6l$H&k2yiXFjp}dLn(xzm_9+ykVrB4Q8DDEh(1|n zPari!DuFeXTwzI3m_8v)q9`Op?M&-7gJSR=P2*@*&__nPR%rWH&{okMi754W$z)_l z*&{T&CvE=4S=r4t-kZ~L`GvN%fL8Ds!m8hZo>j}AgXY*eTY%5E>5U+k$v zBW2Val4id08|Mv7$I%`T96!!}0H}Zf4X!WtB+Xiz$QVG9NGBj-F^<-l;0%I0VoF!~ z#0nUu^#gLOwHb#Agh)FBA?qKIO9<|~4~QEOg2N4jU}=9M0WhPGi5coCDU&Gg>Fe>4 z{~GQqF4ZU-mdvvQz}FR-(9RQop3pBgd z83EqFx`}3&8dWj3jSPX~NJRSUI*iCdq0p4vkwgnT2dn&w&zIlt3x~|XL^*2gYAnK_ z$MDaA(`tW1Q~nKIBz4LPG=C+e80s4-1t%*NjZ#w3jr5NcBhr+}yQ(Uy{fbCX!dF(# ztFA81rNkyxPBERn}lRp$Q{5v7FBt(hLj}kzMB*p<7~ZMeX6Mr?K_t~C zH0q}4#`uQ%Iv6K^z-sCDH^6;+JzFHU*v<1BbRc)>URYal`A_C=*x2}C@j+G9j3|3c z$uq7LKdPwiA|%aH;|<69-ySGt-9ZP@L6%C(Bk#)x3~Cr+WC&uCLB4i+YIYQI7rs5& zzB<|0{Q)Xw+q6-0Th4csUo3Q#owvU_{jiz1p^?cX;4JB22iz$3#dK()cdWh^lT1_D z7sg*pXqKpXwOB9IW!Mku?uEd-Q5fbhBjyeR2$<#MWHL< zorIib_>^8S0#5nnXMS^mv$^CKf~m@s-ox&0T4qBVa4{@1MEgO7kViXS^#YM4#qQB} z8S5E7IGkrHKBWz64?rN-0FV;A-58H4-2JodqjX;P;w;`drf3;q>h>fTcoDIq)ZBT7 z&unaSewy0^ubG&p!T}Inms`%}UHQ*{048umAMSED?9Yb|jF~l2)FL;m&xbaQfSRat z;Z*+f9a#BP-t!&cj!)d@Q>RtswelDzsv-~1(i6CeQ+5tVk*K+M>rF?| zxzEM;bJl|3-AULCAjW0wK`WwzC8?Sc`e*2%ACVnt$eP$!;5SY>kv-|qnpotT*spUU z(sQD5l&Y4t-tT3ND-x$xG#`)8@4vRJgmJhzU!E_nWwj7Go!>x>r{!HdaT{iW!GUH zFyWMhf<45D2s&~p>=Xe14t?2q0lJ~T=@O3pR+%NxA|}x56z#nMhPtK;{lNSPDF)#J zNbn=mPk$GqqFAe$SUQGS!f?N1{W|r6#=XJZaZ!vJ>sQF%Zc)xX1%1>({2TG%cjqR4UVIkQd}?0K zL`u#xt%jc;p<+5WqW7P#e9z(;ET01N`IG~0x-)(W1Lwyqr;Y(Q;l0CE68z{KOtxTm zy7$)4ka2#(Uw=mMvXzYg5Tx_T2jf{EP?9_}YwM>(j98iqcXUe^k%cZ{fH}c^^dzSK zu1~zOVlkI0Bp<3v6B0EiA9tUwQR27I=#Qe@lG|1^=Z9-uE&9$u=aH+PzZ;{F?`gAG#Noak<8WUBKNy|(`>cKw z18l5(Ed8iJS3aqO)kEAXXp4Z=zt(-SxUo;_Ho&4vraWol72Phpxt2`7#OhQ7`1SE} zo!JNC(9=!2+S(hW!>?t19}6lDSmW(&9_B~1Zz$_PIeB1dNL7*>|4m!DbJ4VgGfm%T zMoLj2A`-RUyFul}eO7?GF|8QD&h4_S0g!<6t47mwJvYIUl#yUAHz|QDRj?a@j$K)t zy^C{q0Q``#s++JEKR@NQ!^S@IvWx2IjDF@h5c%CO>J`QC|o!mD!C3Wm!Q^ zPlzg>z3(U~CZB25NzKP^6vZt`ffeZjRL@p%@wiVZR^j2Yp@AQtcm1Q22H8 zyYWeW!n|DH@GWfm%#bB$eQ$)%z2&52@9zv-3{mzB)!&o zg1Q<+z5tSDp+eNe13f%NGBkp^c+ES1BY@u~Aw24wHiXuL{`7#PJS5!APSbg1W+E!>i!%-|{BL2HLxjS9mOVG*p6{kGqiSqHx6$ zU({LOia=G4eY=+Ujbt^c7Q3$|U_0q?V8_wE`W^drqD2CLv3`BlwW*IrS6q0hvw67z ztMvE+j8)59b+-9f08u5QRvA#rdu6Tc7nM4z@x~BpFxq*5;a#Vp zRI5U&le%9ucU(>QI%F6!lL|Q;rZYUa3F;6Ur5vkgb@f~ z{STxF2$B1M5d90O_<%40A)^0*lmH>R9}wcdke@PzgL$dn%VX|EvzP!F5KlrvT(mNP>#E>#QwIRsBoncB!;_H3J()P z%C{N@KLgalfjky%{Vfr9sAhT;K0uplkOUN0j5e{@8iu@o1x`z=Y76aXj2_XRg z_-73Ulmh(^|N48k{WMqq<1E%u>$m~#sIt_ItC(G+J!Hn1vt1w9Vpk~Kau8(^9-=E4 z4sS1i^}D=G9&V3VXRh*R=|#g1)H#%rB`V4=RHy7>$=omaSRZ<^hEKVMW);Z9PQZ(fGve^#{JAY10`)^xECTTzrM zy20q@F$=n?G%)&#m*(u&cZ(Y~&?=iX#0NQ=3+S~vD(L3@!qO>KjQI?6;&g9GUYZjS z2u)Ep4Wge%F6yGvP(3QE`>l*YQKcvV5`#iXtGlN;K`C&VB{?z)u-fD^6a-Ou>#J3sBx_frIJZm#x9|>Ll-s(r`vDaldA-1{|d4Pnf z@!s@F6@A37rH|2!bD?naX@X_2TJ`(tnW6lSXDSwOH)H?O;=QglEqbQ)hN7*f0tJI9 zDwy;8>7uKCsfc+VKo)_yovKMgqHKh-m09f@8LDda2!BgkyczJcvWQu%b!w|b#p~L_ z&03uma_%My^wM`i~D#YYhwScDxev7*sKxkH zABo>&wQ_7QI<}<-?W^#meiyZ{VhhU>AtF@Y$p?qJ2#=M_M3uIdZ}2!vj&FoIx+ekk z6Db50Tp)9>3>PCg{}O~Do7Xt3)sqm{=vtZ4eQL|W-<%A1`Ht#(N*NHd<`AIoD}{rS$Nc_ zi5KMg#W&8k9yX9U$raet)BtR<{0wYz!hyAHbez&Bbf!5aV6$LHOhaMy@#xKnb}=G) zp@Q^{14GYk{*UnGg$1MFh9WQ2hdU_TJ3x3@|3{p$Y$>!292(?AP33mpGio! znucr&bU?-!{s&`k85YO3v<>4rXmEGe1b26WO9(E(g1ZhHEJz4saCdhN?jbk?4*`Np z@C1Jw*!wyAeBXP{^ZuA?b*)u(*IiX>&CJ!kx?2!S>1DUe$JK^Ts1!?gs-(1#ExI1z z05YOxG-C)jyu(|CgDE@IlCB5-9-3?Hs}u((@|fbg(rZ|&46g`dJ?xA`5aa}LT&Nbi zE00Eqp0HvOBg<=(CWukqQ|7sT9=X`*h}_St&@E~O3RJE!UnvgK<3X8{#r!?g&D_jX zBOija(2Fbo-Gy3h(T}!c{mP7Is4@p0?_29AEvh@gI`DfljD&sWYQ9XUdzckXSYLv0~kDmdSd+taT+KN7fr?QCWgqiqKN zX#D5^CiF}v1TGvQO8^~u#t#bKxUf*8247X<5%(+S9vm31Lj|}%1ek_y@%i?DzD6*t z3;--pDHDI*4U<2(bl`D-`nj*1rr=I}=^Shy=Rhow@wwUD0s30N{lrdEc8d1`L6x@k zLqM}Q?_eVusq&AxMajf{8I(-~@G`{;k1JQGnFE%p1r3axU7I4}tv9yhV*@BqJ+x#C8g<)c4LXPd?kK8&?6J7aM>1^e}Un z1bi+H13p<%ZiY8^HTw1rX0Nr}I#L!IzO*YY8Ze)t3OimjBo3K371-S+wiSWP(Vw5E zLO$IZysKd-SSzf78t<90Kds!~us5I;xsc?<6>X_+w%C`$=hF)DQa*ewaUyxW?0%VlU9ly!-CL4Jc{P9jc{$+qY&j`&4VcyQ zvMto6w^ahu6L;#!%nNej78KW;_N}7W(H{OoA7w#&B*3m6)pY0^tDyy&@9EN@_t^)5*aS zViw30FuTTANP(QQNHRx<1r_QFniL26n?KksR&YLC{~LGZ8o{DM|k^ z$3`$pG=R6QKCVE}Ymed{C(6)9vdbDtKzOD1wc3QU2UknShhO_xes<+}ETduV`L?z8 zI}D6p?;>rD>2*OBnn{_>&3_0|633V*!fcDWTgLe{32>#wz23WFfDa$fqBQh})|_$1`#)pQ(=T9RyeM?sZ$qL>DOhm+XW8yS88 zKvEq5phw~gok8_V>2BKd5a6BamQqD15TpHKMyzniQ#v}rg^HEXRjkt19rr4peAWUX zy^3pah$q;9?=GafUp zz(%UDa%X_Q%>61RY?rE2-C`bCv1sGO7fDud2{jYFN<}3pcEZ?AY$-jNKD(4aAu@mmUth{c%^9&t-=R!M2h-1_j4Qig_ZS;hH##1+9U0aod~fXe6SND;zc6lyz7TG+#;NXR=n90A&0(tH8lS{QG& zb!HPon7r9aw&(qR;t2@W(Am3pd=v+}$PuSTQqBn3FRHEh##Ib)y)5hRw~&obOC7O>HVG=O*p%F|U4Gs>sU=e_v9Xy6a!k z`q~LhwbE3jAJwZZl{6LRc2M z#3WY~I>Xcbj|Li?SNDU7uO%;H04i97IT17GPfk+CaI#h0LMIFm%iuV+9}*S zHWBEgB&vjnAPBtU9ZY=(=xtpB_^$+Ow>ypLOM-V73S^M?6;t^1IMiD?SPAyc>p7Hg z;a#LpMGF2Bx`>D&U90lm>X^PP6T~e z3$^YtrY{W@|1#LV7?BH4J%lHq=oL8ED_SE759D5>N01l2Pn=1h$8qwR zf|g*(+>Jwycpf6k>1zDb3y7d;XxBK7r{-6n>2~MfX^^Y2mu&8PM%VH3Bo>%_CvHO!_3i53+4h^p7kK;maM+c&4?W#O&&9`+6OJHH|%iWtW z>oJyij7y1Kjh=KOe~Xb5@$c;D*r?AqmJRPEaQ<>?FB+uL2g2)38;EQc9+ zrFR;)W9uHJvE;FL$JZmfzbifZs#c{h!EqEFW#Hy=`ClFWdZf3G7i;@7ms9U9*H^xk zvdi)nUnxjmt?i$m)`$vnC3dD7ReUdx5eU%IaYN7qXErq1@TNrdP2{f1I^ZcY{yO)r z_4ep!Z{zpAoZ1t;pXODorNM3Z8Vl)}%(|nO%IHm?_hRs>;7Kj5OxN8-sh8cj*Sx;B zxc-vQDgEaup;y-ud;6^6`a%e!}-df48%u%X5sG zN{oPu-Kj#;Q>gT^g-hq#;o!P_w4w76HLXBX;yB%FK`ydvO6*ig8h@x<>%QjCEYm`# zCpC;FtK6-q-Ut4kH-`;*ZOt8f$sWZ66JQMXmwQRY@o~>nubSFhE7vQpvaa?9&KE~! zHcPK=d(K<_)V;cIX*arWXt};v%KE3JGrL{xjfA@+~V_So}ZA zEnM;C^Vh!5y(_(g$3;$-Z1@_ZB9m{VZTv3!)5R-Ol1nFxtaHl}56&YszCV9bF|fc$ z7bhFFvwfLg-WlG^X)G<@IUD)j_}=f>v<=1LQ*h=Le^l4r>L&WFf~x&R8)D@lo3Cf)DFI2QO1w@CEg^MUn(`L)jgRQ zH|2FgBS?6+($h^A(nsWui$e}~@sS?fe3kj}E&qc48ei7_{j;0=0V2j;{G{{?ZmT=6)4PN1c>j7* zLjmt;@J&GrT-_CcR@X-9mKxi{ti?sC?{lQj33&{bh0?VCvKL@c{J}2=Pg4@CWZuV*mtO2}8*g{y|TT!Fq zwcmuCDV?=hru!s$e}d>|K2kr7z=iVR?zqt@P7l_SIa-@P0&)}O3smvu8Sz}7lPBw# zPpc?Ym|cQeP!258a1J(3ZQyI@58^+08w`9X%4mX>!V=T0d=Dj+0=|uTO+(?M)NsEc<=&*fDe|Dbh^A3-@dz7_M}_r3p)@5+8L*jmpVT@xakW2~`%l zjiB6yXK1o*k$CT5z2Cren{evllblmbI8HO`bD{ec)xJfg4f4jK4bpsvEzUbF?%m+| z%u;xOM_7k^&AWfU4;37CYJp>R()%v=3Uk1TEH>0Mly_+lrh-U1GbCHZZo3o%`Ajvd z2DF-igrbReKl98Q8SUGpP$K^d=ZhM(yf5(D5V|qP)-m)>tLLibMQ>s72=`gOYM!UX zB9v$t8^GJpqfDdMnW5WmF;Z|7v0xH$Xj5<*7l~O>U|gSFcEo~<_2bRc@J8aJGmv(M zdP!33y6~4iE{vNuJLPK078jq8>FNmwRP~Z_58$}z?}sAgg^FjSj{6GqC}*ib0l!Y2 zAAo&g81dp)_P+4_Uy5eP8yY)3qG<)glfgQ9|+;ikcB^fpasSk(+qu z<~YKrjkeR=lM*Y|>;yV;>zmLFGW2W7h(xIrR3k^QZ&6-gloi&WMNCX#_`Bo<(|g_6 z`WfztR|dK|>cC!Z2b-k$?vB6A{c|FVKLRnT)U14YquMh2womf6!b{5yW~{`EQb~DA zs+#FaDRAC7yDNvvfvBLvi&zz2&HLiJLMCEIk@#7=h}pBztrLW^U7wh+Q-R8Y7OO51 zYlLDtdHg7IE8|-gH=l1%IXMHVYJ4_91K;uqGf%C*L4BK*jcp-6DD+o#YVZ`w7l*?p zf(p!kH#9c?2ZnH7*`S@Xh8W-T`x|PnrVnqGHo3r*^Gc=0HqB1JAFy;f^LlF6l(@IH zzg3>q$eR6z_1-;rxbQCRHe*yB*%AnR`L^qoS)zsZ-X*kNeXQ5?}dk-N*gNywJ`jNmAl1}V#I#~!Wfd|}z)j6X=nauq7q z;XAmy9)j8VmT-eU*HR-1N~>ZCmPQ40U!c<@vZ++8>NLNnSV`k|v3F7V_51J^mA0fe zMKK?;Nc%De;PN|&{V=dTs@2Z>SL7mW^S_Fq?Carn%7Ye3W)*PPA<$S$2C6e!CW-1r^T~c1s@XHx6&lu(J_WAhitjrA*h7&C3akl9gwtPUE>bihF3h<8JOmk3>7a zP8{b1 zW2v;SHWhWSMB7$YVu`?f+FqkBnGZ<>AChKA7un+vc%29u`j-KJaAH^NH7)A<3QLuX z|6HGp-Rl~#3-K20mkC`I@yzxOl(yFFX>L_}m{9mt|Dr2pLt*{yf0wUHoOQmOJeGb;M#tzu3 zc`f?|tvgl7EX>KaWw`VD?##g#7jMJl^_@%K11t}kmY~{QlYKh8pD)l5rZLNU*v~9; zORJYP9Z;ETKkSuWmzuiOo)?^*fD^pS3$J2@-x#a!8;ia^DasLfZhbjSeCqEAs_2-s zrY?KFohv)e%Os!v-U)KmZ|Z`(U&L^KTFlvj^5(4e%KY1>rs8iJ#`zP zEu9^hFA{GV%V=}Mff5+P#wg;GQ$eV_T*{Hd7kd&?K`(x;YWIgGfUG2l;Y2}?Qn=`P zAw&4uf2Ry_pqqhsQV)%>0O2HZfJPrp(XEF%JkyEW0fddpSa}+H@W)!zI3}4vUp^bs zE1DLwK$w7+szy4pr7(@9^aJd90K6OxJ?M~F>DG1iZfRXGsMv6hxT`F4evvn67po2H z>lJOAUvTmFp(*uq;Xr=AX-H{HVa}iP(_guJZl`%I`;9jQp*QO)U_bZ$U_!VcL#SaW z=f=yVULJ-Sa#apUWrnD-X&~F%k7JB%pzol=EjxUHJqh5wB5nCEe+<)mC7Nr<&z$41 ze0Nta)VqcdsPU06*Ml zu~&UHrCky%n*I?@c~#|EXC~e0X(95q%Ew^QnR0ZVI_rV|D|AQo@X6?kg>ze2hq|C!pjx!J)!D;)l> zif3>~h4cVP`Ycgl{{QM6+CxCp0YzXcn0V5OHR@eNhgW#4$C`< z*{9``%6pI}&;%?WNYfh-8{8DZQQ0!B`pO~}p+o+ zjOTW{Q2h|NsLaGnD(kJ7W$(vg1HR;MUf@jpqhz*y?C@OdcNK)@JXHlA55V z!C}Vg-6PrD*p;6faHTf{%0GUxWFOx5so!Q^Ro0>;eF`Y1s4k_*){F}+6{D>hcufY! zdUicBGh&d8e6P-55$*j!H9AsuVQV+A_2d*0rb9PPSRS7-t9ls=I=Z`=yyv zBlxOvtTgrdd}bg=bLX}sJYjQkO~zuhf&Ftt0!k@61NU9W;`zv~H^u6@N@J=|e#2?q zFZ%P==vT`NR&l>UhR!=vSZ(Z%+{pSkz_yMX(Cy{Onk9^LFD_v~*$aY8&j6Y?b|Vx>TQ%=i+Y8slU;q8uqc6y!QIaR3KZK8>fiWT!bi{K4%x*>=)@F(2K%Y;k(mWkGyQO=3Jfn=>CxKcfwQl>93Ny4TqwVV7CP z_&A$^L8Pil_ms=AYV({o-ySv{I$Frh&v;wNy|mYUXO+l=o+u}?7+51)u&>oe`TC;W zIGNt@Cqvb@X4n-y9lUdJCHfSI4Zk4j{TT{RZMl)?y?vOQxSF0a2NXNXCz+P4pJ-A{ zh%dU0u^WoDqQ#@dVpgdr(IjB@t5OCQDnI1mmVOfw#Agvi>4G@9fC!#poGAPJMz3Ia zbH+jG_H(62)szGhYX>3}BGI@IYNGly(GvnZMgs!_4!7q$Y{3Nf1xtW7W=UTLqH51R z;VwzLup;zJ1|Ks0iJ@&1iQV3gFvOrp6G$6?0M>3kSWZ<~aM@ky8F%(YdPBky{i(_l zx-LkS^+G6s=*^hw*3rQ$lq2K5h3{>_^xFi&N^%CGqsU@(^5smZUH{1)84Gx^)x$B7 zH8%{o*yWz=-M1Y{j`aZRx)5R1EZh*A>MiJL4xe`d;4p_V^#H_c#*0Hzea0^j^sZ_w zpq|UyXubL`WP(QlGNq)10GYP4UnW-coNzDDlVlXY3HJ)wJ0Y=uC&sdo-Zi?qSc~%`sm5|h*nTF(;s0NW{EMc)ltTU=l#Fd+1wSpV zYnNYMaMVQ;1rZ`bZk<555JCq~2jsgeh?1>G(5n14Mefk)ai)A`;e8A#>U9kq5PtzD zMmEB$7jyh?UGQL)4xH#AM$RBAZcj{x{blB43kJ4!VUTnk=2t#rB36?z7%TW}z5Q2A zi%^1(#d!85<1zepVIfRS5QNPYLJJJteg}-i7_M1 zaBWgfQ`jO1hS`mp1{4b)Dm`R?3VHwnaRkvoJ~@Ku7(aF@IpY=SogSE2^ ze+5-ep&2EtID+t0?uSZ#gd916s37F7pk$@w+C1)S5B97IsLu?#M2sdwU2+@7dS7+F zLeUtb5c2X;dq#>~xV>>V>JA1=l(ZpjbN)A7_CRF}f@;3+haY4X}!I z=6Lo|++7Uxjh2mYONo`X3oWg3ze$VgfK4%M2bugfGF1WB4LAPno2O8wZ z=DQyDuF}EsXSd(|$qTJsd%ax`jqT{xLnpVspax)fUN<`ZeFU+dY|fM9r?a5Vug&02 zkB6S-rI>}*OPZp~Pe4NfSp=Mr|D~XBFMF`;8wVafC~8Z^aBe28_c#@2Ys>{47oB(Z zAH)VcKm*!4yt#}B;w`@bHI<+ghvG-=GuRZIUyy8ZCT%7i7`(2veD@W zS3+7+DI6pPQcpdV?u&JC8Z&=IdFZ6ZkF*T#oBL6A9*qTOB8oO7!je}W9!5oDqcM|t z@_#BUe2tIApg5MoJo?7X?Y6!thEr|yV>Qbd8<^z~tbKp(<>u1Sex;iLZZaxfu6FwX z6<=S@k#A!we|YG?tMf}IF>;;CNLzUt{za~6+Ym&w5!}5ecjin;pkNc0vlyW))hSg^ zR<9OwJ}^FhySckr;dy-t`J!8z!H)KOdm=TH73@{}XI@zllsm;-UWQ`8@Ky=oYhdBW z9Y>8kuzWdn8I{>)S)&%SLEct^_3JzJ3li)31&WzwW0Z-G#V>0}J7+~)pZ8n)#tPet zfL*zXT^aHH#fbrJt&Fs+%L=q_HRl3_*?Kw`XA1I2Q?U@0hBPB^;lpu1nnt&Q6w8{h znb9$sDf^r+BnxRZXtl8^v=t(`-OE_2Cl$)Lz+z?ZacqT3bWJT1bzcSO@-u$pn_3Nb zt3WuCK>OiRh~;aDvFhRsvdz%>eIDRb@<-5=-!?m~nS#GGAgy_A{FZ*xR_j@eZW(k* z6h7L^ZAHE9EH^0561~zhSifAG$mw*lqOzr`_gxAcnB!`#_zJ<+#a*cQtOlAXO%xLi zJ>X;co!x<^dP0=;tRG(y(zdJkv2eQ&ec`0KA;L?SNZ}#up-rb)n2cE1#T(QV*zF1j zqq1T&zPID)u>o>_zQhZXLw`6rr}Gejp;|3feKedccrc`)`H&5pJ;JAZ?f17P4s zH0}eSZ#AUCWvdqZIc>|uljix+Q|||$7lqz+Stv8{!O6~(6Qi+5Cn-K6%#;zma8yhu z%MVclL3sN;`8_&)MJqv759AWN#=hlS=A--b`$tfbXPuActS&H5vfz_^3I~V}p{DUT zZc$S_L^Vcr^pLqLUzkh?b0CNmeA8OW+gnn7Ch^wR4Np(4lCx^24|cqsm__#d18R=Q z$=YD^#YGMiayx}$&^4L-5!q^s8y#_#*?ig@w~;Qpr9!$3(7 zKZiav_HPCr>dZ^#0z}grJ@}|^_WV)5=qoT3PZTF*3R7*{-t-Wddc5KYejiYzrfFZb zGKirsNDjQ)7z3b3#L2Nfl)gLSpBn#*NQ3<>+~BnD!Hp`PQ}JbvE)Y2+g*`Gcj_OZ-VpP-|2&B;j94 z^;9$1@)S4Rn)C2{@4?4w!!aM=-m}@u#<+fhg&9FSp#6}|wSG=EAZ6H;AM_roR4DzJ z{AaQcolUD5kLBsydNep`e$Yqodl-6(Qoa8nYjN@V3SSt2$=sWt_ue!SqTvq|q{9tR z{yPkum;clN7TtdnAJ*`G{PRaIKyKv;A4{E(02D%L=)uGPV*iN#;(z$i{%5?uf<}JT z_~-?q=MSPIcr4#jV8C=>WXye>4)M<+Ob_dzWDvDvdU8-d@^d(EUbao$TO~YoVj1Cc zA1AIi0)M=Ya7c>%lj}SflUyB+*^V6P(OJ&dA53!rwKzWQw?Yw6w7Ot&NG z`}@oCVSXZe$@9}Q{-oZ}&x;GAAk){*;LC4@1cEG<2A)JntW-B_(b1KRH8KgMbY9@BKjvPe6*8en=5yKLA7r z!3+Q)Lg)fOILN^2Mgz*391qz7;{VqG=F`BxzBnQNK_F&=fB1S>mOz$)uVf(U5MeMd z6Flv7FHv=Ur4z%%&GozpwAS-yL--RunrxUbz9Qq%o_oAW@T6)uH&@mn{uiF8(Zz-d z@JpV*5JP6r{t8ptg5AjuHrBJjk!{&%=rc=>LKTA&#}A?=!z!naS~!g{55xE|59}al znJLsweR9uN%cLIi-$`YW5I`Y@93I2qG9{O6rKu4f;`O}pQ*1&TRO2EOuJO`J0yI}* z3K>|f2ve@OJ>YWn!$}ymwlyng$^I{RHNR>y!!nz7LlO43Cys)72~8N^2fzx0-y@Jf zRHge#4sK1elX|#dSVJI}*Oi&z-`Sd4P3?IfM6LH#QvQ4_xXVixwLVnw-d6#)oY4y( z)1Mb;Zuqq4L6=`GW;4A$r9E$y)I!))+;s>mDf2h%wo2L%<|^X}Y>+--d2Zx6{|io(@%BWmaBK=RCTD1?nW6G9Eo824V{7Bjgbj2av7>(LNz_1OItst$) z?ni2^G{zI*NFx84gXPcWV2`n=XhtXf8*Uvk_$F^r{RTUSQg-jkZlMhcvw2KW( zg7!(d%c|z1BiASREo`*<-S@deH{&cl6F;@NyfBGa`ozXb!gBc=a=`rP62bYxNGU=j zBa@MD2tyDQ`!KoImkrpt=(V}%#jRL&C=o|I73WZu%~cR`s@_5^bBII9=fR4JU?ySy zv4Be!lfj(V2x4HN7vqIsiowW>n~$O7RMkN(pGZI<|AhY_%o*PO9M+W@9U%cF58;C_ zZ+Le+tg8{zV7_i?mpyI+hp=zl6C8c1{%w^zsjB zDC9ik55g+p-PrK1i-dqBWAB9$CHgSVIIA6CSb(|sB9xCsLyUI;Fie(sJBG7Xr3Afv zCkusaj#4eG5#IA0zK#Lz_bW^8aL@$orTBn=zcp2L`A>^2||+0R|5_(8YZ)%mWyp0SvJ9 z;J^>u7hZ&N0o+l2{>_s29keu%gTH}-Wc~@nMf^{oAg4zLf8h+z{ToijV-=ExH3lDY z;7I;pXbvzy1sJS@;gBc-l_+a1QC{g51dut4JvjMG_iP&;Mvg*ba6efB?-OGdqJ*&+ zOoYT66`YzXDQMw*n02hdXU}~y;zBo&wZgvQF~6XpL{-Ed|ER)27Dz(bxP|1U8v}m0NPCQwhtw=AJ=miTcb13$UY0^~ z@PJT)>=R|yz?iWR46=m13g$@_ocJy9NE#MV1~aK8Lpv^sgnRv7VWNR4naEPF1-WV( z6=GpwVrJ`J{1zl++8}!giHNMyI8~}`Hkt&a77)F%b#-+48| zy<-QH9YH%1dMk(gp0kWfg+t3!*d8$i{q>3_wZESv`mx3|+KIg#YoDvAq;6ypl`SI` zuDlc0;-ZcMn}>?tF8REr3*n@JtsSt%dHs zN&C^0XifVlid;R3STs+guoaKW%~VgLXodvanqEMC&cqf8Vh_9@1!5Z=|KE~1d-}Of$5w)P?Ydj*`CBlvG_^!=v8D~RsrWS zFs9B)X7EzxnGcZtrlwQ)LU$1tCWpj0Up;WU6dqp_A^dX)Q$oRg-$@jdllRsX!)qxx zE}qn9NyYQYbd}=K)QmVkVTM0iUE#zSfsOVw+mSY3Wxp8Rh=H-k6yx;ZNqnjKB=S2w zj>gD?pXAUdc~s;{oH$lj_k}K=SH|X>Q}uPC22-LmcTwq7}1Ye)5@)UOjF~)W15&g z>!`o$BinTOsi2TKr=c~){1`{*iMm4OxJKe2Gi8qi#_7n3x?JX<#!MR^Qem9po&sX0 z25v1N!eE>lJcvyiT19}khJKp=AXaH4rUPO(`su-g2rkl?iHAtU_pLX@eH|E3r1T4V zsYOu{0zwt|x-|%hrZ2V5%R@j>{9h5jB=Y{oszK&AKj5LH5&X#~nNCYpKHUU5CgJokz)^gFa?79RWl76D|9x@J`m>_j39 z5FYCXk(5-)Dv;U?OnpLV+5T!%ggV(hSHB#`hV5lA-f;1_ecO2nB3}Yx1+T5`{&qXw zYh8n!vp2@dM5B4$o?k|F;EjzLrQwc^X6h8;9qRm2bXvFY|GW?5ah|ygCIhyR-gutH zt~h9SfZLegDVJEj=fH|wo0=)upL*KkB+Fe2{H@fM6-{g7^KrYYv)(^;*qeb+^J+)t znVvsv-aZ|kC0#%n2X8`3JxX(5LTjy%*lBw(YvtVEZ6E)CH*IUouDDOlOjNztD^Rdl zcIZfS|C*+SzFu~W`BnSAeH(3OIBYSt6gXvg=i+y}VrG3O>-727StIgP?iQY_bcN~H z3v3da5Jz3vVj?kAH-Xh{th3+PfA*_w!@yaQq3-XP?Z1$y0ex8~j0S8*p9kbp7SUMMWiVA6-eW#?XCgX1z+P z8Ec!;7JCClyp5~d6xSt1xm`tvh@2p9NqOUR+J+C(hMj%;+m2|I(Ql~}pH;cBTX(?f z2N9+X2fyN}iPl^Ayj@Lxm$`IF@@sRGdY!&F+j?W#9em)RR0lj5Hx~4(gOqcip}L*F zH>k2FRl4*wE#CL;zONa#i{h#-XKa1m2)$wz#tP3s?x8hDK^S05LNq(*%QscngS zEl4?kH6`-IK}2Y%_9G%J$!n+q{Z5JCoomaT9PrKkFY$5EvmtR)>u6fMXj=VfWcX~$ z0l-y88f%GFLRYXNk#Acck61=cQ0(~NV5U%@EJBam^EiUZkCg-EFm6KQ8n}5wB+^!5 ziQek>LRA&Mb|sTqIeScA6b5}8P~I2&*Yp(n?<&YfFQ;G7m^p{+?#}OQylSe*aroZS z3Qp!yj2(dA@=fZ`e}7Ij+}_1?Xj3-qae-Fg6l&JC+u^_wotn9qGvgO*-Hhq2VvLS5 zGR?nUP*(Nz{7SUwblB!f^A2P?^6NK1@Ah?O>}lr+ebV-O3oedf)ND zm^AXf!LgUY(u%)&J^lv08+<=`s>FNcWAI_m%*e>DS@j z(E+D!??IBP28UgWsxt@WYuT>b7tP4^!U$1Kq|a>7Rg>uw$o_!=?xI3SQS~_IttO?e zBWuw=yKiK;cYD{TAG>3m&sRo6@=ZN^0WZcq#Fm~R$KFrp9@ZsY$40rrumhy`aq%kS zga1|Dck>sTvv2FvQWSf*bq+}V?#(a9I~R%IN%<;;O!_BH|AH`kZ@V0>+*~peGoegD zg@F1VDTR4(@caWo$g_nHcIgZ->VkBZ78}Tu&GZK7gcNagh!!jsI_?ZS-4%F4FHIG` zh6pkImW|6ZgwZl`N|cO<{gM-nfd2}|0nw{u9nV+(3OUjM3|j65&Qqv!SnynEwNRC> z^&1xDiws2T3UXB*5H03^ORHfV4gU(SdH|&)W+1FZK(U?9iKI#&!<$v1tpGbcqZkSu z^j$0%%5BXPc2^;pOU!^@15ongc~bJeD#N07Nfgr(!T>@% ziz5Yx`2Gy6ryiR zky^GlyHaZu)b*b}*bGfysM0BDo5dID)Gh;KnBSFkOUUJAE+iFV>(dH6v+&Wy>o4vI z-hJcB$7$jMe4K%g6CbC&qw(8X%VMr{VZywfeSRI4Lgmz|@j0xSi#2n7+S)pWXPDGe zl7beiS5mqwQ;zb;-i)$Fg-&+i$Td8pj7q{f6$G~jyj3_vy6(n^S1rzw9dg3MOHyMxaW`(oi&ou5*&8+myS?zHYXH9Sl|MFw$)E5&xb2X>pr6 z`I$J|67`@ot~lEul0?J+G9~^Y<IMm++&T4&esL$W2t~r5&219)? zn~Q=5;R&S}j``Gnb_+-98#ahsoJ?BC?aXF$cCtZ3G+LnqDc&RKNp@Rhant?;+)?zZnKKR;5t$qr+Fo+^P$MQa!7dJ|l!SvCK|7Vj-j?=F0T z(;P3FwE&Y>0C+q6r}&s-S`8wHS@tB86(WpsX`NzHd9h+XZ6}ryRJ5LN`npwxddLJ) zUQOutFW5_8nyBN9dq@M}h!2ry<{V`yy82zz&^Z07lStRf!VG& z_L_W;Sobk60rn@6JW|t*ST-{yFLLg|FZc1eN*=yf70(*hi@k6vu}V}0Fw|(lDMYy_ z6x?!*0p}^n267}64gt&oqtyBl1@D?*r)6VSipC!jI33GfX6esX{U0i$nKb z*f|9V{my|BxW=bVappjw*f3!Tm>XqBkK0Pe5wra5T8Nzx=97i1gZ06t8lOE0bcWuY zMk7r;$;MO1sP}eSwJu4#K}Ks4xM8TtS}^!Fg*791-9U0Y=N}(agPu%hsOZ@1Pws@* zr#Utrl^V*wZr<+(82XK!A58D9t9o`88-;5#z|)cXc~*@J6f5zM^?7 z<&rqcp!mno5^L0y3Aoj}qkZ1nh1I*wJz;jAZT_pObN$s|w>RIlVOJ_^Ek4xw zSdwLNN67l}AAkpi))eb$j%(QFWn6SU7e^Rk&+D7WEZARL58Y*0f0qI_-`Q{?yAMWN zCB2tM;~v#`J!yb(p=syYUk{^6xPEiQ+X=jQ*S{b7cK-Q6XTWu82CLQ9H{oqx2$|gZ zKAOwN**U9B(%wVFdtPH(yR2_T6al;{S0e2>3xYn!XB*(1d26T3tD(KxVZ-#w`}GZv zsJ@Py%vv4xj;I{)D;@AXo8HavFuvsq>kl10I5Jl(FBR<_&GK_`@X|LkjTf^!z#WfR z`I_K9SJRD4NTCW=#*9(Amp>EY`$(N%U-*9GuHKyumFj>Mj}i7$e4V|$FG@Ub3w10Z zVDHP%3nk!kE&gw7aX$xYXTjcHS0|Ul?cRDf8#fzSzwfV49M}!-cfjnicddH# zH%68BuKt%DcU$1J*5}cXVV2xh=R7Lh19ZLJ9YNOUt>W_>>YQTWp_reSf;dC)N5el2 z4LNy#MXYOS{%*}@t$rtpR+x-2J4OQBg|>0D>(d7YZVVwLJRBCiH*;&-K5k(fU2H}> zI|uGE_fS>DJp0HM>ovYte@S}SYtf=VE_cP+1l-Dbtu>SDR2x{(u#S*f^3_M#h%+Mu z*3kcy(olf9zjB@jbMcLiR)ys?Z2Fe+w+S^@Y<3dw1%%2k18>1uF4bP^+UGnCqT}p= zqr{D1J-NEuKz}0F?@d~6F$WlDud`kH(3-QQ$o zYPh}0B0pW{{9~eY))S?1{qT@V%h$<{)ce{{y5NBGlM0LET4dJYqq4&Lb zz_3O4ZV0{*D0OU+t}NmT@p<_>p@KidQ-u|Nu)Cqn@~9r!?)*f($ot}K!qb5iffAcV zw2~RyOkW@tsG?nP@U2QNS-~m6l zJ?nS!g@mw^*8MVKdJn4R)meKJBeqh}w>DuvYyH}i+O-(s^a>oxt?;bxvyQ(CKV9W7 z))b`P3h}X*^DtYVwv~=>6Jg%0Z~&Y+!32@6fl9RH4=p`d!(%Z9s~~Qb5}6=d=-U{F!EU zOtst8mRJ55|9St4YhtOu>@FK_wZiiove#6*(8CwRl7UTL?-yOdf??Cb`M|*r&3dm? z_88Ph5>U#$D<`5fb1Mm^G0E@)skP19dPxzI@d);?-c3P*dLm~aP58$Fxw0^fd{$83 z_K}lM=2ipXu)y9wLlDsHu2McUNCpmOH9vDH!#o^BhGid2U&nice}DGbF)67U#9tfSI=CB@2xJvmf0O18}&{>g_Xz&BD38L()$? zqU4bp0=y}4SEq3v-DQrk-eUhH{`>bqrG}%_gTd^VKk)srny+dhB>ROQ5Oo+RoX?D$ zxvOIm7%{ZX6;_}SB*l~rR;E^&=BdJG8R||Mn*(;WA!2`%EbFK~P!q9I#Mz_*1asr$id>DWI&F zzCuAANS#BS?f2tQBXvH85$vJ2J$35g?G}8fh^b=Faq8WXa{0Ld&sZu^il3n}tkUb3 z^NYOPn~vGm+0T~Egp+EkZuFUL-%!p7Pm5C;UrNV-Pzh&MMy?~QPd>+!x;k)si%HZS z6eWFGf8VJ(T8R3w7mEqyV+Jjf>4`sLqQDIB$_8Aj@S*E!`CV`pVW_-LI0fCWE!g7x zH_k64`Pa(3m~Mk_X`7*9&0GZXRye)>Tp4}T2oI`J5T9}TN-ftjFh;_i$CKxZW>NRf zFlMGpB~MRs(rIJV0d9u_6sF((!2?>a&-FPno*-`3xh|P5%#LUmX|K+D1zwh;)O1bax5}NFyBrN~a>NbZkIr zq#LBWJEWycq(M?jx+J9Uo|$vb=NI?>?jP{-u4k?F#5?2+dlTOKdN@fZSk^S<7Pm}3 z&(W>Sbsx#Hi7m}N7$-6hDWNd*Np5@G5JE>`HpXMYZB|68j|la#Nc9-VF4piayIA`= zxbvINqLlW}?ldER-(&6TR-r8^$c;O-ZpyZ=>#`ehdGg(Y*6Ssr(*WzYRIHm%_6w{eQx z+WmfS21zjZp7@KEYAuDe>RIq+ezGsmxuN`H!YT)tVx`YANJM@?xb zWt%tuGp?D}YF6;660(<wcQjxLtUJiTqHvcuN$?1~E#K7X7j3^Kp-R-#<{FWeQEI8nHTx3FRT#0Dd@Ezf-Ij zIY}GS;WhmDe*}3&mRd7zzS34Yc=QS{az=JcQ_>fw|3)t!w+2mqx6`~RvpsRm`-=kF zcO<$qE-uhY(@~5i7s=)e?p1pN=)~Tb?yl~~?;Gs(?in9Gank$WUAD7SKpnaF3KkIk^ zPR`5`5NpYRTHOl(N5|cmF3E^os{B0GuL?^8<`#?qE1MeTX6*xYL&Su-8LXE>)dhY~ z_4E#l#N04lU?09_fFb0x!9+*!&_v~#+R({ii$i-Y{t*WmRRVBQ3>l7xeiPJo#S=zW zg_89x%Au2}-N?<*I`w2?Y%(%{se54RR+#vv9xA4GhKbjnLo(@ymqEpRv(QtxKR6hG zfEc5qS5(v;mx$@W#L0Rgm0JYtzYN~Ojzf4Kt)f`O+yF+nm^f)Kq;iS~A%Q3a#Ap?f zqM{-&aKy+-ej$}z^yD*sj6SY@9UgI*r-_=@R!P^pAZg#sJ_cMZzCi`W1UY>AJQeby zq6kPDMotVN*-YV6jFcj#kF77S^=`&O6}00Z&jh^&p9y*i6p8Nmi|B;Vl;&b?sSBg7 z1QV7$DJGqIK{Fw~V)eltt*QslMARAc2kGddZRq!(9Va9DyUO{cimG?tCP|&#w&0q@FP|4W$JwWmud}a*Tj*KxDIi3y1Deg*A&z0t&bC)Bx7} z)$=6XrN(tTvxAj`kYC5#bXRqkI>DnZRZpc(xlwWALYbsq?r!-8ss}MfbV*398=^;< z7C1hg$TvV&qxK0JXvC-PwO{*Cpi+-d%{;O~m37SO-^aB!gY9*t@}48M)Y?|8l>gii zyf+^3_%74c>8!-{2>cB&yVvDB<#hNeTehuiiKy45c-Y-Z`#L^8;N9uf=ijILTUg+w z4?Z{QdU^6%IkX>^j~HIpx_aNPl(;(IUMu!{wYeLv1VxOWG4k0$x`;JmwcCEH;jS=#HT3b&b!~%k z-O|KlzDjq(j$ueuf689{^E1^sqZ^T{?VB^QNe|#OG-@JN=*4t@UE33>^gA7qiygNk z%JQ#iskfzqOWqz4<Xn&S}2 zt%;a06rcVz_oW>f7nc+KrM`H4$t zHz<>JFK_p@S3kGHn_k(}0-amORmr}32K*XW2Gzo5!P)glvQU~$o*4^p+T)C~+%6tpwaGKj< zQ};f~%aZ#=_q3cOe&OL4a=v!X#QRK1(bmNF+HU{AeIsIyX>Jt!%LTCG7LeQ=hc_6p z!+oobM|3K2Ivuf7pHe)meR>tR<8<`8`qs&-?bgQWe6L>8*CVZzy=iE9Tc$DJ(X2F7 zuEp8mq~Kje-}+Vyixb=_%cj03~6l%;B{(e;Hrj`Qx`k@NJjsgzZG`o%018b$xn z`FDx!U2_x0AGSD?lQ38DB3)A+hjBgZc{~1tc9F9P0U@W8S#U@`u$StH-zm}LvEt9X zPIB7CJWL+Nz}b1=U7{~U9lphxo`R_X5sUP^a}^PINDtvRbiHdxO~EvU;vMf?xdk4| zK=7bKdka!U`u+-2>pY?nn>?aU(BzRtz|s6JXL1i_Ws-qNeUbrhzamGEh5OOGh5INi zPYZF%R`=VKE$Nt{6O+GWN&xqG=>I>Pdt7q7GwC&F60NXeMUnwGm;(vVP9tzekt1nN z(T)qu*Ad{zU0>OpXu)dA(_&`J)6xxQMoQVD12ePHCvDOF$+d7#pf3kspZ;ya0@{wX zx^-T{SDU;*Y?$e%Sw#*p@TdO-6P}iL7CbH2X3npMM0;E!HxlRlBS#X$xU9canczsHS7_(^|N73r}OTi@C`X2S2B=afIIjQzid*LzWb!lS=`NVSd z)_(mE5RtvU`NUl85GUZtci{JSFynH>c6^6dVEJMU!fgTjy?K}4+eb_wXxj#Y21OuP zwm#?bn*>E{&f~WbirA9J?_T2T)t11vu4RsMid!_4JF|lyrkm#vJ;QRFj~4Trxu!0T zZqJ{@ANP2qANS}CkR5mpkeM>sHJ>xt0e^G;XY{Y&tC=O2L$vr~dQeZVGmx_DHIQOd zm%iI}1iDm#E`GVq{a~X1r2Ma7mV@0=M?Pq>51N=T0d}83eMoNe7N}?9KN-KFy!t2j zZ?X8}JTQIUzXdtAe}ioXd^gr))@l;j;e;UX4;yXf=QLmR=KtdP+puhaT_afr*z{FQi1)k~sY)2wDYl4N-!16*;t?WR>y!Ry2 zdzXtz3Z_oSk6QT{i)_z5axeymJ3n!|5@8)gakHL_I)mi%29T_$H3gEjW+CJq9gtjV z3X)ID>u!0j=hzJwV<{aGZ=OBkHtv~_lV$SB;OMHjqPUmUUX=5zjs_|4_3ysi5(s?o-?>v%^rQFD5A>%mtWTGW#4`mC@iBd=6! zajLjb-*#`Z>!sNOGM~*2-zNh=Y452u=y<%tUhsNHMe4-LD&ds}-w~mOi-gik05wZn z><%hpl%ff};I+m+FEnLw)TedrYcMEjz@U`v%*jNSgZV zk5N815jCK|YfaaM`|j_Xmr96F5|o-|GaRvXo5!ruXx4-4^n`CebB;^SH{k8%W0 zCBfGp3&aFp1Di<^JLDoMZ3=_viQyiOwH8Q?-<&eqE32Y{$QIyAWOp*YD z$lj3PZ7U>rp#ceAGeLr@l8_(^Bew>u_N{qi1+p zh91+QmP`uxnLcG1j92qUc%jSmS|A*H_qi-}rrDJAyV~1}rHSPWpnfN1@-$<%H{Dj? z9K8ptg}TVlFg3hur}fv^^ zwg*K3ku@A`+M_Xx0Uys=Qzj!bq~5JU$wr@xXAO} zNI^-qBZY2Iip1{oF0p>|tOjqx07~yq8Uh&?79a&V*^cm4t8T^xN)ZR>F7nOu7f1np zzPTfOWccQsn8%Z4dUhTZAlycY$Hiu<*u@*jg)@%QBc<5JM{g2d2X1=6%^)cr*WPQz zHB;#)USwA~I4-uL^v9Ld`>gH|Z2sanv9C9zfoGK~DuTe_XS*pifJM4*?E($D?ZJXG zuwaoXH6_c+SA|}wC(qZi9rknHLRnkuiGBBDK(%EAjDQCrbbZ1J4;FdV@U{&qwseEV ze)d3PjUR(OOM--D$?z_8q9Q07G%BDhhL>P|N|xiT7wKuITRMT>4>ji+#|2NmWnd0{ z>d6F92o&~tG3!JNJ~`x%?ixY7?&fvv=+d)qwj~Ma^X0L`&W(?_ z_r05yv4(dad904T=W*so>~o*Rpwh75@!3rthU0bwffW$=Wy#~?Nu2_}Mg?vFyM7C7 zIjl0$3KFDe*jD|^0|+S+1$6IOFs)HC<1xylDo8%O;BI)@0cGjIc3I#Kq$;4os8ld& z7$oTUio>s%0R|L-o;y?%(H(I_{O?ttp=g`UU_50b(JM6OxtD{gZ4cQ2Bzm*pw${wP zJ8&4vrGjyTAW=e8C>Or8dM^kT{6a=gkyH9;hnah5XXNReu|k>GnY zzEWH_SKal`s7&zb(S!tdNkCdcJ_{l++ zF_+5rls)Xi;%d`Qr4I0N zxZT`6m}8iDoM_ki#`Esj^J>z?Q0zNkpl4`1jB0TnyT?C+Y>JJ^(OFZ<@?^J+gQ@fD z(@rTm4mf7|xi2e^t78@ny|Xa9tD+5^u*;tQuouE*f1W+PEBm0H!?yLhNXK`svQFH0 zZnzeFw^aka1OGwIckWR&_)fG6e5YF}-toH7FEAG!eZ6>0t}KL&@#9(%z#9$OD9|)A zgS&q=ycpXc`i!QLJwTPT-|02&bZ(Shf{dg7KO1+VlrcG5P{;IfEpG-jH)=js#!-W` zU+Fci0Bp0Rq>~_X{Fyfz)Hbt!Tyv_#dq(31I_!+e8NedXynqH!4{GcbXxu<`uCXSm zbgqO{kLvq@h=Bm}V>2YqwSG9GzL@j$M=F?R7;eWTW_>Y7y^##7b{_}MS^Q?cbkYwV z9k?W)C#3hJM|e}*5Kqj7v1xWZD96s5=KDeLr3STUY3=FdCZV#v{*ro78hM~at>Kb^ zp25C8?=;}>HY4)hebyI_JKYJiyt?^rT6_x0?|xX{NbsfW z)#2%?;>DN4?&(;b^=C&A&y`fDC-XZPaXjhReC4;56KppF^#sbTETQ?wK0&$LE|4hU zXDmaqkys84L(diwjaE+2``P)NuIQ$+6py!x?y=9cm2ll91S(OnMwXV|Z4^JV>5p3CjEpxEt+lQwl-19FK8DsIJ z=Zl^}mrDicq5xZxC&M6F-YN(Zsy=f*HzvmDa1NM%GCiVl9j=OD@zZ^-xe zL%_5NHq5&_lVchWJ0fKrz%&!(a6y~??V&fgOC$aml{k*HtX=)7S*__<&Yrmv39`1C zH|5e|_F~sZvk)4f>3uuFr)-8&m9J(gXp(7*seai$)|x*Usf4uz(fghggd^2o_kTSP{8 z^;6LWhf65n;=PYGqXh%2BUEuTn&!x{1+hGGkmVI@5rHk(uw{)~Zv9?x2+MoT=iIG|(l43bL3B8ECE&=TspmXbuzS9NR-2b-19$tgr=+3u2}40473*E(560 z1sxf>C?G%?UHWUkq&=c7TrsK##I$ru?TN+4!uUJgJr&!#e3{x!Ev~K zKBj#!mEbpq&d5h9GPIIu7|H=6B>5O_+-4-lW+x_1yN{N7r!@U{2*)#Dulk`YE@sNl z6ahs?S`7h>%roZFy2uN3C8=m?oZXeR#zx2tZ;ZBEsD8aL8P}??=cp{gd7RX=M|C*0 zsU}yhLdRu;>E5^%fj98Bnp{xL%0xL4tMvb;Vx-7EI(*~g9$ z^$kLAajUh#cLGn?=dk42OYwtETi_HOO4tA&rf{cwU`h-4Ji#e}cKqHK_Yzlh1mM}j z%PxD&G(PkNv>mEl?bLI8ty7^C6&CogaplbDb#hW3aeM1{wUFI=_w%~@Yy<$D_Mbd) zpqz_3+Z`>IJ*y}BX(;A)G2nU7Uwr$<@KcMR9Xu(sU5P-%ah`4Z`mOrj_(k`cx*>3q z;O@2ZWS_$v*kM1Fc47L(XV28HBC#ErQ+tVprzp zgDDH%C;+9+my?#DO*`2&T|c8-JS3f4BP4jf%p{(BGdUhTR0SJoehLitI0@VDAy_?s zY>6Gj^z+9@tJzUj3Dq4W_?~rQ3Gq09a0A0{6&Xc>M+KYrv4jtoqYU_GiA<9BMmP4p z5*29-_ohH}xESOTT!7SZWRwnzG^&|RBzS&d3nX~0!cRQ+lnIHE7=9sj1S?}3@nOnf zr?S%C`;v9c?YI0cjY@D54Z)fPAG9rh{}aRS6yg2y4-pCQ_PqBhT5N~`3GtT2be?-( zh@=MZ`AsU)b;hX=V=q+1;h?TXp9>)xM`?974g|b#>Wu#K<0*6C4&8H1ZJszxZG~FN zcc$jbRIEAf4F)Es_Iw%__PLs3QUZe;NMD{Z2Z2Ovkch3Vz}KZEf#Xmc$!t>H#k^vb z$GxIZ7#?J6HG=lRUlYJh0WZV_5^+ICsgn!@h-2~$Yh`jna$#zNdJ#ibpo%@h53@(U z6UF##wh&{lAgK8YlTh^s(xmCmrHZb>Y2sFs9zWt_eY)gRDi zhhnO@j+}X=u8DbNGEi8h!=yzx+F2*)TM;<`mN;O-r(s^HUc{P(THt_S{3x#5A~>$w zDsR9fS5sFCt9upFUg#+f>gG72&Cz)G?iaF7 zJu6{-&Z9-hk~=`{PQ}E4txSk}?+*-c?_*`aCREogNzl&oZ?FdM2ksxNi_z$M+@Be1bK^_g@B;3JCl!7(gXAWIczI}`G1)Rhw zIEfcvCL&G3{!Wq&j1S->cECy0s+(7`7qCYEv>76Sbj=S=f-HByL_<}VRz)%iYz5HK zwFbN9SBUG*%czp0u;;Oa9M;T`rmi)3eo7MNQ6kl^zKVlaWvfgoteRoeB1{2$al@E| zRmcPOq6X^0UXINwNf3=|!3O^XD;i*BTYzO}gQn|wMPXS3djU%sk-D~?iLQgF zhOR@Y=5sxwSb~?c(p??6#UVRMMImo;i&j2o6g3#gX*mQ-gI?00mm27$33?SYbR`2T z7J11lGKF~!Oa)AJRiQ!e>EiJPc;fH{6zIB@B;*~cBcoSvSwg_6gplQitmI{6HRvix zI|Ms$YDhR_YpTxUg$PiVNB=R6Y0FPtH)FCgnX7alF6J}C76 z-nSGZYy4JR-CvDfPBmc|>2*rIAEK_8Q?AIM6A5iVI*Dqpzb*=EOm@`KDB7~0+u=*K^;_Sp8d?AISJ;#f zH%wLNKYB(!QHVv>^u2DcmV4`Ka>C%INq@fp0p8EjW&@#{QT3Qnj!+dDw`R;(;%u#} z4|OXWQ&*fI2wQ}O3m{^Y@sF0hsPtiueB?@K#I72KNf%LM3a>>aby(7*mqARYK+)ZU zP$V9*tZUmS&h;Wz#3~HTMch$6!0r2-m`8ykw;TQi6KA$UAjxb@J~d@dM2HEzmXXY1 zNg*f)e=}Ys#!6o9%7e9ukf5}u0N#8*97Mi{u-2#%{zWfKYaa;dE zXyXQ-|H>Q(%WT5q`~8y|4P{O}_c{PacTR1N;O~(Mo)jd#GA#Y&5G;KxEWLRWEIr|0 z>A7I((W+qSjbZ5rz+;1?Z-J#p?uDgy{3|`=*ho5B#vpTNY>Xp<^bPoV_^!h;Gs7}} z9ze2kaSri}Tnq_DjGccLjE29z9t%q!03H5(F(WMf7IgRxL@KcKA;z%uNq=?!1(u!} zmVW0wH2v^XSo$Pn=-7cKW9YFv)}uhv*L(Cq8+S&6HEtOR&Ft*~jgp;#qq{u;&o#gi zQ?-gF6%F4x2YPN*rpZwI_#J5SIwa`9%xI)SxLA&*_eNNE+Xax{?>(Y`gF z5xAuDK2)mEwBH7JuFD>g{Xaj!b00Whi5H3NRjk&aCZ!^CiEk+RAw){Q%`m`AL^!32 z;h{q5YerLrt9o@i{sv(@ox;rVtP?H?5V$}SCmi;2S2Efjf3Nt}lbGrk{S$b(i{_v1 z=U1hZrs{5O3zCQ0^4}kTFPeRD8?9Jt1cV#J!1vA6_1Ma`FL-+FRVB8MegSSlsAOaFrkf09&`^`|o786KN z1oy2~(0wBV7=H}z!waDM(;94vqDYxXM*HhRpWTHJG#KyW-7E?JRleFQV9l~g08U&jI@6c|AoZ6C zulslhCoLZzU~~BzpVaNU^C==eT@vZpGRR%CnybQ6jWv5SW0WqF8C7m0_5>7G8lQWD z!moA1XP|H@p!5hLoO2$72+7V`Ai{mC6?>0^si$_>WVwbH`fsgq z{drw_NgLN0^BRR`J(aQ|H}-WB>d3o!T^NLmy{}xy9K)E? z2sY0u%LRa0pP0e2#&emZECF51v4Z|Z0PNSS;a1JE*);-O`hy@X{Q zh=!J_&LU%+Vl0W@&#!}yY^|@96?8fX7#?^wu0#x+Gfz%GXbX@@wC~_}$S^zJVgN$}<&Mm9Lm2jK7P5|IypO9D#@;kq}@* zfkwgoD*Pgg;{_is~!OcUiT4b@y3JE?R-X^q2hkGwwJMADVZM3##`G1< zYl64~WTs4}?@IhHG%B`7^AxnceJB1d2ao<2?gZj95d6hA020XjJ3voXx;M4^gPx2p zvxTd<^7fgg1c$tW5)RQ}VWesyCv(U{31mbQ1*;Ijbd6c|Z}62U#UZCoS?DNTu@m?X zzol_je01niq%%9zy6~U*{RKa%uUg1OG7ex9oEgB2ayr(O-H9aSlej>4XubQ%4%DEsuS4-oNd3|EPux z{58(Ev+Nt-IDUql(q;cWx1UuHN5E-(CD<{RfzAz_##i&EY>%$~o>!2TN|s2pzCDYE zOeA&CSsg2gPf)u=fb{Q)fNg%JfVN4=_g9yJhWL*#4XLca)<>Wi038$i+^{IL^jm9K z=~QLMp!yo7<`DQ}Ms!c0#8$gMdd&8O4nuV1j~>r2pgmsptNs0mc{{6o3TrX#Uo8Sv z`g@`)W|G3Zf&qe4`Qgl)bn=DJK82UTQ%_}?8V~>b)WIGHVLjeG{Hw=Kju33rRF+vy ztOw!Lc{6yLe?1t$;=fQrTQ?Mi6^~sG>1YN9VmT-&2J`7fZ+pv9xi(Vt>!N7#Bgs8OJ^1z zfJJfA@xiC6)bHkDBN{#UOfa_ruf++MmqYLKYc_sCEDJSt{xQOLGDPw@90ce?53l{g zY|xgE3vP<)W#urDZc%M@sE}UG9r<~wTgB)Wzi*7Z!=_eDfk3Rs>RTzqYjXc1)*d9P0w6uX-J83 zTkc0AK@{Yx_5+S-SnMC6H(X~N8O#q4fj(Vf@Ttkh641QJdfvZXIrc4HQMfw#^}s0) z*JNDxq3Z=9u!}`D6S?s4VTlN-nZo5;=>3yd9n4FTf|{0E!R4dD!@($4g+P?$^ZpYY zUHih&Frtd`JaKy=32`i?uJ3)d_6}gf7k9Z8{yU9|fH+S^OzDtn#|*Y!2m%&XA3-M8 zMGCrab|0}OCqGgG^(c|O;1cmd=CqN6St!rn7`D(7Er?|}nl=4{RR}F{g4ps~vz>o1 z)yGRBAV%e6M#lTM_VDo%AO|sYHhcCD7%9A@2{Cjr^Y{nj6j?HY7`mDj|AT!KS+a&0 zx`RK7{cUL9!o2Lh)$<1BGQ`9N*2z;t0(;S=4-mDxnK0i!OwpxC2nM*Dz4;SNg%MN! z#0p^8;Gb9x47>UhYlmSh{C^}Ff?+TJ#AaYvCWkc6IHqfqe3wr7-qBn2WASx*f##aVqn5Cf3Y$T>KdC&s_REB9-(|3bOXviOmJ(ABY^-A4oKF{&V) z3j7Eq!)3VVeGws=E+36wLYVdnX$WZo4{KHytiK_sD5G#KPiTfCrbg%c{2WFqbrry= zX^;6Ojv>ewx(Wy6uQ4sV#fY;k4#Tq@uHj=NDl07uwlle*yY^h$wKX+duQ?izpvnMv z4t`OotH{}6w2d9Q-`SMk&a*A$bu&eOvwO#T7U+r9T8YXkLF_>#jhjdTSNL)TK7>t5 zlxiu~ZWn$KzlTDu5;+f595cbyC+mS4R-eljnMb>NKqV@mUgNt3A2~!vk?~t{0`b`9 zs)wRt-gws+A24!_T6Mzv6=+9SCB8Ae}P|R7M9u zY!EbqLw>ey4+GQgge&;ZU5qr`D3PD#zWEE>2EkzvWTF5)EkF_BYytUf)w)$3?&XD{?udEE$w*IVxs-QvUT z%lvHAHoaQH`Q0<^rj$K9CE*ta*WR@@!`BU7SHHSXPxlwzFNe_h10I*Vc06(%Ax*~+Ek8{5Uv_xmb_?*Q&hN4MIgmX;QG!0Tl1yu9_wyWxXIlA}h8 z&_ZgsZ0-*ROBEtUHtnV-*OM|)7yBz4Q&Jm0INVJSru|K`tMzTg#@83Gosag5J|?(% z&iWsyH0|uA)N5VA8Q#3R@ot;j|DL!2yd*tKYw+P4tU zz;+22tJg?+D;8*Ma7x+Rsd|0%^ZdZs&b#FT&`!7`c=2mVX7ws!x>N{jh+#AFI)zOS zH6Ys$yZ82zW^UKhvQ}lOJAcvAQWop0f%as=lw}-O{OfkV>kXMWx891Dhc7UJCw%rz z0aYCh+s1F;O7m3?*7gvwTY#58pKJo2EywWg5pc;)^rBL2ay^;zyyx&6hHYLBbzYa7 zNhERg0d1lQ7`@;P?$}m^Jx;cxZuYt_rrBQeE_oam-F?@#`yOeBCg)dEPV!d`kkH+^j6ar@QG~g0s?o2^??TJ8j`ATh7o((S;T6U$1%M2 zeW4^isBO=AO?DASyTfjfJvbRt2=VzHVlASVvU%IOcy=Ck0Ip76PEB5OD4QvJbLVZI zuJug|Hs^Zevq$4fOg}xj+4J7*`e=Lb7$>7P&fJcbN+3lk2PNJZu@u|n>5o4-l;n&U zg^76O-bUI*;DF~f+UxZcaPm4?-o7l;xR155S8JS2lyJswTURH@cRRN;j1{9kIrivG z%=7Hbi^apsgNO01#AC$qqD-?n9T=u&&848l)$PvF#d!WQvW~qzubyGE`{$dD(WN>=QTHijCDbj-r8{@8U8L{N(VG}MOYGL5 zaP@&{^77<3IO{g{Amq9`XZV?@aP^AQ%Nilu8Ng^suHww_Yv5ybwXl<#*%IY(#YID1 z{4eM@B_!>|!<{iPMi^Om;<)9Ck+-W%f+grS;J4YZx-d1W=77k9M)*4Y>m*aDyMI}WVWF1EScMI>Xw@n0*r~9q-6(#@g2&Fg?8cz39qP7SRL77utgjJMR``0FSYB}GdVO@9!O}b9_3=ae z$9Yw`8yC%Ekp{0{m4C=959yh$%;V!8qv%0?Glu*o_s@L<~6`%Ctah5O);*d$`Z@lKi8%s2M*42uN^?rR0d^ zt=9BHJ5Gwu^~c9k7F4vS_0^{Yn#(plH6v4V*Xd1-4i_4JH?)l% z?yG>clNgv|N--8LFDjqnd9GKB*$BRhvgxLxsnwdHtXk|DfzU!>;`pb;K#EVFS~kf6 zUN6iQ!tPHK`rU$q%Fp%h*(hP1rEz&$TS#diGU>Cz7Gu6+D5D&l#Zdrbyj#@5kJ&jL1OWM+?~OcxrT;S+hq zgpM?wFa&nGO6mKjOiVS(>4Z`PC$9mCweURTDC(B04Zh~{BeHzwE0sdoAG}AjGd*sF zwI5C%tzO3t;N%D68zUK85vx^sQqI>91I^!4ZY9a6jHZ($!~OCn-UG?5hj^I%_e>_} zc%CCD7c$Sj&XS9FL~F+p@cS7}GTzCg#V4$UKdjCUKS}{YxU>qGE4W3t&n&_+2&S~Ku?$$Q>#VITKC^R7S}+|q=Bdep(=uYTy#hNo9$u2g`u z*Ca50Rdhwa$CAM}#}-R*5RXwFM%wRiFPlTUECeUFuwjB84}rC5o+#9shZ*T?*4gzt z_f=ewQZ~UxgHj^reN`4#pD~y7ar?`1=@Ju(EPSIVG7YK^XAXAG)pCI8+a;FD;aAI~ z0;PN8b~f;+0>g zE-;s}09=1=YHj)KT5p4sl3Vh`MLL`6Vma3iOPzyPnSas!`X-d34*$1!T`zZlXK(voh9eEv2y zr(u*Z++}isH*~9&8VQx)L%XS%d+0p*URB;l($+XTZ9Q3c&ET6&;G>Jmvbi*wm?z89 z?}l*PRk11&vCHRmM?vASN7l$XCXYs|Z5K9QUTm&DM%sLhjJ!GbVbIsEjlPlhC1RdW zmDhGX|Lc+|+Q@!Kv=3Q1b|@2OBN@>sfy4%03LS4ZelL8se`Bzg1s#{!ZA_FdEF+<7qBz1Ozh1@Fg+^Opb zzEB6M>qNyRzh_J?tFZVji}8`xaVue5Uh(L~CH!>zheNr`cyA$n1S|k@TE`BdbA+#> z!Z+hHKAy=^BCuWHo75@oOG?!fvrQEGIZud=`O%HH@bqvnNm-EeTi~yLz#9Lx_oHBS z(s-ZR-l?>~pmWZUy}te`bSK4y2>kJoP44czc&Fau>PMw+5UI7Z(+OPE&3W){o22e% zeIoCdo?=PK>Z39ZBS2`lU?AH4=siptQav+HEkfDx*Tj_QdP0}>kM%J-4rB1CgiKH! zA|h*OyHZ;3R}4>jyiMNleN@>~`ZLCyQ$ycD`03#~){)nl}zfFb1fJhW6|COQ)= zze-VxIw!ghoC!qyGw&4ELN;@J7(N*vk~LwcR@Gkxtoe}}v*rV;J>lP%Wdb=e{GPE?QNxTol+n72Iq3k_m3wf%27NaVEz)cEtj~5l))0HNGu2zh zG~k!{2S3_$jCp=qBu=ptQe*zFx|dvLArC8kq`0kr2qp=PKkS*1IZqjlQk-~*kfL}? zEjYsD&X)J-V6na*Xl&V45v~fy^JH^=6CD*Z=EFo~EijGjnfL|!#=R@rELIwS{bg#^ zC0B*Rd?uMdMD$FSXbV#z+s4Nls;ai9FR9jb>uR zyVH4Cir7iMu4VLlxBl4w=wM1L`8x=|N%(U>_u&CDqRN|#QPAFXr#VFIUIEMYm2qZ*W)A#oDa#d*_MnXDwaa^Uc$j? z_`aqZR#AHQ*-omgmy3j;$4uVz+(Is+WY1!I??J%0W8LT{%$E=5S}#s}_pS`>geevi zX?+TMcr_x~7c2nRldmsU?a<1|qJE~Vqbz;UT1aq|rIwOLATn<-C*)_s4Zt$Q)pKJ0 zT3e{);`ZxTx0rLR8r63Z8;L5%?>)E4dNg}p8RuU%DKOeY!uc!UgWu4pY0pVba8rjq zb-jr~=uNfHzlbcC)}=c_f8la1S{W+F`UsM0@$`dt;D4Ot zyF*{x+q-vrx3nBWWlw(`9h8pEjgJS+sGV;fV_0;Rq>oJ)=M!G9?42KDoS|ezkz^WG zkGM2Ps#a*77;X)wHW;TNY3ywz6X9oQnX69^huPBUN+p`)3#kvgeCDn;Pvy^$B&8@N zf68M5?0J4Z9R7v5u&9}qCY1ID&qgG1p}Cf){M07c8)IYE=V_g%iS6>n9BN%if2AjN z-F5f8Pn-qkVh45KR?Xs;iuI{w#z+NWtcf#-W!GFAWsEqmYekK$rtyCq$*<|jMYjus zRX4d-Fm_dFJw=L6JNBQ_{2j0PDfUj@B)JkuO@n$jrOA^3CeU90$fy)xrC`kMim-W` z${0D~d96rAW^O7uacpHXIuwGu%|=a<>Sk)A4HbjKL7O}lrV`bj5taM^D-I)#gd@2S zFJ9m}Yc#+|!K^@lxfeTvF&YmKclP!t4GlFzV5f-T8XP&Bk>4(fLeT`Bwt?-=wAP!xUV0z@9&EU{W2ADedp)VElpyYYd-UK ztJ2YN>_h3?2qxp=R|k)7K$?U`oP|-fo9OCDYOZk`HM?`9H$>X!tGLI&XNi~cKIrxd zrFvr^+qiudi^3LDpuSKM>vW`x#LR$b7)%ongXz*(tI0SCv*#@CWXtq7`R2ijs~=m@ zqp#jZ;zASPF|IMLuSQm}_M1P!v+FrN`Vc)Wv+t^u{gmZ^99S+aW#}FSg(MCigyJs2 zwz?{!%}N)pN1&V1atiX}abnMj3b1e6ARm~2ehcP(OC7;YS#Q9Pf&5#9?IWp%9t_TI zWN+7=Rd$NfL$MaOmVU2&UHAofHJcgtEaN79-bseWtnQ0u=sN$VG=s_@(@@O9crLYJtL z2)lxc9i>T0L6@j1@aOV)i(W}fm#74QI;aiaAMB6@ujWt!QW7%-+&pq>M?i)-=xGP^ zv}w^swp?h-32JF6!q+vz;^|%^`GoLVEexx6*y5{p^hD9=Dp&MJiwHFF zv$)Z1e12~h3d;PGApH4%B#?JL1OKMn?)@K>gTu!J#PRui#`@WJL*d9D!6D6no@Y>s zSB!t^vNCFZ)a=k3Q|-`WEqotN#@bqFsAUZAF02CY-U4w_eDiM+_bmUW?%(@f`M>u8 zFYrtVDz@qwpSuaGoV>?d+4$FSAs-HDwgJHR&tvU!W)0C22N*@(rN8lQ$xAo!pm& zGK=DcG6L1Q7Fe?d@WSBbRI}wpDV|?`_jw=Nx;8Dvsx}RN%H~jPz%3MS0UYMSNE~DB z5Jn>Hv^6j1lr_kmS$^kKS`TP@SGLtRFWR$~r*Mc%h%tFZDKs$p3YtFAXnnY&nN;{glX&K46kV5B0 zXri1b!7Oz>_rMnkzVdv1WHFpOe+e(=5RE{B);|Q(1m8(ckih#7L3%EP2qdID5&-wW zzyx1i)-JLbfnpC>#wk}R8JW4hagKE8qMR_!EM+|l2w{=2iwGp}!7_rQ6E1LZK)B&k z3g)DARCPp9E#8fYiL8nqGUbE)E`?_yme)86MXU6Z1^LxK3i6-=6{x@gQ2<-?OV0IO zv!_ENA~{%(y@@D*p(51T(pijMm=_M-rHsG+T=rkdVZ4m;VZO zYkhvOlzmw>ONef;54rl(Ttj>f7MmmwT`Sp1)g4_Ro`A-#=SF*fvg-rKlhKKCqa?wr zIt0qNcu@Ws3c4#POwEn}O>TbJ5MktY%*!1nNxP6t1Bcs?Ly6y;9Site^4%kQSj6!Hqn(-doD9|KeD3j*n9hxqzkn z74Zmo>}rU6G&f8Te8IQ}?x{LDuB-31&^aK2FOH~~G)5NtMXQ!2 zxC4J#*Ci|tl^*!0(#;r&;(A!%K}`-Xi4RnBfv7PaBr`kh-E-6joECHHZp_}N`ZV#z z1(x8@Do{@r)-&jC{PIiWfBUt6{EzM#xyRQ|`;%muTOK$Pi%^pW6km@*7RPiU!cBDW znkHSrS3N@^{c~7Ff5OD2OL&b(OzX&{pUrM`;_1hw&L6Ze1-!`nOzlhW`7EJZc?GWj z2VOdqnw3BYyWwFV_8kcybd?+YGqJ=}1<19U9e9qQ;IFIKfuxw1k3+g}u0X%q_zs6s zQo{j8wP+iz&#?tZme3BA8Tv;?2b9qVW#VA|%BZOy2F4LvufWNm;zC*hd>sm8s1t8n zZTuYCLOp`hyk@a_?vhF0NW~}S(<;&<^{Ja(gq4-q*R?Qq^=!n$)CSb<_e8|=7?icd z4;yfhnnl!7zqx1f-s6{~c%NG$fcI`_=L@3R1_y5|s$v86FcI<2oMN`b^FlX{xi_Ip zqCFq26g z$Xxq$rr@$kB!&?#(QmUkh4laA54e1R(*8t^(fBLPkjaMAm!JGO7cT%vs@UiZH~S#d zl3nshBIoBk&Fh1BTb}^V?_L7DuOiLjnNaz%8GC(Wug0esy)b^^GClPvXopoytVOiR z{(R}LkoQy~09go1&C=V@%)7YtOK^c@)1Yt0dyo0Dgr~(F)W{^S=`bbf)982Gb-Ff-t5)b4JEw$nzcwx9KVsPwlW@Tmb9(2px_tLFx3p!cZ-1Zso`1!D z`v#qB9tvtFE|zWToxFCO$*pSqH*pQO`MM&V0^LwLUyb0W z*AMLT$*r-sEJ$IrY zEKicSJ~3EI@e5c;wKA_REm{oy0?Juwm=<4&%}uLTzis4BY|@<9Meu}X;!yL+va~MN z`nH%lsMl}963|SCm%=EbIFSpLSvFhhuqA;vlzA|>vuoKC=dIoZK7&77Ey)O1wy8#8T_xkwJs z3elH=0mIs~9*}AnDS=?^#F4zu{l8Q}aj*mGG`(pB-Ln8-P6Q2X5ikYTje>RIosha3 z34{`7u+EVU(xNn`V<#O|{Tfvr>Hi|QK~+cj5AFXua1W9cM<~GqI5a=ScUWb1Sb-5P zhi2mcf6(PNk4u#H53lQ@<9{Q!qKAk$vx8V1q3a^%eDL4?@ z(;@+-@I+tB0j1tqs32Tz0Nr;1;kYjz8)FSAjvG}dGC`KQ`S9a)y5ri z>$*F*WFOG6?OLPISyt*QDmy*%^fLGdlT%Y$ee2vm|1YY$Ni>*==1nz9oy(|_a~4+a zfDKsGM$7$RCC`btz1g$hb5V7Wr0ou1!UrcgX0HN9h|(2`&3W`aOqKR_KMq;_fO*?U zsze>X*3iN~`gvM8eRctPU8878NEhG7@jK9`7)^LhT%$h;8osGO$y^VWfD=?LiC99t z6LzyhNH!!8nZpTs;#R0m)Rb~_Hrs$h`Ju&w+ne;}MJb0HXUa~cvxO?oUfwCwW7+Vi z*WMz-Je>D-Aljq$1kge*=swEZp)UaYqC&D}aCYRjvTyX$3x$d#1qQ4=MTid7KB$ui z>Nu-D>hRE?t<2dXTNWJy}U;}xg;m91@i2Hjx7t1SObk~lw*ym(5A z;+vqGMK7E%jj`+_++kAZC3e>1OW}Bi1Jum_f(`3CAWO*#F_lLWRxODXq4eA{PeAgL z=}Y(~34v4l4(vcU@E_P~&n*Rn2mZpveFtqIocjPrEZ3f#{hC7)2F)crnnTo$UZUa> z$9R>3?Nz8w;;Y#|wCUCJNBRjQ0({%gXNBee&BlcvCvcbP8Gkcrm4i`F-ZW(Oo;;3& z_Dr#q=ePfEuCuDX9t;0S>J(l`aheG6j|}wL+Ar% zGx&ElAe{Vf7=QR*FmSN_9~_)r$i=U@*Ps7A4OfBx&JG-w?U#SU1cLvC>t$GzcJbVo zdjB&zXZL?L|1dh8chCMcx;nt)UwB&LzwsN-e_(KSGE3m>RNnk&c978l7ylle&%a^B z>wm#@xsqow@!S3(+BKDk^3hA{=s=~URDJ-N)l@I}k&xSjP&fK@GP+x#8hD@B$*kTL zrl6^bz-+d$#@;AC;6 zu%Y|jCsaze{!W()$sZ~0LY|O(=jtF)D!^x7+uO+7MNa;Qf<|c^ zWv=}fVA9uX2;ZEx>^__QAva%FW41}ee`Wb<_4|?fX26rSegvbwuYUKD<3Bcdr_iFi zY-`#86#Fln&n)U95;}bjBkZaIXqrZr-$!huD{DdxG0txHLF> ze^9}2F7Ot041{s^Y>~n6I`H-j7}DVGEg^y-QP8dYH+K4_oOVK7Z(5<^GSM$zzD}Hw=FWo2o@C#M6}e$C%N?#HBjyW+@h8CIGceWlb1l4rDh9KX8XR zF`4g({ohBtU(%qqIgONYqZC@aVJ&=!{Ou`Mb3!;tsT9vz21IKFXxEJa;sr(XyV zMCh>ty8aTP9tcg=j|BOR2krd1M{Pm=2W z-E=m*R!|HfuiwUpK4mdVlZTbz*Of?<#brlY5F{2GG{T;L@%e-F?8k3V%IMMG^09!I z%$;stQB;*_!hLja<3Bt_HzMH!i%2EXByicEEF=+$4H{z(#)Mr3*w&$fT@Y=5#|)7h z4y7M$?CQT=)SB7Hig#W-Zpq62o%r(G1@K-8u83OnIGc)d{9nSME#S+v>+LQj_yCpt zyJgNf2s}JRN;9WAqLno(F!MjLP8P35(l#2}3qWZ%y^F@?(<#r}(~!k!ehe!KN+-fV z<6Yfy@O77q**VQ`AHWmRZ~N&qi!v0mcGQ#PtJVv<-E>5F%fJfP(YZ%qX!uY_w2(DI zPKRNn*i3BSdoMp|2f1N0t*y630LzNlM2AfqQf`L`3H-sZwY3 zgrsK}XdGCRFC&a6!A_fO$Pa@;N*c#NBTZ7kKtqR=kRhT^7J}l~N&XmUco1Q9EG0bl zFmUsTiCy%#IzPRFXp<1Zhms%|GBAo@E1}f=3~WHIjGp-|ALpeFM4oE10?C#`shjo@ zNcxtK{ZjrBU_-Kfs?<&W2tclnm&_1=5=rN0I?_DN=MRz*+CPPINzt=kQ-u+BgJvZE zULIW!>s5^TGpZ!v#l?dk$@oA?e0JC1ttC|VK?R5LIy%#7)7P#+?VRKv&8FBvzmcIL$)!!y zzr|f%4)yIrzmAv$xjk5H1u4dgtn&|KUM9{;o@j1`5u?VoheqNDnz_Z;b3A>O#d4~( zH4em9J`U71lvaLPJ(`G_=KM~oYB<>xh$zZld|iYgE7&3%{?cU7`D0}7S^dTn(aM!M zOKe&96}8U{`9c<+mh#bZxkorC8K0E1zao}bUvYt1A}cW4_>lF>c0tt7GsaQLsMh7q z@1uQt6$%fGDNHFg!j^aXLUe0DT~qW2T{4KShm*YL=*dhndD7MDGkl>m7_n{iX5@k> zhA}NVl8JR0nA`6678CIFOYbyRf3R=z4_TUc>4x(_vIK~bZb2n|2|t;eBDWn!79k$= zqyoQ_dEn_&fF8haYwoK9e7mAahZr_J1cux}2lywwXIBW0SFr&GgC{#(mCf3rn+@tt z5AmtXM>vQYdervu>z_x|h;0em+sxz;Gg71z>kAXB(&&B+GZR|oq@el=Uw$8N6)1kI zTw730$q$ag(n&tr-ZO-P|0>Vtjm0)(Z%=`(Wbz-R7@|%`azvS-Ux7BpVgA|Y z7G$5JVDWRJ$6`U!ZIv}2yIq8Yjo-B1j5XPHE6$$_9TwhE-Vq+)}OWS!L1$T z`5Zd9yb0ZJcuozL`Y<5)y0C<4Gk(?3S~At)OTUes!X<9;n>vQ4hcu_99vNU>O8j zr7I2+V$`8d@rg&WugNLtJi}#?(j#+VF9ronx(RYY0gm3T=dU0F5m!~Jpg^xjeNd53 z(~jB@60QleZbqZP%84pyulED15@^pXB19(_Vvq1Rs2H>taqJ+c2HGQ}Zq=Ov?Xi>F z0UdwkOaIF2Jj#Dpdz9}f0qsR#8MObk7x-vzNE2eu6tqWG@@TL6Z@tB1eVqD3y-a6e zFTrt8X)k=9&vA^4QsncsA)QDR*|{~UfzOb!01dLoQ&H&sH0yEQT6Uzb>BCwrE_UCm_^a{ z25@+YCE?0O_9$+K7WVu07cyDP%H0oZ=SHi-HNDdpJqVd62oW7@!}vyUv-<`-qp7j{bl@@c{995U10Az|BDXix&v<1VPF*TrR}sF0UuG36Rf6Wthwl<)W0@ zGfQl-Mcd$bDf4WeD;8n$LyMbJ1sYJ5!jY?(M)%#Hw30vuy;fXAB^cLg;sxI=sH z4xawEgE11esWPzdyY+YYW)b*b6Db$I#<3KOo!un@&6GgeGd!)aCiKqTdl?>J8#9$a z6$EKO8rs6)Sbi9BYy;5l=^ic6+0I(xeQFz*K-*aQOj zQ*#?f<$hO-um;bhL$1_ttg3d1BjhwjO@82ylLgqyz;oChOUw>PD_g?!f@e?53_I zqy7}7J-1J=|B1*-d-k4uPx*_Hl=dt>iGxG{gi2J}GyFvP0em|8x;>o7SRXD_9!sH6 z9>=0RjK^3V&hnoql*dUSHx!3)qML~Ab7V4>II>D04x>tY!w)@OKaTyfl%^H%4|bqcPfE#XyEoMY~Ew7Qnn|$eqeIE%-9ZmRuI5C}9>xZ!5B^SXHfM zK{#ysl0KW`WdhHXEB#z(87C@T!_x%Cl&7+3@PY|@q_ac_rv_NEh4NT=uVct$-Msct z1Bxo2(5mKt_`WrYPAll_7TobgD-fGe<%Q*h6%Z2lNma1RP~y1+QleSb?JW?|`c2>J z{;taoxY_=-K=7t@xs~tU>Sk}8_osAbjYf0h-S)2zR*mQ7^>h2>ZoCUWQr&3Wl6)|A zEpoT)-(>!=y*V4GKHb-NlaWDUZSO8xgSj)Zz4f&q{r~l`IbekJkiX$~xyC|Wo3237 z!W#-VX@g8rLEw0!a^_~vUZW{mx-j8-QdU?HI6oU0(hx?l-n#1xuWQO7|JZ`!%ayJp z;dqeJy*cG~d2zAx=X|{GN89g%8}V42z4YVg^^RBy!0+Dfa%(2--re=uAUpnU;jPd8 zapU=S*i)pP1>i>%BA{N7hSOAo_LT2-!$H^Qs$xmc{*tNoc=pqohJr!yNy5nr!QMe^ zgM)YG4O1FfGkG9jbC@aUb+N*uw|7a9=`}GLMV{VL*7|ka)EfW$(39< zKVHj6`vnk5dW!Avu$B^Ive|V_6{j#5&KF#-?Jq2aEG%uVvLYl;hg?ck_4pizU*Eq@ z+o@$G_f^sO@s*s&_bTZ6=zJ%H^){q@#ICf|S$;df^5lK5;!5Bvu`lb;l{~lRoBnA6 z9iI?ef&1fM;y2|pOmAxUKlck_pe&Dtj4xb&TO0u*yKJpS-7#v#zqZ|eZD}Rax!)kN z^SP)nV&NWE4zN5HFaK$07(XHPIi_erVf5o<5WZ#NZ0GYOqJ zRXi2D95+Vfx1Q0aH^F=~FEPKsnnVho>)UbN3~k&$Y8C9ip%C%DX-#ZtZ6QhkrdvJ> z{{B6e;=OCCKdpCY_d{=Ku`x+w3*%zKUBmtYAh>z6b(zUpUQ2PI(Wbkk|EIR;`u4Q4 zBVe>m$WQ*)*UT_iWA{iyXsVfPxU5HIws z*G3HZMz6~6FyfSoq+9o8OQe(gd=yDnW4AflVFV@(%zcC8m~81Bmcr6*p3E>eqyX)% za`p$*y44rvFf*kc4IuMrI>;pa2{O?faq7!h55Sc#WF>q+-dSq=W$Y*q@~2;jFL2377?%SC$n}jH};!^MT*0si|mH1L(RHxwdE_zTV-eU(Idr(mTn` zLbc;#IC4l5VxSKo!Dhg4ACNCbwUfycTBLO@;;5%eIdMoD&L3O}b{Xu_*XiM3*cC{Sr zXk+JKUw}}V($VsXuzL&s(oGvP4R5jJ)w?B_?me%HmYwORO`<7e;$^yR9LVR}m*qQ7 zg$2Ox>#+j*>7*Zi=T<+kY2LM(@X|nT>-WTMm)j2R9kg5|q$wEWT1CULkiphB}Gz$2mv|p3e81ol1x~rZR zWHRAe&A-{@W{kMrUj6N&Cp>&Su`%bkzU`Fx>DYlpK3wi z127u5HpU7Ju7TmP>g=>#Xq%tI(E|QkKZ&46PoeJKLH$G;pM%oB5Ih;Vxca1lKI6H0 z_pDc4SV%XFEa+30pwIO%jWQY+HcfwKAg z%uMxyt@{(C@Q<8~okVV3)`LU>hg)HtZ}Ih!gn2Vs#m0`e*sP39?<_j|gTGT?O99hF zGK5+dG+l4?4k8+VPmDztJ+HmbQjiHz>GggWF$jIO;^BlS+@H2u6daGjfTL(^K_58b zae?ruBdyPpfBo!i><+ld6dfk&uQvM>>r?9z{7Y*~N9l+gx>ezwMi?AtVfycmAW}m% z3)2WJ^SV__$I5%A_)=#7U);;Ce-kQ0pKmk2xoG$nl3^(VVW{Yp)G9 z{bK$1UFIp?k)-&SGkT6Qi`1Gz%?I)>-1SG|i`;Y(0ZksN$C=;s)~oJU$^=z@+nY0# zqa6?@-6Og$rD#AoH(B2j8#>G^dE&7RCAd4xT)DQdlr^2o8k)OD8la#aGztRc2B3r}KEk~L67$RTo zUSz7>04h^l>k}}#Q+OzZWx$#mW`gb%p#hQmh$@_UeK8X*n=ZG-`O1~yCapW4lRz3n zi=t3@RP?}9w1W|@}+b*BpF6TDr(5d0clwK+OPSEOnwnsvxxhmt`F9AO7Y9P&s)?V_x zkH27GloI5o3ijlt`{-aTPjfJ%ztN<)20qLy_s5=PEtvOb%Vg2!m96LZz+U;^oNJgF zUvP{|h3Dc*Y+iNG+rL|3sUj9-A#!zD9ckq8=|9lA{T(uEu{7Ot^;$43I9+8ehs2vP zV|M+wgf!}@S>N}yxUourNuYWauexPTDgmUT_g(9EkbBKDsk-Dg!;~fO<5g==Y~j_J z8MJB?Gnexn;3dg>!t1gxFqxBZ4KvvZe)TDz)Y5l6G+v8iDEDVF_wLzC3ij;TPy2Y7 zNH@fEkSM3iv5Du*dHoEP<3NH&JGcpB9-ymvjpyCa zG)R8{|&MnGVi_`X$pY{_OqZfXgIXaF9>a5UKFG_$C$H@WOzQkXNuFv$k9&l+$q;4=J8#OBM-S(!uxEGgBUu^r@6mSqExsX3lC75v zoxn+GjTx7F@~7TbfF@N*X&4S7a>#A6?rDem! zCg9G-4EF7&W91GW`_9TuH_4T?p>@jZe*HNK$Hc|qjt7uRN^KkeRh4f)}Xgcn}!5U5=z7Cbr`AhY~a|18mz}sgBw$K_S3H8L{ zQ%=d+;&?N9TA{gEq|ZY><0Y6ZB__@iiH|zfYl-UtQy}sY>kD&80v@gmh+Gkh_c_gK ziswy$zy~ZL=8#~#1ZEH*BouFVy3!EeAJ^lL_PSPEj>09{rMBo#5uNaV%B|UZ;;2ZS zmK+~hAb5kivOOv~)VD75yk{as#lKIF=Y`4LTTI#td3E!{P6QQv{0c`uT{>e{oJt_} ztK2mMWo+7a3Mf2Y`jc)NVuau2GHC7}IEQ}{t|y?pKH+`Gf%JVUOEBCWQ)ThwS&8Lv z$29AWJY{Tmth-Ld@dgo^b*!|DqDH|;=D@=TnO!x6ytaLj1LTX8YYt-a)gCiRSj6)c(IWK1M) zqS_aq#f20b6BTejslHTl=3`>|)Z9O%bQoh?!&DfMP7LE2%s*+3F*+G>hAx@mBAwd^ zv&nE{AiqBL%2OmtSc!puFGY612>jX4kjNQu@9hc$M$~Sy=pDgxFw$9$mh}}hg@2yY;u5=^g#*BQYS{aQ-38xH zXiVfW=-0up`N3wCpF6~=tJ-tzhD{ad;KzE2N~9JAYWjcP#e6?|&z<~~y0M>w?hKg@7$p~_|8}q;L;6)vI1ZW^ zJyu1}lpz&*K4lPIIG=MP2DiZ}6#;&}0bdWu-Sfw&Sv|SJ9`1xg=GfX;TfSI#TQB)1H`!V!!%N!fEu ziC2q?A%#y1V2e25U=74)q&9j)F76&Kg#LVZ|C`qy4COq)I5xhSg5ciOF{gBsu32xWwbAa$jM6og#?H!^B|OjI zE1kdv(*_-UrLrBk*a8<$ki{=>5eHf9mCLhbEisN!8iQOPuR%DA550=Lw+MLQZcdKfg+6FKlfV^`DylVE za!EE}fsY8*JUl#3=z@P?x2ssfQ!@5(7hVCDm)zd#%Brl~EH7&q!NoH+Ff+fVtV&@m zZ#BCiM$9n$Nf`U{cS;kx!5GYukHc;GT=j^rEd4R!zEe`rJ-kqz*TNkF)zWI+CX zHA?xi^OrYjtt+c=8EI=T{(uj8j?wmn{;cIY z7Sc-pz;5hOK2Y&4BfLM}2xWuFNSaQl{w=jJI#I1yh7o#RS;;FvSQL4wuVKG-=Tb=P zJOIBBGs!Cg6{?|+-Wee}bT@a*cJA1-YVXPElP=>ph7Kh}XG*#;kN1VU8008z?I+1| zA9g*x#-7_n(7eV?GBhnTRSQn{N&OtA=$ogl*ge5gRkzP(EdHtG0khOLV24W8l@zBqC&l671+D37$%Q#)O=0E{KY2I z-?bQaXb+kwByk>w0~*DWT1ymGw0BoH(!_cl z^Dg}5S3C7T&^d4m)DN@7IO-O?rc|k~xU50aUE8)&jTU@PgU;-!DTAycsG~&`P@GGZ;-A(tZMN6DI-^laiWE z?;=IJE^@$$mo!OeNYeFZ7pVhU>#~am0>xUoy#a zVI3;2_QWPZg%89Byo&$$ER%O`_06n{6kkIIOvVOV+o>D%c4S1T+fEC!D zMyyWgQAG7VK;zacOmQn19md{D$Y>?67Xe-W+6Pv{{70Iy2d93fMDygAbdcm^O&GqP z@O{!EMD!KH`|&KhjGNV&4U>$G(6540Pc}+%sY^yC1giwjEAo52-c7;tj)(+Mm#9Po zi>6y0LyA}>Jb%>N(ntoX9QqdifRQl0AJ}VYT z(WaGhE10JybnL9t8SVxd3LiiQaDWI>)^&yprQ8;HhGJI-mSv@KkEA<4n<7el2Q=yv2&EBIVgTs#lV{NPu!DswEHQAUZHyKkqp&wI_)Z_2iBUFh3t9qcF-wCO zw#2miwYsdB6F5JCN{pZo9}Fm@R16APfBJ$F9~_+GeO9xROmcYZB5e^y2oBE`~9u}KV-O_yAFRA-EuJI*EH`d^ptjl_sd50sm7bkW}hjO%B=&S*`rBt zXH}~JWBn-4^KNsBXt4jOj=n&q+iA3nWoJ8o#XF*jSuP_E(2z@q!JESj>W>SQ& zCs&IOaWn2h#+F;{&C2cV0fbFqL?OthG&!`vOEo^HOH(7ICVc;VM)QC15e-L1&Bq$` zjZ^bhL*1y;s>fS?;+5<)OvG%(bY3`2bcz+nE0uo^BY9T-Ancr*Zkt>G&p^V21kKDKqm+aXcO8#{k(7?=*=?33;-> zW5e>n7TrJF7K=j>{h!<`P@8`(uPW;6RyJ!UdRJagjFC)Y4PAWpc7EYmY3!-=?Aq=K z73gxHCLcg_&+#^T*-&ZTpu|9BOODmxHJ9PS1jX=%hxtv}kg%40Bi$k?Gc?Ml;7TQ4ZE92QAclvXtMk?36lN+ynLGUI=uS zn%7@ORfN~QlCC#fx@^bV(hg1X)8nD=#REJydF{0gN=X{L163S?y&H4m+{QYqhq}%k z$;j&qR*B=ylgqU1TKOZa<=2cF%^Qe*v6ZnGeb`Rj+@hK1p2?{ReE3)Jc>8%G{bOH!8F?~2OS^hhM)oQZ*d z%2H%IYnSC|jV?J1Ue$jUmqc<4H+rLCOiCHTSTUj}OOQg5+_|t`dG_n)c=VMRuV*?< zc*$|L?f2C9b_oGIMiU2&;{6cgb4*5oov;MMfHD~*o-J@830bUt2bAe5RH@az*ZE8D zn80|_C=NXP_#OVWB(+>FTsU(qHgnYr*@}K_hK{Ew^hT&qFc_RQ?0O@Uy=VL?BwM!$ zbvQMLzR(odlTOXN9eBnQ6qUIK` zC5C`4r-Y+qS`Vj*MRifVU|OE<7ET)fSD~+3w=4WsrLMhXNtv3`gpy%CLGl@c`9L#nDTJFyQF_8wBNy zjv_HHmgw7`JTXAVGgu_`D?)q~c^(XaktK78BKN18kY5IAxRGO=QKg8V4L0`*foRg5 z5QF=zy-76F2@oSx&=TXTfAb@5?D_fs!`WMhRnvV#IrzX;Q>YISH2CxH*J=_U@8?59Dfd}zO0?1VRlTxJqtA-ZY^2r;J$kD z`;+3&8GJ(QRwY46n$NZH5pbzw2T~dM%P3%`8_ax)1Tz>fGZ=V@z)U5Wq4@-6=%q98 zuz$j{uk!Rq)2zJB4ms~K2O|Ba2M~2Fo_P3n)5}B}<0+`&(*|}2~j` zx7_$e)Bq7n%f3_&PXLi6mU^h}>Fd09W&9+ZoRLAMpAi>t6qq{QPm1~?o;S5gpHhaI ze0oUlAi-dwO#GGYa=o=OPnwQ7@O}2cNejvVGqkzJ+nC~e_9sU|XMsnuXvDHCe|ltp z`N>j*e}3W$30*)VNd4&m7*D+q5Qu(~^dwTXYn)R4IYNjrL#+LZM@;#Witz9vO(>i@ z75=xrk#c@}tvJCuLj(q9r{cbmUoh zmwdAbZ-3|A96%B8C5c60$Zh$0&dT!jeZ|qO*{)6UV$CP^Kf9N#Bp0Qd=UHzWdD}mP zheypE2#0R&V>0wLI!XT7&iGXY?eubrc~VsW{ni^pT!UEup*Z7{0_pF(_(>M7#7ZV< z2vJO`d4<*cl2{4;zzWpY(h=q8cHDD}vNqgWXYcZw5ZODy0gOr(t2m;5(avsi3X~;sW+IdUD+G>Qo5mH&9R3hoRB)cmerxYln3>41J0NV|D}bMR#teEiH~sAe!AarimC6|%Qf@4sgxZ^$5g93>Dkxf& zl^8$JjYW^pD-bW-jYSFnF+QUUixQ_-;1FqBAr_Ol&CjWrP$Pa0OkzwwxHucfk6kok zO^WC3#sZ%*e^>ku7w03-ode&$4&rZNr2m^vz`vc89VrCN$W>h!gl<&@giq)@{hX@s zG_d240#p-w4Yt6bdq1NLxm%EaA6KE8yZ(wT}2@)~0 zeeLCRC4V`k6=u-1cEcxxYkKugP<-e_T~NGyzHJH4W{_3HuVdNsR~iYb13u&pLWNw( zA0#p@`xv<}YFmFsNngJ8kwo!DblWG7aegZHOQH5NHtsw$*KJW`1XBHK9whyQoBX1s zju6gT^ZN@6F24jmfq3%KYq<;uWd1}5(gLIT0layPKJo)d@jPS9Tk&ZmMK-YOCb?e+ z;k5A}>igsjIm8S_k7e5a8vkSkOE}O44IJn~?#_kCHs%7;9*vo==K684_##;Z0_sBa zCxO~HTytHZO|@Tz!b^ZOL&GDLy1ynkJEKM5Y@S=Z;D}5-!vRZ1qRnA1^DT_(4Ws&_ zEX^af!4(Z!o%(k0QdIg1y?DaNG`0*MZY6+D_BjCO0-@qXNi8DTm^}f64V7vE**sQX z85L6ehuPc^TQmcspQTX~8ysErgn;9H_75P$4*vDmv6g#&VgSx4jPG#Ie}YPt1oCy8 zQOb+{Fq-RPi(-TPT0SgOoi@e(JPNOV!VC?^8PcAr;N%Q$!80^g@q(qKCkZxK{OlRF z(I0>DB09#tn@$r$c8oC=E+&mAkXk%3ejJrdpX~rO)MX&5HUpmfP1BQxSp_e!e5w(_ zLSJCqqfznI{C%v=HAQCY-|=UDF%_;T+N#8`>XYqjy%N6V^NHmR7k3{g7th*hwxXir zgVDIx&}P9KlX?Cktq%D@l%Daye81fm1`R~MHPrA3lGwu<_EfSnO5G>Ch5;d#aIJzEC`h9yYA1W?x! zhk|tlr~eRQ7^t5o@K${gFWc{n6ulgWGzB-y`up!H4|NB5K+O6MGisr)F*f^w)|g`d zGw%ysN2{E@T(2*lNA3K3RXLfD$e-t_hjQ;So2H zy1%?B%E$ih^XDI52)$)1M2ZJ~Vv=OWZ-$e^I{$oS_<+Nml6!VQY#U!DL55t=J?A-QB_yI>F3D+|YRPw6F>%rg6A6_XH z!s<)jBuCqlgfX<(m6L(+D%l+@`|ye~9|jiz_c&dPT@(!OB&(8hkPK=WFV&3LmecBV zIo8V6J6;&$p_BdnFcGm>xMHF2}h4V(~@+}hZ5 z2sv2cXQvf*>iH(8VBk!=(`wR*O-|O?!kz7%3KW=fmt0K7<#FjHE2HU~`UwGJ#3VQ# z-l0caG<}nSTvOVTi}7$zc)#DpQfy${77NCacQFz(7-z?TvCUm9JTlo!b|K5^s{mC4 zP!)ca^Wl82Di0QIL8pEhGYF4=PzdEI*e=Y(DMa`+OyT?^P}u75z2#G@wZm_q#xe!0 zXI!66E*3``hInoZp`V-OGrv<=U(I>A(Ed4ZtNrGP_N@fV9Kr*X*v!;8VVvdmJ7m;nEpH&xd4P>Lc0nYjv=hT(7JBXJH z^F^X?&Ga>MsOuCGhZ2_3)2Js!&vd-ud;(OOaR$%*R|1C7Cr~vu`FHuC4%;_8mMD0x zt`5P1eoxjMIwHX$Z&cE4tFkB$!BUI{Ggm}lrdX$~%46k?A+?wuXS>b z$9O-a(t;Pt1K^nXATYr8NZ<<$0EKU;q3Jp$~)%7d2cSodR^$xv10xo zk^@M)Iu?`5`HW4Y4e*p*jPKIrh5!yN{{6~7SbHi0Etk*0_FW%JmW%zS8Xxi6?}y$~OrQef&$10S)olLq#;nU=<^_Lr!*U6sy(Xqjx#qrzH!fJzj{1s5S6w08@YJ=qk z%Ean^qi6kEq+RkRU~_2GbmEy@@S_d-nzRPnIM$eVu~#Y_CAR!jTQS$tFULr31U)>E z-$hgEI4chuIb|!4dRk{p4>nS+$89dxLoc?<8iX#(8+7#&2{FnKR3X?K*AiD!Z>BXG zpM&3wYtcBD#Q1z>%o8xNAzuDPBQG1DG&MBrquE>EQUpzSV?y!v`7p_`hq(O;+~65M zHMF0fIx{_2V?==jKBztunfA6Wm%>@f?L7D! zjjXvJ`pg#|EN63}AwC5x$!8eqYm8qlG9+InRlTufe)t-E|6lT;3dlISM(SX56R(IY+E8+=!d}$AWV>JlaTZy1O_L;U_qOs39t6ex+jhF+sEWw0|TrVNu>v>|#FxsTcjX`S!{x ziz*;zfjCNM?^B*X@%UuUM1H8jbK4e~GIV`ot7Own7N5tp$W#wl-(?orBo!5&EiVyy z(HG6PSLIk7ggTo0VTwM~+Vj_$g6Qb&cXzR$$?CU++1d-3f`B z8>W4$t?aPg?JdBH-|WlxfW>cGFzf-aI;fqKr+R+z3t>^|QtS%M-Ivn&uQi~%)`j?F z&|IrO@e*hbJ49%9|xLaW%HQ;%{^v&=TK~s#9r#`UAgJR?p)DSwj5hr>>6Gi z6rrhARWn#QQan`IKQP%9r&(7vSy_i7^zM7HMIw8lvtH$<3ji{T%S>X6^G_&piG*Hj zOk}+nQ};Yk!+2Em&06j&YrY8Qx1b0ubq?pcv`L~`bGw?@z^SeWIT z`cvU>+P!BY19*wHS{91n`h*ASw8~=^phS~01Y<)%_85%K^)ipy@MTcG`-xh7xkN6m zDJCJZb(dk%swq}4O6s!&L3M)CZvhr*$sz*e&ggGYR8@cE;O4m768*96e=OB)_{c^ zsMjieb)ANVUdQnepjon0k5Uvx#I0f?`)gvz^2&V6HmM6m@W?E-G!V}d6nexyMv9J< zN)%-g@j|8>a1BO~_=+r*lszzTkeVspZw~)qh1t2{qZzXbL6WjxcHe+w8kjbNch4zs zdP8(<%Je5of8dEY+XkTtq@3_fx^cn0W0cq`U@PpMYRM1I4Gn1darL2+es~ zynQfGi6&_R{VGd;L|Dh55WK49rmTBm9vX+%fcJNBPh=$){g-(V@n2@w)BiG=cbP$E z`@rYaYi;m?tIn#<6CdZVOiZL#g1durgD_CK5#85UR9X8X1sx)hnTvofvdrw~SY6&iL zKpAXTeD46o5rR}kQ39lB$H2LtWe{{tf?ElR92^skKoYd`a6e050{oT^q^`_6vF~?c z5_e*ZBS`Hl#8TSim=8a^T_gs*_%mAt01~vS)^}!bO>96fp?6-;v;H4+Wj$pUo%KC z1fpaK$eVwG{{L|ne}3-_G`96v;`{kOU0(XXJ;eCytHn+d6AlfHPo^aIgTC?u4|eJ? zipn$wOA)%fO5ayb6&`Ws#~(9B5Y(Wm8`@dJJpO7JXuLAHW*?OL(1h5jhbUsxzG93b z#S-BH77@?;9)_pkL0*ez38IV06L>sVH5WyW5bb;DorZU$EuJ>8;dQwgaGEPwJfur@ipymw z5Iily{UQCC2LpTxkGNr{LpBALbDlK-!HS_04uZjNLD&(5!wn?Clj`|nvNe@NbMl;y zqPuEbuv)kR>*eAI>>&C*1s+6Sifj_da)V(9QPZe+2%{C)_zVI&h~(qRw&q*Eg0J9d z3sjVq^F|WVtSsl>RbeMaw7Pw!8h8l2+{X!fy$66N560)alLwF;_K!3s)J+(MK;ty( z@+bYjfG@~e6J;;7G<1T8pUG^p=xDCzTZTn~FT#2z-7I<=4V$ zY?oN}0%wiNWoayDdk58_CpzluNtR)uAVJYYo<&d9<}pYp$X2>(MI@A$Z^Q9@|v74Rx#K#>`?;d$D0QFprNIuQ(Nq(AP8Ikh% zSpTZntD1$Mzk01c@0p#9Oc13o2`1bD^KN}Yz%27;37io|3}0dAOOBNcep-`$$>ra0 z8I1DYV~(ZCDSd_^rk*+8mQ}-U?5Oo}7nrW*b!L;fIrnfO2m>oHyy+gl1o2i7XH>YO zH^As#Alz{eUx9EJjD81Cq2{9qpK0eyM6uALczdv8c+-_Idz)k^mzZRhEE8TqMyO>8 z9|njv#)aM#3tu{EH0kRNKUP0PRd62DH8yHk!50{`QU#nn+r6AzM7KWedE_Hn`;I*e znGLoXn&D`Xi1d7f=?#7dryx&bH5Vav%iP{0b54x9exK*ASpns1z1H?-5XQ9|G@+qS zKU{KU`cvoo>HBeFpFK3yd>|*z6psdPCdJE0La(p|-hJ4yhlkKRZmGZ}n?Nl}50AAX ziF9bI>Bj(Y%W%#I(knoyqJ5tq^=HW+k?R(22 zkgdv~)jdE>Lh^8DqJM*M9fbs$kHmH6!GSzDdZsMfDs@Hkz{NWlydQ>3R zwItCz0d?z-u`NO6@~2sfs@sAFm8)zcRlmih0)VV3rzYDz?K&G)c3Lum<+Q_C&t5fK z9Vfhj4D-{EB{Mv6u2UCb?@^>1&Ag7&z7J20zLkP7U(5)qMT-Y~ovY%Y%aTyt>-`>$ zM8<|(%}a)d6&mao5l7!|Xi-x%_TF4Yy}_x0-Va5--Ddl_ETV1`-kbRXft$eJ@1N%{ z%&P$rXov>w`?(6wA!>xE@h(y%XDkt77EiK1M?U+KaHq_qe5agFh=132>%Q;MTUtyn!p?M2 zfON35vpo>ZU|S-Ea8qOju)IP`cfduPwu(RNX5r|12eIL8Sg|KjamQsIrEalInii zHn%w$8)14bi)e11fWc2~GErq-FQozdroeInFCdKtq|AH4o2{P;kL(IcH=iFs)pp(U zXPrVl4%?Hz&K#=OuM%vp$n(qB5`S~#WbT3z+s4^)s)7G`=A6tq#Wtee2XuNg)+uSLq(a+n0o93RqWQ`)78HUGn-kuSDl$eZ1Clo`Fg44Arr`f3p z)yV4A@#a5I`}K*OGp|2xNuh>RisW)joXYekMj#bG6+!6j8BZ{bGBN~PW z137toR-Q^m{*rXDHcKZIk$xpp!`p&s&snB_Z3|K&8q|IR%fr>{K214Mpp2fRbRdO1 zXPLdn8t<_t5Cau`?i9cI(t*O5UdXw&efxM2wFffbza(d5N;7GT7GG%NiI=gIP)e&- zA&dg?%FEzG@a7k>=;FYTx8re)M!aZBb6;D4BDP?HIdi_1!~)`%x zRnUTCK~Mt(EnpxuW)%VW)J)_bgQVbd7irM^*^!Mgt>H`6?`oeJ%EObw>%g&v?-P*_ z_`{8>iFY)E)He;tY(dZoV6)rA-P6@gE6u_@^sZdgvz{&d2k~gA939Iobjx+}Xi6a0_pGF|!Ob9YU3Hh2U(utoT)z0#7LUv~ zy{+=aVbSZ3LF7#z4;RIFfu)NcRZEJ?t(srduxuyV`bZi_B?3^^NAZ=YtS=&rcF>MZ z&tCYGzTOan=;S)6kqBzgMjVmL6H|D8(He99AmN@a_`=2{{Sk5Mm$_GU^8yTwbvg2w z^OvAB7bq>ucqa{6AK}$|TRLYJp;j%i3>T>JMyJB67qj$bTW0-6Zl&~BW zed^O`a}i6|6JD4%k^{0`a=l%zW~Yx>WfI7BR5#T?S1jrvQ?FrND}sx^E=#lYBr$uq z>||p9(tD_c;*G7BbP?dP4NmH@UB2jsl4~H?I*k_gns5qqPBmrLxl5ze>=;9s3!_!O=ldsqq)-ybM^w#D5yTnE@MG&43MMl$*-} z|2!QU>b7c){zR0?QV+JQP5aw(9L?FzQ+6uEC1?H8w#vV77CD%DL3$Uo_M7+N-+ zb`D;jcybdt6zyl+aZ@@#y3g{OqY>M0TzTeJN6$TQbUoWZ22#TZ?OL`aJ{Pgs-Qgwl zZLFDVUEAx*&5q8s1F^>T3e$NIqPMOPL3?53A0DP_^j zrtVUabW=UqH_z#}Nb41+R+}d)jrcb_9sD;BH?ePbRdI&(01xMA>`&aYi1AIVb46WH zDEmq8H`0Vpsyx2fLFmst#^^}C#5|#=J#JQoJ&0yR+Rci)a zMR?sy*GcawTz^TA$H|iP-S&Dr4c2Ha08Qg(C=G$BebA)Esh*@gcB=dY#WM>O;?z`E z1Kz;-DE+P#+)$pHh^>mt92%sMBQ?Gdm9&iz67+d;M4MMaCbY8TS(06v$74`Mx|EgPbSh%(E{i|LrlHz)5*e2-A0j|0W*;RhX&`y=*eKT`a zvf`oC)Il`h{u(I-7#!G7&Rl)`$N3o+PVUTg&%a&_c0oAnf8ZJH+!?*j|ADtaSoRKH zHk{j1ae`|e`BPEPPx6nsJ=RA`^;6#e4%6HR!U4p+K&BJ89l^DT7ff;GU#7j^Q9@Wg zF>MxZ>FoEzsEeYEt@n;<|GQAT4g%Xd!P55|3LK3L91TV5A9&S1b!SWaf6#{@%BK!P zIZ!VtLy{2B9)a#tzrx%D;06VU{{z}*3;mzrv2#hPWKlEHub;fWnP{#n`sC%qbn)*JW+&xM<|kiK0v*C+{*A)qqQtu>q=0?)ZZ zP+@&5$mp{^dn+@E8<^Mv6S~2F6CnVYVG~kV?}25YCeALdr#jbfWB2Snb6XULb$mHT ze5a7+>S`n@GSsNn$~y3>LLtLwzoLAAte3t~El^GXg&lv5M0k5EGnhxNgy7A?2$60i zp%bO2Q`vtM23e&Okk0ds5c;%VF8kf%#zheigq;)#QSbRcRJ{=6`>WWVJGH15A;vv6 zJ`ECqn4=dcF;n4FiBBuZKY9OHyCn&Cj}odJwRE>s^gDk1eTY#``2!T&LaE|co zeCysr8V;oHzoNvKF{a_6-Tt*w`|T+Ut6GJF_OFlfPn#0I2pN^rN|UDs^{iE7r^w~= zJOe@Q*S|ihJ{=wSqM2-Rele5G-|eEUU2vl@+b|_?%x?-sh$O9Zdg?kw1q>W6zJo`5 zlF>MmY_OOVfj6Y39*y$66v!-%a&dSO9-r<_F31?hu%mNN+N ztYx*e%BTj?J}Lj^s-SPde9YXtf|(5|Z7n={o9RO39{6H^#;qvLdg-XLVp_E28twFR zL|tTWS~!bJ9jnKiEQIIBkwkFC{-Tb)6_f1i1TKU(gd(`*HxtM|H2TfRKxS-qS@AQf zU;v$aQ#lmA2K_Z0jGMu-$#Nu-4_3;O`4(ijpn#PwrLf9YbZ(t=Z^S*qGeZj5`Wb&6 zZL`Pin|r=`khi<)CtX7FOm9zf&&5-C@m%68dm?}b4}V&FTjT!J&QkTv)zlu<7E-Mj zOGjH^8>ne~Nx;6zmRd7I6^H~l#F;P6ert+ziBnqIQP%YrkcYVLes&KN!nhq|dOvYt z&h)-qD*IGPq_(i!Im- z=A~`6F12@a#ttgbohd<^K?T}(Kriu6ZG2U;Z_oG6;;jIQo>A0Li4+F17B^S!U*H)2 zr%dtpah3diEc4|)J~6qE&A#8o1t@zk0|!_OECXkHyk27;X0Lxc;%a?(zMJ1tue7y; zQyNh70^+KLHRD3-1}IVf(3qDzqTY7RKbF?}ieG)Xct%|eJPe=GXmd@?n@PVwbr_Kv z)X0~pSL)fpAr1t&rdn7NF0`&dFwIYmGQ9en0_O!6K%MrdM%I3vWsN9h(d{;XESC3L zdv2OzEEz|pFHP(w)9YsK@?ia%it3TKYqfSs@f_t${p&_{<(;_Ak%QtZBTc0)bZG6I z)LZ@cZk&K6SLta9lk%;GsQcBC>iP8|QzYgx^uR{i=-_y3^W;y_HPvN$UvGSTyv*(S zp~v}ZNc|J*QS~oc=`);sRJQRlV!|F5Yv+rovmItYVcc#=38cAHOVs=5;P)aiCwULi z=mm7*{2(v$jRXM(5=@(Esn3gz)GK))x>Ii+}8^X*=?1VA75-q@~9MCp04R1 zr)#zI^Ep4^;lORR>)cknxEV|CUfy(byY+P0pX08$UpK>%KUGwx^YAtuql+e9y6wjl!f#z!iTqoJ9HJU66jA2Umv-z?Wkn@Nkt&`zC;9pfuD7yaWOs<-Uo^xeWjgGv-j zbG4a0p!dS2wz<#)WxPF>$L*9CH>nH29Zox59i&Z z7>^3aeNPM1o(YFqkC(Hv(>7iF1^oOcb{fI!9r=xl$_k%e9By(B8UT3L7bCxabC#?a zJQbT5i`i|=o`!DW?_JEEte-Nu$h_z!56=^DtY?7{)Lg*=JJdqJ_F#yvQ5u_ z+m`K#V*qWa=uxwon7zTC*;u!qoi6N~<=D=~)B>#L#z)V~-QA|rc=!XRKYuF982`FM z_HxU%&Gj}?>*cPDC&y#VZtQdJH)dMIbJrGWY3yAW26nw1oEW#%&@B%e;K*{nn|Ovw z1GuhecpG=zll@F*-R8E^MjEhcK2Pjy-)=rl$p&70l4-3HtU#l9-H>!%YFTCApm7-4 z*K3z=Rl@I9wBNT8E{=5yJ=myfsH^2Dh%Dg*|7=u0c=hd~d!X^Co-=6|6TZB&eTV6V z+t%>d)HTlvF`|x1m9BfON290?z(w5LtbKb@ZG%1Of!d=S8gnKUIS-^45zodJ%XY~Q zZMxZ^$X1F;lv{F|3?+GsTyGSZPu=1cjT|St#C|NAOSPLVibq@;qyoP=0A*ZCIrM!0 z!IxW2e&X)#a-8LJQ*XhaTo$zbgx%keUkKYA9p3sl-mc?_&E5VPsJ<<{@o+ypIm{-$ z^|?6Mjno(RQEl=%+4^05t9$L>>Tq~I5F>Nzb+j~v+LzYkb+h$5My5|ptnRj?b2&Tr zwAu4uZEE2#JGZaPY%YHLl(UD5O3eFw?fmqVN|?pq<}z1iPSguH^NQ9#o&PP?l@5Ms z;MLZj>YXwCQw7kirLp#{{8v|XHvr?|@~RAua`&yr)!y=4Gdoc_m59gQ z{FK=oU~qkW19V?5N1hs7wHbPxT)i1t7@}(O-ai136HYO)i=EX~PW?DBQO~orsge!l zsuto%C9%^!%kGfA+w<)?s@uKOzDOUR{XDO|tgCfxBpbfkVm9`4oAw%cj?O*Xht%`9f3=jWZdBfC_9w_U^0^`T7eoKi$V7^NuKlPX0J zW47*m{hDc6tROv!NdM|GsJ8W4q0mZwdh_kCck=34}Uv1xjcK4>~|g0wq5Ufjnmp# zlZppW{s}F?Y$gqOL&+Wi$1Rrm>@l%8*MNTCwr^HCo;dOtoiB1wV_UyJ)x#EEqKv%_ zGd9E@8|f<4wN`$H3u=?w?-%Cq41-X7$6Luxw|})7;MrHk8>yUIp5dwAXsN58IAXGB z@rxmJUB3_gt&0_SWZHN&^HH}x#{FsDni+Q?kS1PDHR&5pV~IGQoy$chJvUX$pp~2& zClzcfbNh35t-25B`F-@Emh1C&bqqCvN4A@Y`_t{&^tO7FU)ThDdZl4LPy43~`tqqX z9~l-5Bq>8mZDQUw!OC9-6I+!eVaz7)MJUaPawA@Z=24`xNoK3-gHwQwn-j)oHq$K z7u!}(g;N=Hrv5>uEXtV}AD?cb#~IMme!A#t@<*SokKr<-QfTWU!zI71I(eLwYA#i8 zCaycIT0Y=td|0T6A$7*cXM9tH_)8OFJwRp1`ckaI_WOcEy^r^5s;h%jBb(iv-Yokc z+sGpC2`iiN?#B2pP4Jhb#)>0Du@;B%r<*K?-=vr?jIIwSmM1C|f9sr{i-`dHj?QtB zI`41BuNrJ=NRW4w4U&k0J%7H(gQHv zR_7l>%Pvkecn3MUT<~fuo*bB1{k$F`bJw^!2;yD1S^4NSK|*@+dWy*3`_%HKMz~XB z4XRz=&Q4eIlx0NOTt^Jo5BK%sHXnH){3{M0WEe+HG(~ng2>(NU6v;)^nDXFer_i(M zkW_5xI?hI)ai{f?dTa`(AFeO+1SNp3$d?~H9atPVbGBL{C~C;dJ-D`23J(W2L$2d) z>O3!fAB}U5s1j#UVcj0D#T4vmNuf+B;(nUb*N=c z>d{9#-OJiU4*rtUGU1FK?@5Ot`_5;qOF;Nm5=Gbw9<^jPTNJ(IhUQUPxo;rqvHmrfZaCdgd}g$^G54uO z5RuvXX1;#AANERhb(2fA6K|%WWF5u5H_>4a?D-ZO;k<552*TDrdC!3Ps+rCiGwGI; zG_jEq6>~gu%RP`QT-*%rgx)_{^5e!i;a7O$mXh~sO*21nDs&xHv%V2+zCaQ`W`gcAHJ!u0gsOQu8BqZ6n=P5dLC;&LG&Hc`f82p_5I4o z1!$_k)6>Cg$AVv6d1-|fQp59jTTauop!Z^>%Bl0 z3F!A}NlG8^_manaT(@af)w9@8=_=-;LoR};kZa9gl#80~w_UM|p%Q(G?dFFG4GoPJ z`5!z`(DHJtjTua0os%0WzdlM3 zxsR=zgX3YT;vrD_Xzw@*(VxZaY4h+SeUk4A1Kj6IWqYZZ!5UKoiu-G11-twwBl;_W z=Nkq^bR!xTPe`Bq{g=X`GRxvvtoR`kL|;3l?E05@=C|XhP402!(hI-z(wlPKF+qor>8KLspD5WO?@4Yn$#O=ynH0f0oH*Wp zbD5qtdZ@(C%cx*2l=ne|T=9bIIAMp0WP&vbj-`?b>Y#F>e4EQ?AEg_lZmwYMKPQ zdGx_E9ysHR^U08;us^ zcvbnH7&UdZ)?34bB0@TG`+83BUJ64Lm-6!mq&)=4rYgNj=}|2`DuyV#z{LuaF_#l{ zLS4UHr z8nnVg4oD&gKevie6ajWq2|iG1!SD!!z)XnAB94qzdjMb0hiu``27gq0*}6z6mLHIt zIHS;FE>CBrw5cX7+PgCo1Mfbs%rA$O5ZQlTG1j8&+WVnH{o?Rpdq|1WJTp{>;2pCW zSfO$hQqts~ol>Uy9QC?I#m7d~2TR5xVsa%jWnPs?$qT*aLSbTq=WAYMJXOt&!o&p+ z!hr9_LZ74Ggaj5ERQG>qMgaQ!0YMpZ^`O z7NpG^7#7P2D8SSCi1r%d@){Ckg{KpW_5$JpynqC;;_19c6N0!1L4r1Mb%N1YATBJB zpaNW-Kr}Ll3mI69tK*M`4sk&TYjJga(JpwLE_fu@aEKWsyiJw7P3@20gyFpQRDvF) zxL@vCggJP*>H>j;$!QSUKfRKb;^EH-fYR==zCImuEXW064Pj zPuDN^>ZTu`a`2%0Bh}4SV!gU-XWXmqu6vZ`@<_T)O?p!n+=Tvog*l%8$BK%s$wMqhv z;MY@MZ+wyUOWK!`az;z~6mTbgMwRsS<1KBL^Z9sr2ZvD>j56#sXzN-FOyB)DPRebTWX*b_$lDy=C%VRZ2OEnL5 zEJh&NP3ZZT0PM@28#Wz=Q&1>wM(s^e0SMg+!x#lHJx48{Fkjf4DA;f;o-tnoS-`3E*llOUGBWzT5kM_<)rWJpA6Xw!URuqvD(@6V7aD8%$A>zI6mh~-h(h5ms}!^m57 zYQ<%RlO56C2iK$mIHBW{=aMz98`P$~q*EZm#92}>eI389ZuX&Tv1+KK`ioCv_~kLt zTuJrYYfH9I*LbI!>`exC?H41jvi53f!MD2U`F(4myt%I+BCowkw)^&2&@bmbgjWx) zst;CDO}2MUZi(sb01fCgK(S1Dq#GUxa8}3ty2;yJPC{J(^ow;?=emm+*dphkI$Mfz z(;MJtf}E-!&lS#2k2fc_#q^M#?-)nNgqn^VVq9+JH?4&oWpQSO>8A$v!c9}4aqXN) z!1>J?q7leo*ycrAD}48y3IDjye5NUrA@JIM2*=nciL&woW=HnCF{=_zF>>-4 z5oCs8f<~Qo)R17QIt?t~qx>IvDl`h2!xZfyT|q0Beo>9z$t!|~IkmR4OPanaV3@KZ z$dg-ZyRtO;6&9wh2s(k`j(SE6(^)hbLg#?6*$HF}nl?>F*_F$3J|t{*1BU5a4@?Bo z^Nm%?Q%Hvu_yfvvzy0ybkCucwuBhqs2yI0sUCs}3!pV|Smn_Xk)_k%BI<0Y(o{9!=AbuYTLQNF13s_ML?0KhFU zZa4lf&P4#?qPYLyZHh3Mv*jQ9dqNN{CbTw660Kxv$?`%Mg%E)mQxX_~9kz7YHM`&c zE&oUif}@ZAQOgbh)ppTZ|4~~b2?zkLMd|**jS^wF{=c{s8AwlK{s*Qjfx#93!WrZs ze8c_^y!aCaqql+kW{6ZM5`oGmqNT;BgtUrVBb~@RJ%34c+O6~r`OD+SLXk<|!05Cc zjDUYbx2gXP-1~3jhVu5mMDqVeZruNkPM`lba?^cFK6JEBktze#IAA_`T=c6$-TQTh zcYd_Y_r3^ea3KKjvhZsC&K_r)r2X5XU`ch$$@NqCw+n*5y!B=nFSIv%g~grVQsJ%f z{pE9t6=pFb`+LEY^+Q^%WmW zcza5VgD5&6fH@x36W3e|{*;D>Jyik=_~^@*?TW#ly@iDc{O~qk3asDO=gC$IL)kSo zi&BeRhLTToZdS;Kj+i-i1meXputk9B@<~|bzlFcrd==l9MDs2`CFth`_qEsLmuUWf z0_TM<_9Ug5i9Ak&!UX+i1gS!gDNwAQ?+23@X&K-$sS0A&fS<5u#KNZkr}{4$r~eFQ0ld!l4&rxVr2m^vz(1YN=od46 ztJpOnv&xx+vVE1GP@(nw-`6!tcZYN%q0&f~fP}P&pfpH#NW(_DTMmtcgtT-VLP|`+n}de;8}bIma5S=036KJ|92|4>*v*^qztfMnMdd0lA}4$@Q

    YS$m~rS|fr1dUXTFyk{RkG)^^zOSdAMz!+s zULj*|wxPDbzcrcQK$scYBjGJZrP)L=|EQaaW(@{o7PK%jc-qd<-G_Sa8Ct_a1Mfq3 z+E7bip_uofO{g^4uux1ev`zmcmp24xX+_P2d7Q)FkvF5#_`p2cQDNjmXVhNhU>(1$ zH+3;Ow%xNF&%Ia^-`DlkuH{U;O~{ekZNahdZ&!bgx1|j2J>dO{O2Y#NYxAI5Nw#Za z7Su8>Utk$RU%av#L_>5};Qlg*H&)bfbaKQIQ_E<4fkg*>0Z7{o9wUIZ>_NPtqK?Cp z4)&1RR@C<}*35g>6jYivuw-9b8^sD?1N>m$QavM6w^R@En_sgQ(l;|nDZsilf{ls-Rvyo1;O`x>6=EaUJu^}cD~2~j0B{EB&ed!lu@mLPz14=}-icQByC>E7ch2r$Bc0N@U>-WYAgT?8xj zWhS4eFw{J}<|2=J(UCLD-H$&LcSDLP2j0E}+5!{rY!Bl66yi`K$`x2;traeepRgc9 zD6vTmAupmP6OX#Jv@qCN$vMBV2U1qBZA4eJ66tV*h4{Lg0!G>+G{#x1b&Te%XpIRD z9W}Y)U4Wmueb1mTzDwa!h>biD5tzRimjTbP^|Awh#_y*5voNeGeG3a@C%<1|+co;w7Lxz-Mk^V}Y#!gK<>e70L!L9`M%=Vdr zk8K@W+YeUCztQ81Z(BH9ZXs_M@%;1RNE|%F?8`dC(YSfiaQU`f6AIA(J?Os?SrI~X zB~uME*W8IDv0$8uxZ{qN@j$Fng8ZsGQv^zP|C}wSjX7cZUjgJK)+tf)cX3 zB|8!JY}~89sR0W6E-RDcRx6!jo2GZ^Y?7#$cx|il=Mp5VlY=mP8&VDXrzEGlZ>Gb1 z(nx>{V{xQDcrIaJn)CJJAlP?c#3Tzp_O|f>DVD7g!`Jx2*jNiLg1c1OPRzfZ3u?r- z)&iH5RVXIu@>2^WeryckEg3SOC!Dy0zayw)D~T%zky=g&PRG)mUM;+)a;y)vThYP6 z4x)A$F}Hx^pSXTsWeSON669U-nCuz41aS)R*kA(Hm*4TA|lH0&m+r*HR+XG+-ogx8c#DTqOHCtqy)2#x3opS*j%d@ z_D2xrMdejt!}2f(0REDt2JlM>eDCxdildwj{`J_Y9`C>7Q9+ugY@kR1(e67k>x7>%&>Z_Ao!2s|pK`3*N#To~S5)h8y`V^)=uC|2u|?{(`BPcdzZ4;b>{h z^pYz-?-@gV=O&wLu%tn7m^hKn9{cA8-JK}ERvco0rG8QOxl*HKN_g3c-Cl4u<~;IP z)#KcTv&}0MfTeL!R~R%lgqP)k#&4MOCZnLS>1^{9G(s13xj^G|c-d>vxP&?1IRb#z z=CjQM(Au)7%M4mC!pl&~_Gi)=p8D*u$L8tMU3Y5Q@t;XuBkyjSPZN*mdsV*&pVjMD zaq*b3<=t`hB@6kH)-f@G{@0UfU0m?(Od6H*Y1GTJ0lmZyMWrheqr0Q zE>(@JSu`<#5z6+~YT$Vu&JmbsZS5Z;1XXTuN|8)!B3w&vGWd@>N4H^YlYP+3ItlT{ zbacvica3WOds372lKLwR=iCad{h%)vHvXLIX{xdBy2t{jx~inqD-?h!!iH3$eX_hthfmyXKI3(XKD;hjY!C|xjE@<+u_KUYOs0Y8;9^de$bt; zU;%tdT&D9rzancXm~sp;AuH*d{Wt)A;3CD?zlfYsW5H_D#bIAVNpFF|aZshCV8P>) zT)B!D%Hx)mZ}av=`o2OsTd-V4Tezsr=*x=;{T*vTX#{M&J&TmJQnw)RgBto!6pjm6 zAg|99ERfgj7cB7ezCtD#nAZk`i-VCuk@`2*0+Yv?L5Obf1)x2DU*QvI&uk(O|CXQHK#hLffjaclBsLoU+C@({vYvaT! z`;&yEU~M-oUV#2z!fS9+*gA-XJz}oE-%3 z)>dHg=0(QFru9SwXnCJVVAjAl6A$yUNsKdX;GP)`1pjZ6NMPE)K12B7EsC4&10xAd z6VEV}KDd(Jm)VLF?>tkKt8_H8quMRX!Vv zo$#D)``1I(VVP$ zH7~1>KumZ}BAO)!^9f~1bm9|iLcgDV@}jaEIiD5U13n!&N)8U6 zo1>3%X011o5=QmPDkKM?KU-au&M4gvd^41m9)OwhTmh-#)0>20O07i_-te5{1ok)T z?;stRDabzHtr~hSAjRM+o8vs$129uG4qrCBQvw&m$>4+jP;m-m*kNVLKsxy368S|LA61I4S z-5`l0vdK>(e+BvzkYBPiw?743;}vSK>+i%L-@w*!ahZe{v;Hf$6}V+$y+FZqnp&nx zTy>1u(fUJAR$lZKv!XzxPxdiW0(BgcpFaOh0I~+a$eQlR0B4#zwj>yvg`dihcLf3u zjBMEZj?CbWtl^FfqyLWV^p5O9@>6hctc!v38S|L)PVrnfhXttE6s9=)^6WX-N$XuF zU?0VxVz3Wq_Wf_*_$QyN{3QcU_Z3nDb^gWX@DJOF>?P71$-kNH|AYDAkAE=(FaE9g zn)TUf=RYFz!A%?Q9kr=KIG#!HN?-X%FhyK}7myk9672cSa`Qy(itO3`DiV;Hb6P3A zYlZ}c#Ct|f4-!;o;*aSmZwbB?S0Fh+f6KvqO!+zU;R|sF7HHa#le16a`c*{sp^>7% zBXNaSJ~FEAg0ZqzP41Y7osph@THjp^>~kE`yd_xfG&z1+Q&oHe$Nt2M)}AIeFet>9 zC6eGN)+g>UzJ5yGEV@UCITF|aV!;*os1jKX*yV#or5)~(uuXgaFcIu>5jM){+p9Fn zc?$pL&Z-1jc|a?gAg{EE0a2bI+(!s_ZFxrtbM74honOpw^3dbJu3NS3-_wV%mt>oYCIz@(X>_08v0{7XR9%f4Z9FSbvSHlZ3O_Y$@5_3AxEQZ(>74;fF zu#2V^brC_~u@~8_P$T@r9-x}j9o}t#5-uys_Yz@@kZx?9Yl$lfen~AlG79ea6&mo= zK_?+~e0=kwWcTEvx;%Y1yAf5ylzyfSEetz4DnH4>FG-Ncwt{uB&tU7JSGUX(E#I0* zLnl+*Zn{N*7qp?kE@=%nsWG?y`#kvo^WODPw!u777Tp(SYu8%JPu{b916z|rc%khV zOV&=N7fy!G+-DTc3fm^n@}~#C+r9_vAM`KsR6KW89D+t+m->q$n9`<98kKWlP<$ne zpVC93&nd0wG%!x!f5*er0!5SV(l-uo>k<*dn3ABO4n$k)N0kd@L!me%WqpU}9YaKC zAg1`jnrkvi_5~fqy3}Vh_`|lBMz9DySOn*Dv?SbQ0&Oh5H8u6`C>P}bt7NO!Vgjtk z=yXZGAygH7gthkkjtBmcx-=LYzK{@lEWhE_G!ks*Z}2ZfI7uQ<*NWX*ODUdvvEaAq zaMCAL(jznm5$$JnAUU-q8T<%C-|~3b5llebkCfv__V`hGkpe!=3ms0v2u#!By4KRC zU|=l#>G#Tx2f-@j`)D}@YlpMkefYDq4`}{? zftRm1E89|h7wTdq_%$o6ud2V^6d{~wU8rY-qx51HUT|VgwBKk+X%sO{40Z^HNawslRJK}3+PL&+c zO9&4ON2{VuBoccqVN!yV*WfwIAuo55Iz3`rt1mH0|7aZ;fQi(O@cI=P;3xR-5grzX z7FQd6B>IDdBneJNgXc&LbP>J2J;U-io>a(>T5_50Bi?{>&9JS>5uAdb;0MPP*A3tC$%+4QUL27BT2$=6Tn z+h*@-g#@@Mn#r}DyOHMpOfCvdGTC=2D4|41#@3HyLrLYUb+ooG{3uHsr~MS>J=;FTrAGUrJj$=Edo0b$?mEX%<3`n>4CBXe| zeP#)pZg}{68~&ZmLe6(~ZFE(23L*#L+$W9mDyZpIwpck#F(fx-d( zW?MLP;W9F{O{@)?->#n}VmcnZf#d$N3cmhA@*i}37A1-)Aye4|z5+8?f5ZMg)(rkT zOCo>gA_3B`L`-g!TDW&dy{Sg_=#ut*$YdY1;kYgDLgDLH{UTb};K)i2z!!pkdozyD zWELI(z7a3o)wS4DQ;(-+Oe~yB%k8Rox!gX#;b~M6yynCC4u9~GoO3Nb=JNvSsJ*;G zSxxyAa{Ns33xRQYy^BZ_><2AJmch}Ux7L-9zD8 zPqkD>i>SnQ)Dvu8KiAT3Q);OwZW~F}+uj2la(>=n7RoS;J{`mymS^rDd=(hvm;r+r ze%(R!chI*?7-YN#gMjLfPtW#sJgVEfBTH-F5F6TL&VOFe`nlNcwlR)F!;iCIsu55< z;{nzxem2m3{ADlEP0cMJO_vWcTwedgAhiC_E9ZcA~HgU7V9bQb$ z9POi${74$9$_ds#+eLyk#!c>O2_oFLDq{pCZdvhMEH?1 zN-MppJc45MPRku&(ifbR6q2IC087c(6k#3O>)wjB=a!E?q^PE?MbXN&I2OA*q`XLC zBKlnKk8u~9kVlY<-f6xg;C~mIQy5?_iJu~TbRTN|2tGyCYb{DfuH|d-uVBVph_<#S|w}X@za?4PY;|EonP{#iV6Pdt2cNTU(h^?p4eY&P-B*tX$@T#Z&zaoe_6;a zSHJx6DQTL(=+G$bBwB5ip^hZIy8Ll^;qZ;(ncFKxs8XbZ2$4(0Z{DAS*PKa~Ddfh0 z19y*YKYGe?7iZGjWO8E%?m}y@*#+2?d^ zz)|=0&o977@bQ~`yfvl+tmLLzz~FYBEpYXGFnXDgkJjJ2$w3@3fW8D0@n z>Sccs6z>9Di;ucAxHi)5#-`|SD_rY-aJd>TCZbjN*wM+Wh%w!t!qI^k@N1p(yP!mB z32J|KX^FQcnumA&ys3e6$7%?HxA+Lfg_{lu>h6z2{cb#jj3-yLMTK-1a~l3Z2W4Ii z#R3Ao1YN=g7Y)G&C=Slm!`Vo8nk}}{G}&N}uzOA*R^bz8Dz%9bMqbwoGkuCIIK!g6 z@~`cC227jm*7)*eFY(x);m%uvpB6*a5n)8F=x}bS)VaDR?6~F(kHir3;TG9^VqB(# zrSGECkbG-VCBb0!4|l=jaPx1`z+fI^Q0U;6m~_lw>kI+i_E*H`ETeTIU?GfGIuz)OXghN zogF#@ibvFlX7G!VIWaEv!qL7q1#Vbrh`zOHlGCd@ZxL#;mbmOu;O2`lEFg``=#xP~ z-CNDCW4c>sbm+DnT@~PGRDpd`feTc-n82#OISXWKsZQg?Nt&kW2P5n)bK#3dHs{Ww(oeYeYhn< zl-=0qiN3mh+i64d$n9YIxK;1Zsb5zs9W5oKGoR&wEOV`B8%Rv60l= zk{h{d>hU8L0W;}l#N<4o-rQJrh(WcPl-p&tk^$zH7K9L^VB5%q ziT53UF4TWjV_C3?Eo}d`CD^!Rp}|6?mE9qjov)K z#vl9g3-}Xp+cxgC?1NvJZnR84X*|_jP15ir+rb;11bhZZUvocf(EO1O)z_swuU$w6 zPE{hLt{bFyzCQ?JuRs%rraTc*s%+XX+-uU&tK}`iJxQ-7rmkE+F0^mbzBZeR7zetY_56Zi)TVUXUYCMkos-|!T1S_w67JOA7Xqb>Z zt&;i9v;l`l{m`&X!veFLg{L}Gtc}x+$}DeZ*(bXH=(Q5J`em@nV;bqRzJ-p2PM_M5O3og ztfi@0J-<}q>F3`HzTQz#VH`L@{S_}8WLM17xn5P%pl8#2_@!iG^?YD_d(|=C5P!7z zGxOsgU*i?;tJY}r!5Qq!94Cf?MY7)O7+OlhJI9Vr_1r*`B31FZ|8>nl--Y#co7c^; zk;Z(frsm_yAegqThA9r#S0UwNLpxZBJlfBmmg$la~z z9C3ZNGV8W-L0dQO$ISXOeqlq4Bt5@5Qc;@aD9*BHS|RBu{pHh}DZfvFG-V&k6F?cz z6!W<_GdVA(nhc-1z5e5H0B8r7L(ky%muP69SC^H+gBc>1zZO>8f8+K7Vm>F?Ppgl= z{^rO`Af7vD?B>v~a-HWD{QCK~U;ZiJH8xGMTcXy=aeJ*k)O_9-9xQGHwe)r|>3iEZ z(N|maCvp9C$^dfTpYT==De)HfLtyR4s~dmo1>Mpg5Z08H#j<$?dXXN%*bS(2rW?mp zcngDGlMUacrOUywLlv;Zpu{=4Dee@g&1bvF*+$8fR3T4$i4>pKG2jow6%yV6b8#Djy1DXAIVl#kU6V8*Dmo^3Vs z6IA3hj+Zz=H+``CW*5qsVX(BUG~&6z*>D zW&FmofU(ZmW}R-ZR_R!J5i`N}FBfmWWMi6xAGo;g`-J^VbvgxNE7jPb;C3V)KJ<5) z1`m8eG2!iil-@U_N*0w@GzZftAi1F*St`;2_=7sZP0Yc;O#$e6Pn>^4dT$Ip1Qj`q z)g^8=Os>MvlpToxkH=J|kmjk?cP}#v*y*NFa1Q$neaKP~zYcORPd?0zZ`mOjQF`BA z4B03qxT&T(?3`^fs)Fl(6siF@p#a#XanqZIkH~dRX+m9-a{fbAsKV4^RFt}H~_qe6Z zi#Gj)h7*8><0{Deip z8_S&D)==6%M<2XTDpX90XxuO0#=rR44ot2a9tbE>Yjb=Ta+itF$0zZ=(pZbyjjLZ4 z-kKfO<~h-IwDLi1dn3YqVaxe+~LVn;gKYUGur(V^Q$tA z|A}WFB$XG37WiMLNi!NCIfd)w2xOIM`VUdRj~oifMpMNuqAVWHr-qKVA2}q6)#$~% zzaXtz;PKuXbuXrIy;x@x{4cB1g+M~u7bP0#!~Fl$;HXT4+4>Ma187m~VFfPMAOCmM z`-@gFq3gbcw1fQUj5zhB5;6)=Me5)laIW7lNAEwT7YL41U!NWJJY(Je2`;Z`bo&;# zylIu)%-+4MRu^tDiZr;S-7GYabY@!IxYQ%~8?E`FD?>@L6xAeI7R;k@mc?l9dTU(( zF*aETPO}47qxRb8H1EMr_}(~mh23V-4-~ND3Z=}0RKTZudz|Oi_HF$@8m)DbS^V!a zip8WaO4u)EQ!tebo?^Sm*8e|NmH{|q$H3_h^{)PMX?rgd|WLP z_W#4lGtPWrFTQgGU)+d12irry-%aFnah8i|Eq-tWU-aeP@~_?1Dt0-Ue=rp->zB$M2Vka$9zAm6z?RLp|qt+;{s2xVgSf z7W{oXTU-huc~%KNAEKBaW!P*S%}w{I1<`fECrd=1A1B>vww4!GfRZ{k`&O z*nqQ~i-}h^ZSr`{oZq|5#a&XyNSCd?FOj*-hawhceX{choY2ayI z^0VYg39%#FGRjNTFblCmB2hMF`Q(Jh)5}O^5x~26$?S*d@^UTGbUkv(ER6UXf9NH@q)bOdc4j?QVsI!J7|^18OUO+S zJpCl1Ba3g4&Y?ib7KC`nAE26(U)tu9mS27k6ay*{CT1KZ5tJXjp=(=%q{aBEE4`L0 z_vK(_T$D~CB(PWR$3W*3H4UtC;(53i+C`dM8Z<=rA;WwH2`M7FQqnK5SC9@JJq?uk zAs&=z56VPR24%L&OA-(WsL637Fk_&z*td83#v!08BOm;0Hoan6c}!#=O#(QoNECW$ zF%WDq9CiTi0fD0l8Kte&vTxU9ufW&}Lb#LyLyW*!06aLao6nb2Q|&4Qs+it9!HG@& zsLRLB8@ccBUD4Q@QgW1(Cb*xstBdXqLaK&AtQ5gR&b{4qJn07xTV2kNh|*t(ZNZ5Z z{6LkHa|EZZAexbpj*cLZF-JZwCSXM#Ac~842~*AnPVmJ;40)MeX}ZvYwq zpWu{Okb^pGyTJ90i4_<#H3XO39S4LQ+d*i!TtH%U(m&Ryb%%lEq60(6t`8kupzvKF zQmQ^|lAnT;jL-~DGNGZeT&p10W5&s&B;t`D0|~9nB#18{b3)+!7?8&0ropLy@bU$>tc={yD_R;xx(|ebj12bR0FabH{r58u z>IY|DUS?664j$Ro%|Y`2|0e%v^1n7Y@PFCmE>m?wyj|ctM^-qXDH(fFa*vXNQxAg( z$G(D4{@rST3k0r41;uEgs`I1sa>TPwLNv5=J^0}Ki-Gb3|PjxpO3(3O_cP*1G@w?Li+IQ8{p;ZjzTGT^QV1Xp8C5QKWLK}e>32~Nov zS2hg3F^g!2%g6CL6#gu}sUKu4#U*!HW2563*BBtlAwy$ii4@$@d3vDS z^9P{ZxXvZKL@yN(Eol@|t`;(z=0$|S&#WyiZL7?O5t|wxExEMzE(b5UWr{^Q2#p5WAjFHG zz^vjq60h`_ny;<$d!kP>Mp($6YeXCPU;wpY4&Da-i3BmM1;CncC*SwRdZ-IqsnulQ zxA0Af=taL#7lMDu(2X#FUH@0>6E&Hq9r0x|98o11QG4@ueJ3`c4anqN#L zvKXEhSs0GMXLF3WGN>mscMo%nu$#eBUC;- zQt}Q$dku~|4>lEr;8YlGuH;Mx7OFFDZi$s=1-Io~ZXbMukW1pc1~7(lpEl7?c)yd( zl>T64cn$~892?z?4X%}Zt2&L- z(Mij_-NnpIT@L<49g~i7;ft;Ni@&#xv^Xa8r1WYqbD~dNeDxr%C1mKU*7z>L}9ZwBhQDxF6}uX3p9CEc#O` zd#WemexiT+E%RhV&)@t~Uw)`2PfTe0Q~}QFlmUIDYovSSYRbdi+of6&DfhB2l^TGX z5eoX+aI~&xbQHdF2vK6t&yDmNwpr<$m)W_tDL5oY_vWjqeLIV&YSS}8cSuRa9qjtu z-aEPu75sT2Ynhkln_87pDKej^xLwvif?%0c7$w!qR$;~kw5e(wuBopVXoVCXT;;m( zN8I(w38}J$B{yXjsp1?0woHQ+9RcU>NYix2`R5^?2B$*rQgWp7_HfSoqVO(@)jb1v zBkzbd#J1-`anz{jlos-#}=9OvPi2e0&xx&Cc{pVPdT4Pix7rJ;Kda}$sh zdWbJPOxM7WYl}2bMDov?i&8$QUZHI@j!zsALLE zpk09TV>Xv;saLXc|CqP&#ucF!pk6_qW2(Q6c{*SY-{?!nn5^6!$if{Jqntjg>>RT5 z&#=FyQe~$U@<&PtGn(Z!=iE11g;&aiC3_{k0ePq`;xyHp%9yGycM=aNe$;)NR!@fI z#mU0?@@f@%}8o}|L#)bFHLed(d_u705oY5S$L*`^qC?15G>|QLEefTZaXFp-w zjSy(HvWpXz>ROr^mB;$P?de(fAL&+AdY9~_vn=~S7o#wfeBoj1&jHWvCY6iX04ZU7 zno6}g1Yw7RacUQO+I0q(Y@-6%Ul`jRWvAtY@X<>l=>=z3k>7}?L&HV4q+6GTjhG*a zRpx+POFh&s*^k(4)4Jp5x=-5#%#0je* zWcHj4JxH0<3UbXpkOQROFFE51tNr67{faqH>m-YC^=U-~)^3L`c_?dQ`i^vqhJqpE z&%|HpN%PmNwMRUGkIM-o);g)!N5=;k2!giJ z!}nantY7Zvw=K`ttN!Y{JnG1sf5BXK&tYZcYNm(!dJDF#}}>B#4mKJ^276J-=m(*;!5J8-fpgn_0a-a9i}c@kDiS{ za7lw7o-r*#g+$l`r*_|JItP99(hR}oG(2e>B|bh!N=HqKOCRP%*p8|&OJ1U$gyL+7 zM2@ZFRiMV-w^m+~Q_&}ChQbm_Zale#bBI<7 zd?Wo7xWp5WeKw2OI6?PCFyw3l19VBpDRiCtvIb_GA)KD%U}x9!9qHf#So=Qvlt^9K zYR%!lHZazCdK(6lF8x%UWTEfzz3|;j;%&T*)9ZVSYk*wKt|lmU zl!9pF|?ZZk^UKB$+-%|o}XM9|;_??~b7Eqe_ zxp8m;=OuO!i~2I)<37wD{L~8ape`dMDvfOsX4zD-8^?-0^v>f3#tbB-wq%~a2Xm9F zahPd-<1k4K!V&sRWVMMo|B^=NH{#hy!37KOXS|uLJ8WMi@L*`V@tcY`sRg}7_gIwJ zlIhIHA}Zap?RVSC#;PVbO7feG3>#!((fKu(w7>G4%u*=U65P{~7qO2@DR`)z7^IY# zUzV`7H#*eH7s>(mf-P?+#Gz>D2yt^^38TOKVP7_ay7FMJic=U zBIL1iV48BUH0%2v2_9emFTW$d|KoGm1)g3CS1Vo^+4R&w>^)WNqpuXF8?4IP*5`87 zf8|{s5#`UTGM3$QSQ)zlFHxUQMzR7a-s>{%Hejx4RCDL0Z{&Jji95&gj~hcNNJOW+ zZRKtOdU$d{ukYpCbL@=rq2X$huH#7i>ktFSs6kAyMbN916b!=EH@bK2YZro(s1f&G&l( zW+|~T%}!@b3sh-=^c{_WO`Q_jFfdEuj%xqSQm4Q4I)VHj;}~&Znz~fg$5->N(;O~z zs`jG=)0Eh3p+f;dYH6uv>cQ2P<8gyXOML@W$2L*w$HuiF_E^jSsn=dsgQd4qqmG>z z<(zjNd)bd0FDDo8qp7N3yCCB8N9W@*M--EVcVUb-WW_Qd^7_}dn=D&UwRp$Ssq9+ z4Sgpk*rXZP0 zjZ)4aKm12VKo6miYnXC+U2^4Dz~?+QloLgwMtq6Bn2m0+M0Th9f*E1x=i+6#vh93w z%yrZK?;-uXW(v^PwVO|)nOVO%nZR8uGN#$mY^CNPa(yJ{~k<(&aP<=4#tA zmjaf2PI)%h%TE6|x_dj9NwwuYkNO6o3*@+Dkp`@NZfUkO>8L7@MQl8Ig+}#R|+32uX|a> zU!uHvkDTlXrOn}q)895bTlz|{vkQ0;LL?kdKq}@$a(%{PDzPe%S;q7^qqA zbEw8O{wHZf++0ylHK0c(Urx&0qrwde6~T}k#eB>QjX_fqb0TKu4FoFf%rS0EcZY~> z2AZ>2&r+dM>Sz3yz-tD-mMLKt6rj>o734dW39l=+09f75;;jr^*I|W-Yx1bhO@z8~Nk*CY@(HIZpL^{34$R03G%sMnLtE7tGnut2ABcR$?e^AoOpm9J%gOR#=YaEx^HdpCJ}w$9w?IwcN3^Jq(mD^i_p5Y>x?jYx zhZ#RKA?d7$YwcT_bn)aCh+;ZbC*_!gsJ_BsM5g6GiNl8YXy7o(HrAVq&CMkN@*AUI ze@xK9z1)c(z1tQQ`ohYD>!#-docs#i@C|RoaQX*E9DHpPqY#}dohd)1t}eIEKB4JW z;};Ix_q!uwKt^;l=9q$Y!7M62k}9e?a!HkOG#%;X`4*glQKbK1iAHp~WBI%cH}`}J z^}eINZile}Wy2-|NE1k5sKAFc1b+T$U>gDU34ZF9M3Dn}lY-t|>jDz|UELq*xx}J! zY^~#t)^OvG*7Ds(6y~XL{y+9Igj){@c!|S+B4Hchqf7(=z}XOHgm$(wLWd&pwx8Hg z^~Bc^N}`~ynQRD1aP)P5aDO9&Gt>u8f*v>tM_)lfkkw^@O_O}iny3|Im}VBlSWvv7 z8VK>4FlEOWjKF&8q^0w=K@hEovaZM zMX*N{TK<~%zT6ONbsR==a5eaZeMP&=Q;L7cq+W@h6ZX1Ml~QUiltCCZaGt<#K-4sF zSP_IoAzt9WQt8bZ##&0R&2p4(GOo}~ns81dOI%m|f1@2$fP;$$*T(w^4eFXPIDQ&% z6I^J4!-K`Yyr#%4duqK7EL>Tz485 zP_fh`Z75>(g`q-;peqdXBM5*mrzl-NWphSdsK^sFHcdUh%o31^Ax7@K-C6z;e>0~B z{!FZEH*f^rgGe>bD(vI>ie&w4PVG7aM4eqq7q+*5`9;qo*Cp=lp188LEl@4K{nj$G zP>lq*`dXpi+5)j8S99>TY-f##vv1E_FB_}(c6|hDAAI_ms9ACz-Zk3gG-vFGX1}jy zc; zxGK7CfO5QZVR9m18YzT8jSI^lNEHQwN8F_g-ec8Xw)0*-r_I|LIy%?Ur|EUe_PPwF z7nw|e%1xTHP0EbEc(aYI?e5QM{>$6hC7xTpKTjI#uZYlh;oy;Ojt79%?!!!u8I9i5 z!|U6OG_Paz#M@s-H0{Fr-cEKu_|G^!bD8zA%Y1~cy9Ijb_D%<&_%f!u7RO0({y9IG zO%IH2>r?-zK=@r-GSaTkHtiN_^#RxO8^`^^9`UO_+=bigl|M6$sYTJdD$N&txMjCD z<42jcD(ZZxdHvogTQY!onnlWO2enVi!4&W6&gi>^AY!u=<&R40T&a2A+*6v3`$t41 z#jY4XR?0t0XWk$)j>}+8&9&r{|9Hr_O&ZTT;f^aVe{3CR`CI;=<$>LMRo(ciRHcz0 z?s1R07zaKcwyTU(PBDr)WiVd8{=pLe>QQR$u4(*H`XS@gsh@N*kn7%jyBD7@5a_yA z+Qj-zJAJ1npf>oxR56}!F8yC#rncaH~iRWRo^n$=^jG=I_LdzR&c^+Znaq6gyYc4ba~s!Ui_kOOhLp zlIr?4nsmXRsXkJVWh$b=On#i4c~Ek#-h6z{y70rNx3*Qq{iI%iX9hRV)RMzz2jk|H z&~v}?uuAVrM0vAwFjZYBob=Kzdhb#Xr_kI(7C8T!Ny&WvwU$wGRtu-l%+Y6~P7{0O zvNPSQMe+M-IK#P*V2=?A)n#{l*@BKfP$zQhc5vKyh+7L; zpuAL_{HiE$8O~sKdeU9`{McCRLXaxq!bdqh!NUsJ8qpA6%gG$gTBy!c&$rbCk&b$R z=5Tm$V~BcYuu$EpxLVwUcel&y$Vtd~^u%d%Ou=e9njN8mi{?5FXQ1{vO{jYQQrg)}*ph{yXOFTMtiZ9dDG#j8Q0O2Q}Vet1m*d+jjZL&a=sEUV&r}5Hd zeQ?>d27KsWvU%BBNHRY1>a1ep!+R7O52A>x<;ib4*f&8~l4J_#Y$qL57fzi+fkb|PZe_7dEV4QQnQAGZl(Yd)%3kdT^nY44DGE{( zOOZIDl_t<|C43HkpxuCTU2n85iPyIJhau=I(XdhGc$T;(mabg(6GcLkCrVY5SI&1a z*({jq&$;*q2C%RRpHE2=n;3p&S(B+rPB;9*qWvB8CaHL*mh`BXU~`tv7hM0r5y zK_F9aJ1@QhK_F|kS60XY=kpE%X+sYd{q-ynMcj%N)g5=+ou>IoN7Uf@CCgWmQk zJSB|4QS(C< zzMI(C;LYsMULOZ}94>EuW9l9c#07Xt*-fkJ8qijdJ`i}SL(j|k?Op^y-3=9K@eJ)U z_%X$FT!bTB2+6k=4t}-W81v9?>7#OI(XOZe)U%76PZ4-1Wo1N4f#LVlLh0z|?~+jU zsp%9_rni`WKVZNb2=u@JElj^I7_bTg^)TQyre8A%06$kiq!2_{UZKb4KONSNu4Pg- zv9vNul4C;~1_9xQ8YX3h_a&i*femS@Q(#O~d9CJcS))xJ)Z6+ZLnXpSd_vLR`clR` z;i00IStb9j@Z)@kno4fYA%aJS=^y9Ec8k-3rhoLdYALV;ynlW1^;QAW{`T^B1Hb4x zu;6X`;&ul+>BcMq>InTX@44y`&!n@fBw6p%HA!NocrdOBl_xYhWyXS}MKTi0Z_sp0`P z0Z4*9nHmt*%F~tc)cB>UbdqKBJmV|iD4pvh|Cq^rSLKhAhiPg6pApD0407mB%;(CF zR`a88*Jp5@q?5&a74bvmN4?FUN_)+fJYCd0#;-p4Xknn~Ji|MQC(HRp)Zr|i%!M(5 z7XUTxD&WNCniDpS_@;qmn3=@&Nb$MiX((BaJ=L>GLemJ0QT$O+!!8ybc>wPO0Amw6 zoNpmuEI|NN4~%8wn)_oC@ogN*P+!yTRZ>#Y&L3{RUygp|!9}&Kib{&7_GGE{qHS@6 zhL%PR`bGTc^JVX4CR4{#iSi!ic~(Zq*CiUrhjKpTnhQ2j{Pqz^K`vk;WFzCTF+nMV z7B@Xij3!yUJ#iZWfNw}{)Bx#C3a~;;2|(kq$f8~JRyPX}eF>GHzxXvoU3fDv%-AFX zon#nqSyuhTv=z+F=TUZHB%Z*9fr}9URq0~ceXqaeeX&%1y3yygJ4zA^{eLL?>bNYv ztzS|)q`N^%K)SoTL_)f|J04O(y1P>v1f;v91?g_2yWtM%@4V-}_uTqtK5Orld+nKL z_WnL=W)w^Vz2(a_miKvAcBWGOE1C5DAg@@x%@^v<`Q#3@`1cp;i;55y>x)h?K~x92 zzpT!u%gVVX#cW18KcrNBC}HW>s;u9ZEzq_^W;lSokL7l3sk5*gRh_QeUd@fHf_V`r z0t6^u1a@KXBLD%m7lF~bZO#xb{|4KU)9IpeE)|-Y)BpsoF8(?jl= z-m38>&m);7Z>yTwCCmP;qqV6C=W(WrX9~H*)`ns0H6)P#Zmby&M@P+s`;!$G!(yk6&(E3zw2grZW{;dyuhtSxJ9OMc}fSbHX48E#f zW_1J2B0@?9sp``jk&1XZNs9d-eIJdSVuj68e@h(`mM{8+gpzrV&BW?_f(%pYv#OA$ zQH}fX>~@~GbSxfCz|?>dN=o&JL{vNlpYp4{m_apW!?Y+e8mX{+L}iLNLgPMmVmakl zRw>+kDJq_!aBC3%mwbwnSZ2utS(jwo1urKlsUMWa(F`e8Z2Q&$uBjtT(N2J{43+0- zxHW%MKE)m&n7o}fIvE=Jt=G;6XSyC{*=vr@$zj<2Vs zzaQK6(rN`-25IFo@Wk3^AiAMHHfVsLAH3iGF_C`)nBZvqYvQ-k>AxvGCr14VO8Ewe zaK;-E^vBnWVEeTp4o45WRuTq(6U7rW$-f!2g~07Ysw)5LEVY*>v#tyG*P=8K#cqDBKhAC&j5?ZxPL7syck^iYp~=cH@t#qTZ7W6DMz(^>z4MW;|y_nQ= zKWkLoJQF#z)Ex>!b-(E}NsbUI9|u7n5^|N*FcM%< zStNkO!-g|y!w8uI6c-TPY90wgiu5WL{*({a+)8Tzm6#CinPl_#G@;t_!kRNh$A=sX zNgAfT6(c|q#zUldyY7klW7g#J>;8afzE8is1GBglvLPfH;FmAq1qdxz39Y-K{?H?# zL0buk?qk=AJpg;}f6ux6@kV&_B8|fbLbj95Qg=N}@GEW>1PT zL56`lc-JEU%LQBzN&CjY1H1I5$S6tc#>iL=gT}~C;2@I0Sd#b5T(IW!=Sq|mN@&0Z z4?`MZ%%2)aA?1%TxCwY1mWYXll8PouAqZss*IWaG?_>Tu*+veiH4Ve3Bt|HH6k`7I z1Aml4qXQ*@-=r5M_dV1|VA-r{z}!e$pAAvXlqC zg_(*so7}9Y8@agCpihN68{EwVpmIXr8>Iz~b|HqP4t6o4nGp<&6eqttu+qu1TS>Ew#Mz~kZOB*U8XDKuhKKS=Vqw(F3K54bPNgQ)X}`gla@)6@cT0S|t`B9;imd$Pf1#9PhDEG!28Dx5l7xU>a33wTGog%ddKRFNFt7&b~Si3+&jJ4K-!d9$Gb;1gQ1 zg8L(;m6^3ERYPe@ePh*PLM9Nt2Jzu{$>U4!s&A-8p>NpX!3z|(Bb-O?NZ^^V!7#|N zF)V_P*28)w-Z5`_3`Fx;mdTaFC`Au~WrJ`AS68NXVmg6OBfI*;io&gO(4bNRD!31& z6THk{f9}30Wd~V;pTbgHLae_Qd>7r>9@n$s4pzBc1%BqG`z%@Hg4V7Oo)3`ksz zUqMG-QhFsw89I`Fz#5?mIxt2{0qJCzRb;j66o~O z9d$Ay2w?-%rEU(5u!Vo9zd!(1-yPLsSj0M8!q56d68EQklJg)YGMOx`2e+f| zDA}85|HAvVmk-{WJzHEknKyBn!B`xBgZ;)A(?b}QKSPg_dG#X+hB``kC~wa$ScB-= zX^I}CP+{s`zpym^3+iL}h$i8+=tLQECan!k&+1Qbc>$rJJadjTK$KvVUZI7a!Oh*5 z08fhuf2W%<%G1R-5oWA%cL>smef*4?)-UC!{mQ1H8qCVNh%TYvj7VwTUPzKg=9TZ& zdceS0_M1j%VHCT}SDHlLU*^44;x!1pWTrsVQ9A{7+>99?OjYW&y<3_rmoq`%JSJOO zpKd3EhaO$)h}#<<@|DUPvp~$B{fR-jjPTLF%F4sK5c@aiV>PgX^CYz@=-srzFk71# z?s^=r%a(iJ82`+2oc4MV2`Y5A>vzmwy%(+O~K2<)eLK0S5Bc z_LbiG)wCODKop#OGy}~DIlh5Fk}xwZwpQb2`usWy9hworj;m+B28y>eyq;m%y}f%b zv;32eoeA8xcht3rZWI{Sel0AZ8`5=o3w^&NKN~tVnfs~d7K1Yi94PIE$KZyEvDZW=Q)Gu&|(6r+8Xtr zfmWO<-Op8&^h|<3b1U#)bp{W-_|-Iff>HL_HHakY1L;dHvw+NrYiw)d4xD}m1JXAC zJ8gzRu0q)z2oM)H5CdCv&Pw0tmyLZPfGj3aeho70R;glG-UG$(lzm=mPOTKNb9qTx z^YEp$J8jG4a~CD(@eL4pzaT>Xp8V!L^x5b$0`GGX;B|>%{a1mvBRkZFy zI=9>jp45PySy^jfe5sjlW>DO42ES_pOBe(Fbe<^cvjCEm(Y-H>!sx51qlFzbw5|l$_y8|5W3 zB`pF0mBE>RsP#IZ6kH=0po%^MeGcsAk{xhn_L2*+iUdDQMilWT7;IfSP>zB~D8}7o zgds8-s40g3CjykwKmqVR3wNahya9I^GKh?886nB+^Ta?XZd)bEziU8sI3v%(V@!iHMoH>- z`Vj*S>)!~_OBWg%&X^df{tFLdjPf=3X-m+BFe=(^3!pHng@LyH-v~5-1<1d!Hd`oP z`;Fcg{BH;Nzm6~6RZFV2f%{2Kip3Vdd852t&oQpht`hTWlByc&-48tBJXzAO&@$ns z_k;+k;NYh8bEBF58xb2VNJs?_Hwmyv2&qD0$MwZ69;T;wnKP*J1+EGU;r1BhMp!xn z!IAeIqF19CVBwJd8vz4{yyqW14tn7s?m2{D3sNmxXhHt)s*D(Y;|6G{NV$~Z{ApUKb|{rlh3#TBEAVUIenXD z^+9i;+3js-Q6R(X#vPR-7l}PmGkX556UySshD^q)uPa#!f^udg@v*^hgqm!4Ig3ZC zd{7bM)Hgq-XSA*f-ySBB#>WmJp_JoADB2`-5c!LfV|WdfnfdGpQ&XbkbA7d76>ikl z^@IiZCy(E9X)+OV4j2qT5P`Id4C1^{5n{z;t|am4!4RqHkU0l8DT*us?RG>n%f?#9UI(lsDuWUDTG*aW?TmZ`L@ zgcq|kuB58|2@d@d;>0h5XhWBt60trWBzzHCJbgVAoIL>>Wcvy2QV}^&x=Q)zM)F8x zTs^QW>-MeYKf0zNzjZUWq}0A^|51#oeNilMYybxyups#p_>wiqS0LiU)St*9axP5V zZwv#)=(b)gD<0iAt&5DCd3D9{4hlCyW}%hE&3IhnO>tl2fth9=-^S~uv`jbu%${!i z+2N-Er#5KWimMZ0>K6OnVHG0Klb=$ZqVkf;jV*Ik3Tu$?CSEVXtH?F0lfiX0E*%7M zLA7%XzO6>WfFGcQ?y;rV+0bDA=LE1##u@n(HCag^?E zB3t`{F<`AvfYYbiKKt}@IAo-*6@l3U*@o{1HZBZ7`vB+aO>duHA0s<5i4Ub}`$8rk zdi%vCG5v1;#)l(}_JiIA(_x?1q>nDhbReJ3tAmuAg31+hApxTHaUZiW0ngJz+*)1R zvDI*p-Gjk~;2*2AoZ~lQec=6!%*dQRG4>s&pCce60LOw4(!~PqjuS6`4{>NiIyBsi zl$Swrt$Mb+?duE_Um;onUr;!qTKN#0wp$M>r!$N~UqNnIV0-gk_)Up8X#dh0n#dgH z7}|%jafX5Y5RKc8O|$Y?bXSt+Msk;K@U45bdD3`e*@TBTjD*B|=Nk!KsiVcua?OE} zwh_~GUitF_JP$b*m7-C};#4}qJ1tZ_lMS_o!n{t$;xaA$`=uXWw z=p&|nrqgAJKECc(HbJb(prT{DzCibrQ^bjQcJA{7enY(B$&X###1B-dKgCTmk@%3d z%`4W|6hhGDtnygKK4k*0X~RBqXo-E3GS_FKlVY?%HuG_4=+q$7$O1pZUFwPfe&lBh zMCG>Bc72C@enKY-6XW2OSWaX5ht)0K!9~DH?13J&m)=RBJJ&ET=ueN8KDAd3(6f7G zI`>Be=zuy6baz7$VQz>RZK3eQ0lv$|t53At9X)KF$HF*BB%ae4thCC9II-w*?=GM4!xT@Y8^3-!Vy# z@P(rt>xXRDSEnQa{3(Ec`Y--(Hgj;wo0J6z4yu>DCAoh_767qduqGD){@3{se~-Xw z*PKbg5hbt36DPOvu(ojiTYX60Af9zSiW#g zV0?X^II)e^PM~edx$a`NYo=cWCYeSyzbBr_0&s%&*U3vJrx&}6flU5i*k(4(j}!+l z=gFUw>zc{p_jL7{{5koK^r!wLYq*6%3#V=yOFW{12_&krFwi4Y6Hq<5*g3mKh&VCK z&U_kcrFX!}!Q|owDZ*MEA=^T6kIggk_*oknU4glBX>5*Ro938e0q8sYOt{<@Eo*6r z&Nz6~EAM!15bVlGQ9C~im~;nBw#=@LAPknVv!BKuXdkd%Gq|`N3p1BS$noO4%Kr#hZ7O_nW5lXlIBt9La&%!2CVxn*pN;(wUQZym* zfj4Rrmcg}cIkrn?zme6WMlNkjbQv_co3o$PZyw*xI*(TWhw(NUU;zE4G5zLHNo2aV zgHr{UAMyC+K7Dr}J2t-<=P`+#gk5Ino)LGBv%WZ_qNhc75=Uu$+~WMa+REr-jHE(6TT}XgpQOiR9pczbeSO>8Ls!aJ zm3iHHGi8$42DRn0ZPq~7_bO>|H%7$0E>Qnr_+?<0LO&Wd_S{W&($HJo+@_9%_RM=$U z?6-5{uDqgqg8MB|WKreClYX8jC%;X}d<;Iod3gs5dy}vOg|h$qLt)$RYO6H(+GJd4 zG@V~uN*a!JX@A{gJFBc~-tX~4`f$ZS#$2#J$%sXOB*;K>zoRcJAJ!+ExVO4Dr#=02 zIO#2X??47awl^{_&1;!=Jx354zgiNbY&)&Cu7Teg33!FVw=P&NEAOdg_aFq41Lra? z-I`IatW-1tG}P*4TFZe(=|7ETav8B^^6N>}wY2%nquTx?bS_k>6COr5!HQ)?R_o%w&6C~mGL!6Pk5t!>HpriPLGwP&2{_OGf$xSv~6dFi&j_9E=kB+ws zANVoa?8}2VUP9pPq?(TnMLSD;Wm(P1es$-FPk`tC)XLsEh0pM6{}4Cb9e#D* z5|>~hX(?^uH3 zV`pW$A!x`0EQJ;ZIag;#fu#^2!c-rp&)I(!=wZ8{VqPe|q63a_cRCw+M7cX?#@P0E zq%p4}liWbSGvbHA-x|l87jpWq+^(4~Zt%T%Fg^6-?@=>r@lCV0*ETv>Q3zp*-ETOV zMnw9-Er|G$WzWa$eN%%YA*XnOvPM9}7Z7=s?XF3{ILj&6FbdR>Is=uB<>x@DX$DYg zx(`Tc6#=ED+(4=6G*AHxh=2nkh~wq$Mxd8|peS-0D2n_Ksz7C|)(%h^3y5q^?=gWj z*uXnqVHLg6O;!DdI~zatvHp%yBCk-RwSI>S`VUV3Px1c$hWp+0ipIZ4mw(cAc{F~^q3A57 z)vmto%2MK$A9xB-ma01dl%oEJxVe#;NPq;s$iNRc;Rp)a*th8Ye>oRyc#4gHOp5bI zrUxxH(PYiRIA{6X%C00}6?$zu=tDogqy0~g|4#|KD4OuK$pC7N_y097|4gYoP}KZi zvmOQMu)i<~+A_7<8Y1$Z5OJe>~hdyqo5=v`ZhITgbZ7 zwZE)x4Px+I)!Nn3ysS_9i@;-eirE1mw|wQ z&Znl3m5~;PFfITHeSqjK1PE7vpe&}KP>cu@7VHWvp{9_`vsuE&M1PGTM*;3PAqqee za=+QAT&EVOkz>kojrGn(W zi-17>Uc^wx7Kgi5Wm-5)1P!&A6EFY}X#a@-+=hu@pcMi#*0hmW6Vq&Z?_LW{h@zqX z_4}^?{+&SkuW&_4g(i&lud0(g0)VIb+4BZFEp}}^J)(xKpCu2As)`yKC=&Qux0QCI zycKM1KTT#Xvve*aN{#+AV5g|CP4q9{t(dtP^E}MlEp7VrQv}ViifVcpf<}P=}UQS z?ZIr%mI5+E!Mw^l!{|=vjM^EknXhllub-=J;LBaYvjm=-Th+eW96fuuKCOvNFFNH- zjY#Qlc;B@f2EldkO(@E(ppCe6)YpBUL6K#}w}lY(1nutV5x&txMz`MoR8w$?BK!5B z`6A?MoI{-W4W5UwlML&E$m}$OjsVj{W`V?4Q;X26VLvkmB5R%;|Kxg^DD#yP<9SzP z**Hh@m}Ir0{;#sO>nUp~JJSgPGWW#kmhb&Ps-%0a5AF>0@5~&?Tf=`Wy>uvdSxrsX zh2#!GodX^Cd&3(o>`^X^`dK+dzQvk5fN?GmWN$S$`?Ql2+X|uA4KB)#Zx3%YnHFl| zMoT-#7$OF=A&lrQ>Jh^TsM3srkY*bKqupxsATqUJD-H!?{DF?0hPp+;6*2|K^2s< z{KXeGCZ#7MR>p_%YHnn-bG#uvbx@u#b<2-L zb)%0&9hhRUGiX+XQyvYTQ``-nqU)Oe83CHMM4B7!#YQ_|-*yn!Qjs{JEA~c2EO}89 ztO!KcQ;}}q91lGN5;&5spcGaJLBwAai<=)tg>7FYx7_a%=lcSXMCEY+1F~0p=1RfB z0t=@{beZs|@%PnPelbda$XQ(a07FmWELdc{nF!#P&PwVJDM@K$XBF&&OOS@Gh7-Kx zlWUeb8P9xm*r@6$)eimW#wx-y=99$p0vJTtu^Mg&=HUYO-Vp#WFawGAflIIecl1;M zF_;JkZu2Hq1fvu5IjO5xA5#Y>TqC>ueRxy1T%!sS?1s0R`<;+f8{57+_KEEWz5M(lt z_ek33?e&MFmOZ>A9`C3C;uF5*=}kT1yvl1%y@0gOrj-;Ny;l5wM#j7L%L@}O8ji|u zzNWZ;)zOX8Ga{UaGSe{iG+tQm-QSpsHqKa*lJR%Gvv4QhcSv@Qg_$6$9j$PC)5-14 zbv?k%T4JMdZRVY!ogxhgjoO8m1)zZJTVi>8UZ*&-pHQe59kcciaNltD(+zGA#Auj8 zD!j-!zsR|SY*#H@79~_CeJw?65;Fd(v+_DB#^nSTWrBhoKt`HmU%JX6$q!d8VMJ?Z zXTQqq>LdWb2sUc?*8p1*R5?S#NroIcHSv8+{&nX~!l&pD6SC~=pwk~#O2tP?t8(yz zM!)xT%0{UR7#c6z!Yt@3!}1q2M9PJhd89lH@%X)D;nxWO31}djT&x&4@d+|g1Gcw+ z_WQ;$YkUZUJphLBXTQHd)_so^n__LXogl@|z&w)i8PQf-vJlv0Cm9_B`Yi(|M?q#F zn`ZAm(?2_P?-=tVU89!`>hIk@4^HDYvUsHHKj1)6jHQkKrW?Y)z=1|!Bc9nkjQ#>= zyzKrf8iQjtUNDb8-~dLdvyR_B0IOhq<1l#f@Mp8nUD@IMXIuUfH>gNs2NOUP0HA{X zJPbe_f=n_#ta2;-v)K>YZl#58`ylpzYqK^iwSBhx2XQc4E>&LjeE%<0l&5cj$W&Rrq^ABP|3*bJ#aihefOm}2L(0Rv)R{|aX zhS~haSN;Qh^6&7={{!3d55~dVur#JYc%JWS@)yTq`#bQzzzFCcv;_dJ>H)OnZ8)B- zK5({Ghb9@p0BB2R@hS2rBNO~IHz{_2jRdguB&mM0pL3@d+lx=1g3NTZj&IRo+@$Im zEdUq<&sI02ur3BLa1BVb7M}vAs~Ua^U;to`0BoHQli%!PgQ7-|Yjw9LcCLoC(fZuL zBuVD>bT6{4_HO}Gauo7qj`p;N0KS^E{YSi|M~|}=frs1nG-92{+xzXPN}rpy$G+yF zYtQ0a*P^kSJD=I3=epfTW&&TtzB;sTFw!71_C8AlPr9s_Fg{Ql*p}3?ZV*d0N zAJ?k(bukIOo(&u$Jqfk#?xKF!ZwmU}TEr?bo8QVxzC z4xln;d+2hc9kFR5S>W-c>2~2-;A!jUz5oa4sZyZz<_UNZ!}i#wg1=4RmR4Y-c4cS8 zRrf9bPq|Le)6Ly8t7#<_@y!BpTkGTH#*#ogn_VI?!-JELBgfM{sC_BJw5Z6{)^-Kk z{kFa4X$5rNZ0mFV46Y~x355X$1_le3tdxv0rr>cx5QHEH zBmE_Bt#E>wlx1Wo`+<6+4%1fqL_rAtO;Wgyy)P=Rq-kt}q^)7@F;Yrb^QiD_KYy|q ztLdu0=VOUas;Aj!kg1^}XzdY6*Er=!4TBTSy|d^%+EYIMP2i2d#k2FH==> z&#bqj;j)2?gz#r}AsEQ7v*Gk|ba*f#+D`sF*q{Ywdgs zc0VSjBAbT)oLh`9*hyS-X6JA`ZCq-+QZ=!e0gIHb81<2Sot{hB?NSY5v&F{dLsF-a zajIG3%#qw=WPHj#xKI>Svd;9S_4vMt+-FL2)?#X0On947jO$U2e&q2kfHF-tR!-ch zr(n!e?RABez3*_zu<_{aHyai`4zOe)AM2~`Fkegr>@&Iuq?F`IBJ9STl_+qz;jH0_ zIfV@j-1M=oMU1nXuPe16;@ih<{q}(8hNZ-Xo)jvOt=K)aa|xHiFZm2bHTszLsoCtF zvqwH7x{T2Prlj~ayqy}Nt_f3R)Huen?cVFy5vjTjzxjRF4xyj&_e171=JEW^#^?XJ6?jut?7)LHMXj_>v8ATqb_OrG78?umO^sGD9Ea>#>1TA#jT$iT z6~Q};&4MN>s0(MFx6xQU+2g`(0y~=H=#$^?`QSFpl*_~8KncFX7UTQ1cxc=WdC0z< zVl$hX*o25*d{*bI+m|2(ZAqOGNtC^Pqq*&Oo$@+DJqJQ6Nn@zp+r(vIawaA8e77m{ zqovw3$Wx8V6(`ItY1;yrx`)5Xe|f*peXE^B3c)ISFjZPvqt?j-D*>s>)*)00#&0)4 zdqN(4_< zXq-6(T7*YE@X<*jfwL^*M2L9_DW!o2z&HfmG3?2poPUieF~VAa?j2VdD4- z@h=anncgHIohrL?TN_kP9$z%kXjsI5hrzAM-hGF$mfL^myitV>rNapa=VjH*!YKHRmHbcy6D7!yZEqZ!e` zfb-)W(i&+-O8y>F$7^Iy9v^JyJFGDqEmbF%=4gKF6@H`0H_R30(|zB_YsZuWZB5&w z=4zx!K!lYW^N_>>J;gt;P^!`rPr&X~YrgV^SLHcJ62%w5UuT;{zv4apmciYW=%)3p z-4%oeLD%pULD6MRj56Q3=|uN)~09Qd1-*TZle7ldh*75^R*X*{lm0uGzcakY9=M<8Mr zf=uIE5I?38N+Kfxm7%VoUGa%}uPUb`A*L(tbbxnMj#3~DxM{Ba@fjJ%Fn7%Wegh*| zGA*w;AG-R!j!{EO0{A+KF{Q?rs038iG3zd~x^M0Y+yv9#cKIG1yzG=&)B`{9!7aaNAt zV#uXZCT{t7NWK^-)20PAN);OHSBtAQu~hmsG3ZiWfyT(iiHCJA6TV)Ur-~)~2xzAS zft^0F4*{6y#Ja#+j){*%U1rxq+J*+m5kQsNDWM@TFb8>8iifrD<18sn?&&zoiTjHT0PTld;n{^V|TYnk%(|*%JWpbCh zt4Q>Bz>Gkg36YI67SNj%5kQ18h` zC&9qe$Pr=WpPDg|o4T=YbF8k=wM;-T+y`wN%g!#~ZB`oa^2NCKgze3i*K_X3=rk3t z-M0r(K~DZoPRgJ4<9iJjaU=70{l&x96Old@ai^kVvMLK4^xP{6yRyF#8JAQ#aMF^W z#T$`&&8p1&rcK_}UY^Pv4!4A7x5HJN$(eal8Cnj3zdi#Ml7mSZzsUHt)_^3a+7-tT znJOcO%1->m5yTo>g#=BW6W<*(`gl+p@#_BRa5gm0v3>sLD+2tnIAa?(tUrFMb>$(V ze{#CH)kkvrt~+(Z4Q{TzH%P@#&atjjtIS^z{ICs=8gF zLA->xYZKV2ubbFT}CW79+n1dpzbVpd2qrd6m(cwN2xd8_bgcy@B+T5ws{L zQ=9b4Mz5|i%f(UkkFjy&k1k%getMJfpsI1d3ARnGT(iAlvByf#KcmvT!X(Z%$=8%fts9 z1vZ7i47H>W4&b$Qs&tknrcn}i(*r1@TT?UCQyfHjAIUFMWQ;0fh|>{LWqa<_u-zHT zxk-mVB#rdf#-X=GaLS}Hn3-2H!1dQgSW>L_L7G?^m2X3spg*mFe#Lt6>amlqsbSx^ z&6<r^kn?aevvbAdTdl3DIyaA=_%`vy)`dBVNp{{g)O z+J47NFTvM=U?R#^&g&hWwfrEvSQE$+~{>8?9&EZ1*gSva7(k=d2@W)Nv1FV z-=%6%tM<@9_p`_K$cLz}{FT~jCpgJVncq`j-apgx|FEiwM2vVnQk9~Ijx^H2^R+o3 zGNJ)j!ez*jD}Tjw+P6Xz{J_IX6IW7J zy2<4ySadK-M265>zw`^7Ui88vuewAswa^mH-R;0+G1o`R6wJRYND1xf!?Yh-b$MdX zn@UdpruCb6%>JSZPJZj&(>=P#vE(omNJmp6v3D3h#|LM6)(CS9A@Ho4yjLO~k(Z(S zoO`>`K@oC4ndJ~vdxL+Lgtei7czknrYCJ2t)OVY<#3eE^y~0>;O0#F!23d5#P}#ZN1c!u=x_lqsKPz+nZ_=rhPJ0F|3rMY zT~$97j^c45gH7cWlJQF)x;Z$!2-lUSsVof*c!h*smOeRI`xZ30;ipcu7M}!+NAx?fh`sP+3v84fT#r z&={}ldzvI)Et+-Xhep;f-My(5S{A(q5ra>{)mLPW%+7Y-F*S2E4G0t8ak63P34nta zDyzJI|Jh4{!X8VrcN|}A8*K9$EMH0p!8i2ui)8d*FiSkxtnbMsR&t&!4;x+)TXv>V zOhe?-yJG^jxQ^WLM;vFrka9O8g0ryIY*51bKpWS?ozjL^+}iN1zGXaVH(bTVL88=` zvatgy!>`0eb8q7m2S+0Bd1G^N<11pv0#@QxCT|;FlmB%3ths6x-=$tfHbmReGq=m5 z#Ht+dy4ZqnmqyD-fk5%;!zOe*(jB9G_R1HPfbW~c8K@iw{uifzB|>wh1vJTK05CwQh9R{W*xJ(-x> zz!e)-O@dQ2Irr_SZ~77~Jr9H@D#lJA*Kgwr=5jt^%(?|%N-Q_|Vp`gwXY~#;v-5q= zwj}V<5-p^@*u*}2N)vo17&*~p4@ZBqGzcCcKlILQZtbT>@Qfwla&giP*7xx1*{h#| zcX-MpL~a9et*SIFVL9O4Q}pH1jmm;=AUnK(i*P8>%KTNRjQ*gM)0@T4uQ<<;AS2pj zo*P0PjVa3pa>i|gEAnB9y{Rdq053&(38EMR`rN=DnP;jJZishQIXM%`c1H_BmdO?+ zR%S$U`#jZ$L5pE{tnyl^fmq*{diCjjrgPKcrynG$!dVIF)CP_js((Q~aNLB-JrR5M zyIiN+VAC_L3b!Y`N|~+bUexVB1|jEeDfiyybPX%+UC`n&ysCWX@bLoK6fx9w$$yuH z^?icsnPT?tP-ya30_p?8fzZb;aK4_vLVY~9_5&YhJ73mh%`L=htZ4_SRYo&qZBpm< zG=!4&Q3Cq8SXmXy6%5#4uuUE7tPuKOR(WyQ(77oTuW=GcizY8}tE5x7 zodR`);=I>0hvV(uCA{wsBY20r{1P=BL|L`sjhkdblvY?hk2SR;XA2H0G+CDStp;$@ zm#?xtAUu`*9}iV_6Mv<`#Xf>0wg}pUiR8NrZfM=3Lg}mMw5eLVycv-YJ6+M0L;c?Q zK-IA0Y{2wd2E6mxeuiaY@@p|qMyuPTm02B!*Si}vFB0_eg)@?S_a2q4@DzP)pbOhrqW4e%8PZE=1>glhDG z{Yncv2i&v-EVivv(oeXDEmN$1A9;Tt5l`%NHWO5ETGieng{MSCK1$B1u4%1C&|LP} zvK36PUB)ge&aF*gKE0lYbu0Wn)p3FaV_NMsR9;juhuzQszB07iY91>tC-$bD6j?X& zBMj+hSA8&hvl6ujQaQ}J%ALVw2 zLUv4Kx|~&`UQ(7?QxH~8CC8tBgvg{osVmxnyXCEi(fb2bY*WmJZE*2!y#2W99Ezri zm<*`|9!##)B-lc+HRsvg}4rq~PY@5DxA%ZQR5ubw`zYm%bkY+PXWP*u`SpyFVofUeQ$$II~C za30=d6{DAdKKCDLc6wtUeDZ7ww}Kwe#?ry%ypG*4FgX8#kShdV4n}Z-yTq4v*;0y& zoCmMDCPit!9Vih|%u-y61T(D(;QUZ{87k*4;2!Nyw~2A>GW z=2h7b+!71*)Gve-v=R%c)#j9AG-%{knO~U=weEMW&>yBfda7ezC@?MAO6oDiWrfOI z-KyBMR1> zSh(*53dA`EQ;F`ounJ%*OVLgpB&;U2;|AN`y+FehDJ7C_D=T103(lC~0c)OO2{HtLBDgamVO7 zg$=53yno797Emy!Jk+w_Wag*}?Od3eM&kF7;oIOWRuYStdpmz*`i0bls2{>RRt1I_ zW8MgSWPo>}1|UE6LNHyx3`$8s8@5OVitd5?nl)qDI!ZtEZa+H67hwci$np^9yl=os8rQ!L^<)30@1?Buor?Rrq80 z?pN@ev+?I03iU7WKiTYfo_5e;;z_lS7C~(WEAD4k=(=qVmX_mD52n>h_{O#?O%C>+ zpOpeqKKO9>XTVTlZ?psu(I*}!X~k2%iZZBVbXa6u_E;~W(;6NuHJqOe9+TxwaKwVH z)+$doq}SA#g2i>bC0IhumRw?LdXNwrrgj_QX6v*dhn8sW^`wED4nGgc3P9OLmK$~EcMA%j}v`r76e-5_EzP6 z)z0-h%ls3wtg158o&F$uIE1HS2NKY+GSA41YkxrBT(*@q$?T1pu~!J3h{n6q z=|Ta`uHlRZLFh1+LF1*`+XU*|9!0;lGKbBsulkha*e1x1Ov+>0gQWilGk?v=ugdP(_!G1^_&Xb@X9#ISH zZ|ws`UHDITdvomRHEqetY~*UazF65d9q4e`B;icH?M|YDnlNm{L8=0r ztGDi*sCUokY|Z9hDHrm1?al4e@*f8$8`OP$#h||FG$UKcvB)hk4HVq2>a}5ve-(KX z*6sJX@qC+)fYsP{g(s*V6pSdR#uoQ5>7bF4@>M|~y`pVt;xtDe|5wwRQF1^k9kj&j zlJh9AqBH^dwC`8#q4yG#l-e}|C_{%?b2!+;qBU{6-ECtiv597dWVn~{tW|lJ-HB%Q z!3fXPq?uOqli|&ZH-^oY$C-x^Tn3Db(z-RpJViaW0o>D=GRmxHpgiuM_ObiHu6J(Z z_FORD5F<63jj%{qp4NC@q^n_E2hj;x8mWrj&AD{vgL&K?h#nyH@Eqe0*A$9QXH4;;D=n@a;B@n-y z67<82;kjXc#t1`D9Kl*IBJ_;b~7upXSN zRTOf2_`7B+E2wMCV^N^iheAy^B?@Ke7E)7w@l}c5&N4keJ3yd6#M7>xJ+~FNDkhtl z_Re(8I{dmq=h&Re=zxt#5*b)c#tEaz-LT8CBqq*(r84~MB3P{<__K{!YC$w3L4;6Wn1S1PBg`1rHwFbr;vgCBfaD;LhIt{qH^R zIq!$u(~R@{p0I2Eo<*{`zlh4h%*o8q5JK&I{LnPBmAL9PRQHCWy{!<}#)Zo) zP2Kn8!jrcNE?nlsDTAMRJxv`QWX*|*`qZMc3jVlL@?O6!_%kQOD!To|{QG?$QvWN^ z!7j2Xuzihsk#}nfavDfA$l{jn;l5ioYLq+89W^sEkjsKH#*?-7HCh`!KIc+sy=nYTP6fYftZn5N+{n^p~i9RMH$U$gm!S~p)qXm z|25gA}F@lEf=#{1~HgH}`hE%hPC)wBXKTq~u_hHt)UXI*tJGj_s2xjLz# zMIOJz?H&^&PT+*1TVLlJIQe$7lBF!qAYAtwZfy5Y+1(JjTii!PEy%gx+2xp<6tMkp z+%s$Tzlf8!RqNV2pyV+76mn^E(2mshfZQBlJV*F@-||7brVI zraj;_&ikSgTQZ*-!_*=vnfZ)4Xyvqr*vLmLD3h9#xw(l5BYyeF-oVCb(o1KIF?k^* zhU2hvB&C$)6x5HJDoLmxxs{EmkY-$JsxgyQ3jODba3;Q)MII&!=v6Vu0^F?H$e22B z|8j4L?l)N6tYsK_nkhx}Em-Jt7uLVY&L&^lHl_PFi!=Eqx>Og8BHV$;x%5VjLqw4- zfdT{aC|%3i^ZV%>`*Vrl+vU@6s@p7nE(K6z3%rM2UG?lJYed))uGCCfRWQ*m6ccQI#v~5giXu~&lR48&LC?0OIa%fC>65p z;b^9X$QDr@^4AA?cNL`HD!Ar%#|OYTlQmZsI*k#4>XzssJE4$)L@;Fj~pA z&by`xW#W^(rxHhf$k@Gc)wdBn$*}-rtxF z^rr{j%JtRLPh|@XYIXxWECmCNQBM0-eT%ZHv$vj`^-eZLN-+Ejq>-QQ?j6JWJq#DV zyRY;ot5Jeoj7!B;defHWIR<-h?%U{o_n5!rs@--&YG$)Z)8gBXbnL3x`coq#8;QzM zk5WbkoggZ=`zK}K?CR`*TwhZ9n$IH(s!_INJzG+|BD~tj@$EB^r;%X2cD4!JK$r*mH0n1EY!a^#nOG{Nc=$R?*Wq6gd&-qU%VW%O<*|-+CbIOmA;1p3C+J zOt}?jyYj#+ZK{pH@cpZzotdxPW~8m9AN4PU!jjZBcQ}fk+?BhAM!~inY5!ccBE9N} zXcyfhb3ZNP)a=KS$rWLY#0iiWYpYJFL_z(I#B_xR=Im{2(MgVwbiECd8~+En&9&H+ zA8TGax?|m+X?$Zk6jfZ&wd(^3&Eq@NC|33CD`y zZ7-EjolJL$XNWnSJDoCvsC|HWA|(4Xl{RWFCM73qp;4k(Uww)Z3dPGK;R zOO9BGkOJtP3fo5Gs7b)AI@A9GTi<86kNU-5r_J!9jWpP?jLQpganp7iccy`{oh{bw_g zm@SuC^4{DKZA{zMM&9^`x8vi7V7t-+JmlDt@=3~g(N{l;DwR~3wRN|^W~4eh-dv=6 z%#?uJ&oVbI($6edG@kJpD$0K&M6g#iebVV;PCf3NGySxu|FG;BU-tJyu!@#4v{Ls< zt;&r(&_q1&sHC4zIkUP3`oyh15#d{c`m%#ANp zy!?cUDZDuH>n`T|Bg_O`JStB5j1@m&;dj6s8Y6(4in@4vXcg+k_id`y$hnUM@-Lo+ zV~}1Yc^BtcQMuX3ANM1CJ)^$4+q`JcD!Hyxt+J&y z@Ax1}Z<5*cIq^?3DysYiwN%cg&TBSawV3!Bz~-xLcoCRpf9nBxxNZvDx-5L_(F@2U zvU@eXAAT+FPtBr_Z-Dyuw}WjG*lUQ*K+z`AE{Xfc>1LK0xb#J0s)Lg_{u-{k4fVEr zC5ux!Y)aczJvg`+pGMYe~cGN z7gdh>889}toVf)RC+>%PuJk4DrU)QLJ=Q-;$8V^$KuZrLrQ+JZQ%Q`g4wH(PDPkAp zpqe5Yc7$~BId&fZ57R;p{ zAK#tpTZDj@``?3>VtxdeQd{9)dam2l+5QZvDg^si4{fzI`ktyFm) zi&Y`N+(UP`DAQ@j2P*qa$GcoqT8CA-4(F36{1|oXU96-y>D8FQ9Q()lSZa^Vx#bIe zVuOmskgN>WmPz!Ey(VCz?MG(wyO)Jjn7*j9Sv_THO#(mW{W_LzFJmNk)rfzb%GVJ4 zR)G9WDQIxl>1HFK?sxL;cz&Vqa&);;%>mx!dwbGTy^43BL*4e>duY_&s;)8Y?mA4i5N{)b8-rRVW+DP^DgtkF>= zZge;Co7$ZhUrY7a$-t@q|M88`CM+m!3L?HyzWK&Pp!NmQ-b)N5%2d->EONlj#?;xe zybaBtVBUj6r6KJx)>uEbDyNF?Lau1npJd{;mdiZ6{D5bbbK84$+S6pO z2mdAOe~_0CaK(__rJwVyt*Q zcqkOKy~lQXskXD#27rA=fg3f(3u8qX_jTTg^3$?N8u{qvaMA8IgWpcuNNP&1@#II} z1=N@AD;=k0u+(#7rSZIk6<8_?OK482Kf|dd#X%M?fydqIIk-x0daATA>^zSKS#8{x zZ(iiI@DEO$KjWSL3VFZ-#=+w5a^PvvQu1B#Y%-u!rUqOAYVEl1?_J;iXPvoDjSP?egpaYna^i&IYt1@E zI6xBAPe9%IIk9GRuGba=z=bs{5&}PZdO;0Uu3J%g)?BfFta@7YLqt-nz)XmWWKy4< zzpMsU(F38RslHjMk5XZ{CAo-Gs(1emso{DZO{kYo&Eu$TiEy@4LLbF;pZ9n6HK2`% zRg%lUv*3mS*t?P6zC$L3jPU)_!_(x0fGCjS;)?M`T|9oTt#n_&iI6+bVirxgR62 z7(NgpzPH+xli)86OlJCgW`i zfb#1mE$L?*d0OdbCjKphQkopK!1$Y1;gmE-dWn(4t7iz<7{SP)Vam%2NouTHroi1{ z*bASQQ|yBar^~p@bMmucuZIGYXP0}+k;4^O@L8b*g=qDvUx36dUHX&=AoQlcIo6*d zHRcCq&Lz#0FM~vclG@0rLX|O0Vx71KTtyG(HPcjD97I77HEos$JoWlgC6I-q7#`HM z`q=+4X?AAq)ADi^->8GKgTM$b`ouj$BXCFTBS!m9^Gu}K?&@K0nK&`zq80cD8#ryd zF*^sqmy_Xg=MbMZ1u{T%Z!`GmL2l^L(s&%PoyDRbxQ6fbZJBI)z;}Xz13IqE&Z`jL zy@d&^J}gARPHQgz5+}krlsgg=A2Xm%A+G}`c91 zJ3l>dl|?tN+JdL1M$4_<4+*T2{b9Ka8HpOShqF9w9Pc4YoQXee3{OS@3LUrlmmAdk zeP7#Dk>E)_xGx^v?qxCl^;|}gtjX^an~*1EJf=daE;o9NVbsO_bVtT8ppe+)j{61N zU`O`VW8!60Q+^X%r0t2t=k1q_z`)_SJ)y}JH7 zAlH%fsO|$0_Dw2H46g>V@b49F#ml%?ym%Z-1xw!%j`D$f@O;FC_Qj8;y}s0wV5;{n zZRk`n$jcnR<%uXEi4aS?i-1yPBKg1)&|sZ6o0{io7Lv53EhYY>B!w;=UDO@aPfu4j zt17WdGRZ7$f&QGoPO1A?&VsyF6k^r3+J;g!!T^9>h%w=2-^Lfdhj4*hnbmS8+;n%^ zto_}Z`)%tB;`VWlWNm@DL_kkYWmxXz+Y)6Xps5cS_cQVVsMim|UK$*X$9om4dy5^b z!?3fXawa?z^2_2JH8q8;HvTnoYU~rZhI?OJK8tLUpZ!0-Q&Lz&LYd&k*MRNiU=u$v zA>e(2_2vHR*M9=yB#-NB;;TmzOy~a&VP>S1NmP8`#C!pHg^*81a#a?Jv>dyManF1C zFMxZESpocIuw{!Fc+>jt%JDqkUEiuwD?(E<`z`KSZIN-1@}Zflb>Gr$Qb$Xp<#U>j zM43>ik|g5yH+<(3Gd)s)&KJtpe(?`@1Wp7JVeE3L0+63ZQ&O~S?yBg^x(WsIt>+4~ zPnEjAy!wzwBSQaVj%=Om!G1=UO( z^R#@`)L972YfvJCmtD4$iAg-I1pzqdB|`6unYr$&-pUT(dehxB z%&7f<%k)$jQNf>%<}1QCB*sY?L6CuqU3tZc<=c7}LDLZxl2w5~i%b=gM7;i%?`O09 z`QKfHO`+D0`DYPlK7FvNYRDxw@QzR3#m}xl8s0Pp_I!C}cfwLJ5-ImR?NSHgRvRmG zau;La9Be0py=lIQDkt6jGv!C~ERW~k*=R)CAi46#`ZI(6nD1vr8yUn4w?&k>@+asu zzLO?reG89-aD#%buZ&L>1i!N7JZLNBTl&fS`@YvOn?4GHspzAdVrF9kfqo9sL%emJOcG=|)aj1k}G%@Q9;$G@uKv;nzeYYlHnuHzBrN9QK}_OWW@l+yz$^IYdfAV8Y&%I`_KBr->y)H$6PKE z(=AzXvUE-=Q1lIEk9XfK09|K@Mnl__h*{+gl-HoCig`<#>QU-sbH>kB&;E{5Ct}w1 zLUZNwvrGtSt2J|9omC^1Z~vFA{#7OYhabLG;)?&zu$;cu+|G<7cO?!e|7d#BoDL!23%2bv+&f zY%%kAydHhoq(`l!#>uG$CeugG^TX7 z6|td{o)kQz+5Y9Z>5FzspdeR*+w-kdszBdVebRWW=Mpt#Rq2HXD4_SNn{Tt=^^cP^ zxO8_|s1(TF-w@aX++E|n&|&lzBS`%NA~)e9Y>fNY9E(p(2zYN4N2v#3^UTpr`TX)zV ze9~`SHq}H~I71O)B4Erb&W$%Yg;P-q*go3*S$PUOL)Cbg&>0MVmDy5LW0yq|(4;UI%Eh3EUbtmka^pw$D5s|5R;&kGIb1oo3aX_23%`XHqe!P6jdCXy2d1)4I zodnNpKTiv7f60=q_#LZfrS+T{MSxF;$i|hmV5fkwBR-n~o%jFidLc>-$D*YFZ%ETE z4T9DFq3gU|L{80BBo7{Tr|kPJdQWd$oi$4L^lp}*!wd$Im&@B zK)AaX!~G`i6C3WiM4$wl#f=H9(~5$o6vOA;vqnFsO!sZHd_i1C?LgSAz$UWxMMs<$ zM+X_mh*tqOawk-!KC3YcBOG$|*S0zMj(8?q?M_f459);Gw2gms=G7bU@#>e0-0hC< z@b-n~1%%)pT}np0i*i3gV_)9$wB*d-EW=kh1bu; zU8h*lQ6UgnG5<4^hoivWJXIyZe}?hnRxi)4%-Nmm6d?4$hcD^+M?;b}_6DEjubQA( zLO2hz!z^BZyo}af9$;LSp7?~sa(0w?urT)Gv(zEdFd7Vwzh|)tkyC@tJQ~$w-j&oy z;5w@1y?vCWqld#iEn@S&#$Ep%**KEnZbCI_iP569+4(g`Id^$rJZhjBt2Jy33ZC( zb|Y{`C;AW>}Iqg z8}!_@pj>|{RHll|yS6?{8}`Z@^@caUl6`>0PhE3F<7@n*)p?RmqKX8fKR@sPnJQ|b z@9X9fjzmPdnCBW8S56LfvQK_okllyZODLQFC^h=1zM`)X6V{*86GJY-6VQ`*20%=iAreJHfV-u5)xWc+w};dxJ;H~l)F*Dk_( z%u(#mwqAZxsU$-SKeJ=hrtr=Cd@kHo{6|AWrRVUoP;W%VpkDjuoPG<+eBbL9{=cQv zi;G%biuE0dPHiPv#EH)=ze%1$6UZxA-~jF^bt%4_M)$4HrYCgpaqiaDkfCGFlx?I) zbXTM7+w6+|w5=rQ*vW zL00M9q+dt1pAeO>U6RKXxM$=x+UEJst&`-fH_2UB7#qmSG@3pIal7TBFebBOb3mEu3$kX|5`A`v;hWh2STMU~<` zRNLaa2My39-?etVF(AHhjuG>9&mXknd;QrzwWVSu{hM#Qy-}XN7iH_pkzs{zf5q3< zipr#tKPKbZ%f3v{7tx4p!_RW(=K#V>|4h3w53g!=mqq#Yb?KC#_t^Z8W%Jp3SBET{ zf}gTv@zO)4v1&_Y-HBs|#ei}!C;!t8&u$~t!Fjoc6VNnmI}z(WaFgcEqD5VNZ=H7; z#~+*%ld|}G_OYSa96h-ZFBrgJT}p~*2uk)ACTdDZgjT$bA#L} z{5N_W^m14umm}^0lI-#zB7n>1y&4TH`HF!cIi1k0OJn)oO(d~0N+oEqC@H zW%wQ-;cL&~5s&c13Z&>?K;4m*74 z?JfU-g%iGdyQTl=ZOiYQbeV{^V&;d(QQ4=O9{iiVDjH*dCRDUl+u9s`Ldl7E)5wZF z8!67N8MO5v1kkXF>vBzZ7Q@`sGnl$Oa^_pim3my<+HVjlb4op~8+E5Q09POnRrFXYvcfW~B9g zyjK@aMOaG!#?~?|ePo-ex4`!;R_DzGuP6I3eGO)N%NZTgcbR*d~{-<%ZY}HoIf>1H=^YZm^w#8PP7jdAjLWu;oU$w-I6gXY{U;jrB4znTFYcZC z_qhPe$eN5ejzJmE!2`efhQ%bLRUsr|qU`1rJ(7%XB*N%R{6TeAFERm>w@DpZs7^0C z=j$ft3S{j6%sXB$+kjBVp`(M|&uQeiNiV(**rhL3rFXNTcKyh9X<@FrE(z902 znwY30TYG%_XN>L*qf$g{jr|MupV-c0+Gw%>$w6m@^a@+&$0b6+-C(RGG6X+@&|@QO z*2@#goUaBUeR~iOytgcWB95H4mhrxm7u)DmnIBO<~czZeNElQ9oh9&4rZpfw1A8pQUi<^7HFX$t?`!0LQw6zm1C zaMfD>F5bkemM-pq{D2ySwwLM98kFNl9uGp8gV4R0hp!2p(R!(SQ9zD)O0BK!TXKp zYscj`&Yv4P@0zS^JGaea9gzjCYcI`>W7H)0G29$@Izy!i&EpO-{V)b77QZcsC49r9 zm_1u&8mX!HZ4~or=E5EM;gdp*BS}Qtw;h4QUr(sulgqi!GO@Ha4dO5vJ*#b zfy_a5o18VKL1@8Zcw;5rln@&rJTF0@*hHU>vNEHvGPg`&|e)#Vl8wa?_$v`P=$8I2xC02cDD4`l(5Op`IRR=NXNU$ z$J2W#)mHvdoS}ZP9h+A&s`PREK=5QW$YnsINp_RUdL|=)-dgg(>w^ez=bfORVwaTS z6s_jTCjXo*)%;#bSmkf9TeVI0Yr&h!`kr;ZtQCNQ{jc>$Na3HTYR?Pzdpz>ggF2+$ z=p?CKan{+O3?%13^lq`wv1*Nb?z3+3J-awgQQx~st6nzY9q#x9T~rPWX>e+RYI$m$ zj9&@*Wjf%s4GS-f%B>gy-GiT%t1{nq~2b zzDn@Y!5t$qU!E6!az;BpA?plPPsZ<*7ZyqM8HC`td48+ig;u;2c)}PG~{jc~_FRuK2KNTgdOc?$U1qXVH1ZW42Cs+tatG2&U5LcjNo4 z(LjoutQ`uas|-S^D}Lcy*}TOOm4Bo9i}A;l>EqtrS+<@ygH3YJCh5s9jT^WB>R#I` zjM3e_F}-FC$ews=owW&k(ROoH|6n|%O&uYxwpFEvu-_8^e4!cx#>KI3_!Wu+I)zy_ z$zT<=`l3V?H4G&MMo?>yA|vQE{(nc)h(17tRPn)*B(Hbh;b`GsNvz z?k87TU{l)b4XnUa_XD@>9wJ1V7eh(Wik2vGM213<`(c7cPg*+L)(I7}=i*oHp)$6u zx+=%Jgetko2s+z6Vz3I?f-JpTk{%;wmhGkSj5Bx4r_yGASQ4`n7os_N3x2QNy?$w| zLRwF^#RxMgUBKNK8&fGRTBX)T}}^jAJ0~l@4WY(yx@X zX=v_o=W%GgZiC((5fk+5=b?Hzx!eUXESlsJ1z_g+fE$ZHO8HwiDx>($>d0=ZLmSmyMNqE(220>Bs| z-46=s(bFVZhG~+7kh^h*P715f(uOSCf0uqOf~r_#^RTE;)V(*GI1-xR@|*Lu&VRiX z8Wr|v5gTzrIXDwcKeUEachm4S$d`eD!PuxDJfdi%z%6oCA~?D3;+iKGWubN;-%V!C z6mxM|`=0^d*j(W{ZV-}@O89=QWS9)%Ex|_x?LVBi$T!mFIu7~=* z_zA)sI5|QL>2x)$Ms2{bFI68fuKShKn^Q;})Nw;XY|X00lrXRi6%(D~+PjE5znn5( zW23wpvOP}{ReFtTZktKxAJsp$)g4OT)MZ zfk$w&5rjWt1*KtNohp5=5TXB+{wgk+x1``MiIHeHi4h@I7sAdsfCq=`grvd?I9X9A z`#iz?s09X(*~5V@BdU*=!j5)+u!ZsLj$@pN7klPxpX4>CD?86dkRa2X%IP-2KLx~9 zhlr--P|*t2G4}=lhkR?`Rl}++`)_bqkzd&Pl|=Y^*Iry_85}doHX(zz2Sm(LBT0zA z)4Vo{=S`V9;$MRDjKej@98cIwt<2ptBN|R80S5Y8cFkmcP+FK?FXoas80EY0 z--1bw2qU^yEXhD2PvmCRt7swWL0vPdytghM7(-%GxJE%`PiT>%q(>)oX^U$OGUn`o zsWzEqPd;FwZjho?DMV{zZcUnZ>~#)JT9kh<#Od`QP0SeCHW+0k`NM;f#IX9UNUk;Yh9Q z(JyhQbSpS~qoX)$>PSoBxz4Nz{_+}Ts8-CJDWpETaXcrrFZ|dt;&8PliXSB35THi(!RI>U$3Zl^nUL8CH#SS>jP*|odG*(@h^z=K1C%G&?b6iMC3 z6-evJ3z&7W2O$*eS(=2bl@tV~H^{I|dc^3QTOo6E_+{c21_{LyYDmSj(z1j+_osVA zh#Sf(QAidguiEQ}%xx%=aYE@@EKx{Ls3u`%f>||Oq2%pm@0akGonl`qv{1Y%+PikE zPb50Iy`i3->47I$;1-OT#1V8ON*Lmy2r`o+5t&-Q72y_RsUR-nLc)m){Pi;k$M0)zj!QZf8*dk0I35bU$Sf5-NA zKL+N9G}FfwuPv-u-3rrdII^ou8OC{M&DjEw$y)EGho;H&=@fSaRXW<4D8s)jB-4Y z34v~Al+AaXlKMv+Q~F0Fm}p!C5P{)_I%6>OLavK&=9qaI+mZ6+sh{&Ah(3p+`A$q? z|44g2pcY6%UWe?Q=i%#UWm#VtKazHnWZ|0Umu0~oCZK3#OwE{AfQDs#@EfsknIVrTaPpGwWcb|I0XB_qN{ZsUMCO3N4vdcC2 z&F%hsfh=8|sQ7TdzVI2Vv)nf2_w%6M@Gkzd+|_sDm)H^5ZDOtB2oYdBf;+GR^U0dE zjw`FR*u#YusGG?>yJ@wl*Rjg5#$sjI^{@rUF{gO4!`JpC2jH7#?XDsW%X%2Cz3Qm2 ztw9N9OvkWjOv|vyk_@KQLR?P71iHN_v@l4#x|wRKwul?}Y=L)`x)hl-6zu7o;w$fJ z)-0PzqE;kiSSx@SBAHki1Z1FU+)cE>nQIV(tq+TiH2$u6JvTvf5gwPu#y4b1JXy#0 z6@#`mvQV_2KI#fII}Ng^T81X!gTbE7*<-3cD8G{~^vlvMcCNI*X|vMXQ2u77*Qowf zBM%p5LRFYWgFY2shkYDBN^<9{ofhAm2VV;cRbA~CjN9iQ62(8-0jO&0CSnnpu5Wa4 zRJtvwJ)CuYS}7VRepsp%qS7aRT6&;&tHpMVwN$$<<{c|>53_KMH2C1g3(m4mKddew zHdpLWQ>L=r<4wricn>q=6nHcR`Nq%3hDj?h zV)poh=fgJ@tN?1YcWJ{3(%c-vn~FOszOE7}e7sv}Md1tqZ#97&UWqPB+rTCAsYgN7 zg1fU=rHjqbsmE6X1$St1d;Aij(@S9tZ3Ow50C#?_m#RMcZZ>OFmvefLk>L0)(?#YV zaY=pj**qaKvU8O>TweF$Z(%F>JfxV%e)M%=vO~3kihwQKxuqUP*ih}>QtplG9Z6mr z>y(Py%f@EQy`E3CtiHQjGPI)18OmQF4(!MFyg9t}d)JIJ&2 z8Ojgw4(vTv+cIW}nF_BMw3hFFw0!Q!Yx*FIT^!P-R&%b{=8DkR6YmDPXe6{km3!pC zLiE`br~o8!+l}0lFeb5UMh)zPW}wEcb14j-|MEP7O6tH?=pz1URhs+e#i7EEc+hIu zRZGm%#}+o!m=2i_h92Cjxj!%j+4~=wdcBJ^$GVq$%%EP;7CW0nPj&wSa7g_a8I^OF zM6W*&woN*VRv4)CA*|I@$cGtyICn+9VZF~NBe4CAyL-Lint!?1k~z%vVy=Z*Ag(P6 zaR4X?`V$43I8iP7zs#WB90B?3+lLUf_#EY=WDKk%mJ7t)=>Z8Lv8FeCBh>pZ-F{4Kv9CU~haKg(yE$m0&qqf%s%7 zaW}n2SKP^-PP81YOtl=X`m=m|rUJMT+mMV%Q?KCB>fa6V2T2t4SoSie1$b){VGblX ze(21RUk|;ZaU}oo!!*mkvd>Ryv&7sXeO!iub`dEWvs^i$7~z5bA?rk?ig*y8~#S|11Ze z;QDe{uY3*&Q4j8#K`!sxP2bi~*HKVwK%w_iHR&95yh?0y#|#`Xb&t_>;3TFUFOG)! zQx8$Aaet-jPns>@;j(!jWoaBOH$maVIc$q1#7CjTZM}h#Ue)E6Z9CTY+70*ovC_M% zmC5*Dj{6Y$r)pWlXv{gb7CFG#uyWmfY?@(ii%k*{ZASr+1@A-REh?Gn6dBb;8lue7 zh-3SB$N0X!fI*LDCn=1tvE$3vZL@7Ze;GB1^oM|Q%$u;8a*CGKxovGY-lg%n8x(NW zs3nXT%_|kMlsQfR6#Z`t#on9Vgb_eOKv2ksq1F(cl^*+vbeYEj697@e>eXg$-LR(} z8u&M!dali3623Tnu6QZ5#6mtLt?9Kz22i~cA!WS_DT3ldYp2vcU-!7JY2M<+3^7{l zY$N<}pWrPX-eNyd5Q*C9F>c(V*H<5c;YN7^%D$869IAIwKCu}VCdNghbSJ8|#kCD0YZVI2`v_z#wsb;w@dpmIIe0jry zE*m#gMk-c5WsldLh$q!yeCd2BTsZJ*qDL>nw_X&Q#_m7!9$}P=N^$S6^CylN#9z?Y zNyH|O?0f&TP#eggw~t4xm^?L172WQaRMx!ijPWDe@UT3ei(z={6T`ZHrYk*rQ-Y9Si#3 zCY8Ml+(?!x(DCm17TI=>jhb}0Ah!*%{pFndz+D$eHwwZ$CgdGsaHN4P@%)MZpFS-% zR|LxXp%jFoqc+~&N>Jz8Fdi!m#RpF3VY4)!y-zS}%qGGLW&6F^)B!i$o;zL>dFgap zb|lP-29j+%*j%+ke{wx`&jYyTxpjaPbNQuGztf&pKauJ7Z&|T0jF#l_Xl#%&#;t_JDUJf-KeACDM(fNmU`JJPJGhKi`S?9pVNA z{S?zya1%5q9voXC~Tiz-zhy(DyJC&D!0myl%NK+EHxakN_q@SoejfZE(^)tsG2$35nc@BiYHr@1sGlo zkcpD3%?+%uEa40b#z%iJtYl0DEOdmB7iI$lT|9(gD!1HVzRV$>(Rtk-&ycVa#)BFK z=|dbCw1}D}Ey4$EVT_8{DVbWQJ=8VOyTw5gEfBl`iiA)hv2qifOuF$xx^J>a#wl36 z6Kyl2`rW}klq7Q8RWG;c-42oxM##h&DJU^*i-fR;)8oXUzeJ~9#bBAjg#Y=QSP{mI zyy8x!xdg(MB$#TUmIZ6IjImBvt|>q6NrBA4XC92-z5YnvY4J} zsrxR#$D(LCGtk0{UY#+GubegwAP;&C_DM*S;4k9|%Y5jT|Qwk1&kA^c=12m@W8Me%y$_vXVkib6Qwek#EIj=iQ z#a8I~S9$b0D_|dI+7Xfmf+k+aQ@GhN0t>LRScbA!Cz4H_Omd zq3^b*a_Ev%O)0SL8P#AQp0qYIbWHR$Ysm?XG#mr#w&$%yHILlg$8Ms4kg9K8T^i+B z_5&6_k6VVuE+Qz{Aw0>6iWy^4g53>SX4f(kbfRJQlawu_>nn*FxcsX>_ZH6hxCQ7K z%^5_49gMko-BLCX?lYEiJ232X)i;Z=4O#jNJ57Ykff2BzcU$F4wTKb!;Za4Mg-m9M zdGMvNH7u~G&DzO1!E=Q{|L)|>k;Wuwas*vG4@B8*b#-(P-)cZ?U8gp8bq2662a%gl zsqc8O*2;VGjJ!4EAw0MK`3MY0PO*a!Yu4v}3Q>qO0n>Sf0xk6L;QI!NxQ@MO=X_Zb zHk@^q3%I67^pWiZwDtZ_QXZ?VyEQQ8oyIal%cg@NLC}ov3zQ%In~YE^QF6+(F`Q2b zLYeM_+wJ96l7D%cCu`P8?s{ceNqwICgmaQ78ZsGJdhxF{U=X57Kg54#)NDN9Wu4&l zi3?WBz(S!8V!?WMI)ucY$rdMg(GbXE%5h!^K()u1_WW=g%dF2y$E$rnfttE@F-U)^dy9hkz-$*ZSCL|hx zZiXXJ(s1V}vQV$S|L*w~fsz9ECtW8PnQbblP9p!qNsT$2S6C6yrXzB*^NUU&Hfx^t zi&$_b$_diB6E4|htHV7bKQrSeDzLg5;Bn02K z6*e_PneY|Hkekqbn(!J7I1(^LvwXdfeVX2)C(&Jvwff1>5qKb=Ir<^AKCeX&|0ZGt zGPhode(JyTg{YZs5MsyrB5u!=oFeiYS4}30lPmVxG8sl6Zfo+0UQIB92*e3qe7YJb z<=Y1GH8o`b;y;INnTt_tud(mFzrfq6=?<1oK6EsYtTDf5UBS!hb|u@_2@kcrjEzQb z(-3CQL}sr)oZLy&M9T~iPX59-^_9|2K*tx|FG=m|WYFaWr-!|#wZAn4F;S85OM|%n znYWn+B`;^cS$=)VC?A^C<_uPwUktlk{IT%f`T8Rz&^)Sp&2P$NrR3^OC=7E!^Jzj^ zXHL4RkqqxIu0C??Q~J0Tb^2BjL68?dO_&W3F&A4hc0pAHd+i4y$4JBuIOfNM!u{Ej zD|}zjbm{oSfB)IH728_I`r~vvG-AZ*7diIU{iRP6enUpNjex8?gl`aHL^6*T;lL>i zswD>!ykbb^Lu;49^cSNJ2Sun89U7*-8&By`-_V_2|EjsM?b|7DE~)dal3qDR5xmLM zGA~mbnA;qL1pHoRn;c`FiEt1*uSAn}QC~Y^rfhB)ggm{`VU%$>+5ZDUptmoPtc?<~ zvY$R!OH?D^DV%_&4{J+4!p`F7Cpew+28BR^E15f4N&XMgbO-;D_5MYge zeu5EZ)-a_NJ+;29xV5Yogf5@}>^lD0KOLhx)vx{_v>|d69eY|ydpc&yls%NH4mFtO zp(d-2&4l^ey!&~rAimXZAkO=x>hw>=UnyvVFBKX@Pfz3P;ZF~IG(X(LC#N;5seR=C z0M;%~So#_IrLg<+Y z5FL?H<@c>q0oIap&_xxE>DRZJ9>xQ6lSsth73K)>BAtm5H_rZ&3yodi5)veE@Fr^3 z_?6{>zivm^oG!N1q3D!H;OnU|RlaJ;JVEJpm^ zys*)?js7@A{U4I9IdclrMQ;J!Hbp0jh$v!~DdIeW8Km2t0v3=SieueMx3o6IE?U6 zlezmUQbF(_@&cv5&gDJH&#?5?9NwHWbdw*_!@)hju2pXGl`InT+XuT3J}*|=Y`f4k z?)M;yjIk)y2UXfzi9tm@l3P;2i-qo7feMZrO(8^wz{8;022K$SxTOywAzlUH`dG;( z9%jCi`VTJl-`|g6gc;zzCK+7pPQdXuHkmjUahMOg7P}+k<-zc3M~Aww+C*%IVy|T5 zTr{4zQ_NoH&%%xr{3!;CZ-cW*?KLLszwBR^i4p$Icyed00tAM9ws#Y`?~{QyMOXgh zFSSamAn+YcJ&ZyWA-Xu{wE|o(aQya z`sq!%;dnQLf#dLL>8O;9(pi*pqcY*8G3riA%wc*E)Ru**O(gS69X0w7YMnN)YU+#I5`Gt(>vhdlZ z66eg@q;!wJCN5+js z0AgYCw^4pHWJL?e_w2Ty8MItR3yirMTCZk(vr+}s3@Dll1V$n?OiPFx$P2jP{OA@X ziDX<@ncfZHp|c0!ALJqCGT`qgDWw74C@{t<-N_Kv4diFy0TC`45lHSAwxkbq=9(c2 z`_-7J`{J`DgJV8h4(x^?zy+A6QlOLNm9e);@79i4;Zbu{IwQAHD6H(AawcU5Uq>r{ z-Z+0=H|$HT20Ifs_`SSBD8|!b9%e3!M;&svkCp2(Eyk8n#?jd2L_L~j%|D7>kM(^} z1C^@TOQn5odY+_==aT+}LDHLbIuFaW-@xcNGFf&iX*rk)c}GjE3`9*RXjGUSUXYNi zh{xBa);)krKKOe_S&o`^;&i*?{j!VT=Ni+$WknDudt5`pZ4?dHK$WuRM`{C=`N#QK z1E)}m5GtduMo#I*wzAryHHII=t?M`6b%SnWC`Rbaubg*SI`QD0n zBjM1Y_%`vAZ6-%9Q7jNS`e(I#QT14bU8;#hLV|9oRy91kL96J*fQjAl8#^p4zAa3P z!z3od^G{Age!%;RIFR21dV150FOF0icZeYiu1Z2Ex%ATfWYY+*cN;=w1+~@dkx6*} z5qds`O2@zF8|jMg9Ff&8w{~W(0TDZ=>4{xqqx)$3e5-p(-au30@4atYObqg8gUX^q zuV_Z`A<&{&7||_MTIDag@eh(8kY-w?R0`mv_XC^MKWA-BELVW4xaEGMUkego7b%Za!?}=R=cqn?AUjwq>Orp3yTR zZb6i0ZvNyP@PO5bkvb)BLz!$WFY|>(aEAGZpA|+L^WSaH+?SWtf}d76uYBGht0Xoi zGGg?L=0v17L8v|OdK+nQr+DMCY)j;src*(7WlA#|dPj^;yc(N{Od9XkA=qc`>4BIe zMj|JH?1)5v2a_0nr`QmuxDf69&!tqe0hXI%RWj zMc|-QekXCf7gDTueRsLvHwc$Zm{F^ZzbkcMfA?KOIK52I?Z6ve=j};}N_Fx`(h3M< zKhX{KpT_$>;Top$dLu)M?zej#^<950_usC=3;l-q^Ay2;`JS6Fh>o5SGf_kCV3A_) zUSu>7FBuy$tJC|SkIyp-^`YI?&id{{$eSkiIpoO^!kj`$S8(`wOg{;4#?g-FJQ zgnJ(qG7&e%eambhTA<*j%P_p3t$4O`U)(Rvz1~9wfA{`K<)gavT+h}~FQ)Tb@U^?< zf4^Yc&-0%D{TzfXYbFpg`TJ240`1sKXE=Z83RFA}e-G)h{Ixk5ERw|r-8H6b^Z;!* zRd|@13#RQ3X|XJ=Xxt+2avjgmbG zdE;-NImzv7Nam=P(T@%@Yqn~z8^0{tePLwGW(9k$jU+m|jM(TL@2w=?B2ZC*K7cr2 zn3xleUEQRTyt9RV5LsrbqqOzr_~DktEhy^UJuPnT3fpGM2T+U-*E;RAkdPG7oZd2; zb09oUtyvOO+R$Y*^_c6I$Ke;%G|*Gf(ByhMsxVh@C_-|4J|dl7(!@O8Da)z9Z_fB; zEvqAg_o291X=98cCR4dFP3?4yuoJLYM&DbuD7M-DsY3%*G{*AfV!0F$FG_RG6)+ZZ zw*5&5a83MOu3sibe~j(Rp@d#OVkB@FIlRa)u^SRc7k-I;E>f>h++`0D2@L+n_xsL2 zeM6z+M3T8T%jkcG3OeEEE}5^)8S;$WmYh!8b9{;gjYU0z3z&RjoJJ$*wB;&%KZO>%zBd`PZ# zjD=|r8~>}=e3i+9_&7cV`2Ex*Rs{F_CfPFdZi0ePi(mJHh$;xpeZZjWcC8KbFNrlg zKJmP}4fv(|Y(Y3N!i+j~{EA1lHp0K)-Q>1lkn`Nu(D`=bUNwIg$$Z}JhP!_+$_W}Q ze$>L&-@qQ0?u#Cx&F{H3lgyD1gzwyP%zhL9ik1}&SH2);KPUhag}-*jKOjCJXT##^ zjvfCZ{Xrvxmc#7G?HGn({gu06MR72MU@sp>j(E;p7!`c-%gi8|c%>TtF4+MJUmW(? zW?PM}vA+i)O%<*dR@SP+USkvo^NZ`sILfd)_xdp#g>-6v#X~6$DdTsAw`!e6)kaL1xfO7&--8q9k82U1bCa1(YY4Ec<0K z@hjh1@YB9a<}81P*jl%Oed4$5iQd zcA2qSwsyyyA`^Yn2|Wm5W2~tHB4~1s2MUXY@v0<(LENa94~zm1BVpd+j+6eLhrYXv zhL7zg{xUIj>SPAlnx${d;_>tyke<>Y(hN_$NbUpo12GGEaGFEJKM z;uY`l)=-xdUcR9v+xm0D zd9W*b=317Emd@-U=@1-f6gA)Riif?an|z`_ zf#M!sonP)D2PqgOf`R?0VHfB->*Bl0P9PX3yzlW{y}!QzWRlFW2Gxe%$?(%4)Niyb zqOp2`A-*f_Se9!M7VQlNiygQ5Xv-6abU_>v-xRM3h#5Tl?^LqoXYAv{*1EF zCD-SjgaKIquB#<}n84nq8^6J>J(t8Xs-1=Bp;DMF^&sFU#pSYUPb&CyLMPs;(8-1A zl$>T>vF7F309%HUs->no{YGtyfZu2x$>_zT&9toQ!PeX3I-D>UW@c>Yd+>YS_2l0A z;q~7UgtogIzwMYEW~o@7IZ~x0cR>8T1!ut|jwEnrjeI?W$T!u+HZI67k+@5TZim9U zK1#mvsTy6K=U-9VdU9*t#<>)!tua8?=_r-zAGfrBND+iS^ zNx(O3S5_SV3TC3|+VC?n{bL+&T)GTKJQE=T!mkT%IpS`UwN3Lva*RWe>ER-VOi$m@ zndmcN`vhw|?xDVZzuQ0y7bBL>@o#K5DZ(v;gXroFs_?f6VK7y6Gex_v*xdl5(!`*0 zNEe?xMk3X&Pdnn=W4WmF6YjuVycAflUTuO>051~&A*fSPOPK^kOWDSd0)Ntj>r1B0 z7+=(jc2xUz*)*G=lon|5B~+%S%QvJGl^B;pVBLtCUW6@6`b++`6y)8>UAGe#b zE_d@lS5&45gK&mDye6fuio6FD+ELv-$r0F$aY#$4Qaj&^cE04EpZ1VAATuJwosmY; zfKw6Y^`le{!z;Jr&EYiRP1gvb#yf90QmPvoKk;PRdWKDAnzQSiT7k@rGhve%=kBd6 zNjiGHG5>({5Om~Mtz4d;c%Vv_4 zi&mrCnP9?h{+Zgiv&KL1p;Q?&e%D<5U;f35xx}A7k{QoPTrHjg{T8ZQ)Z^6y+QpeF zLf4CL8%^u4kCYmCE}vA^9a_#@;Tydnak(xxV;Mb?b!JNg7XRJp{c#EFAQmKXyIwQ0 zufJ}y_$ogOa6d}}|Aog#{UDl|ZFf_5S#WEoklE{cUZOL<;*eE?t&h#DGP$v>`CG9m8V?StaU4?@u2o`kcWkoCFTa^$D9{qzsC zrcXmi+h?L@3^0AaP6r{-yf_#!LD8EvzVQ!F!;rHNpc87q2M>ykUz$poojah`8+HvL z$%sPEMnN0GXz?5~K{tS4*@C&fDcpM;GNUPnIlZ;Uum6`~{a4a6>=77$bG9bJ)Iz%= zVnKt)fm1|43!}ZC#KO+2az#`=)R1hFeK!G}xm#-%$z{+k^u(W=!2T9<>6V(E}eVctWL~p(XW*JWcuRMcf0BXXvj+Di_E*BP6G|f6fX&5D2lxJ}dOwv5IPanz3oMh~?DGPKIBun64VgK3%+HF_RkEEqR7)?As zuR#42rG>-j-%1(yP?mv5l!B>o*r4_d|)6YTn9VWa}wK1N;S1&Zw4UEtZ-Oi>M-bYrG;^P2J)={t?Dn?=YcUS)<T8}smL)>ix1T`We|3w@~JHSEgS>LOmT9k_3 zg2tbH3-ZVIRKG%S3X)D~(cbDWBpt=y!3tjf<-?8zr4vDS34%Pjwja-Hjt*D+2fAwi zDK3qSh-A#(Bm&59t;HzpOvHcqui=FvgRiHsbEJja_^Q4;orLP(G;40NV{vbm*OeNu-E6IWDonQC- zf;q03{-=c47em=(x~)sqsV)kANNoXJbfBy0k{}7GeRrUs|)+*kL&G&3Ow#U z5!T+%Cp1tZaOXh4G3j7~5+oETI7Z0UBli#YNkm;XW%;bmd8q>j!@)%9!`SL`T+AXhmXQBhw`xM`)b?0_YA>{Tn2dK8k zRe=5vBDSqJMjw&sVJ)TlZ|1q*-$a+`w~5!EzUn5HE-M zs4C?Xse2Ii1Vx7&ZBH^6Tgc#RU`;@TH%5srR~#&I8slq~(8IQW0v8J!ukLVN=B~3C zuOI0jk!Bydq7CXf?IBQ*dAWzDE^9i8EHZg9YEmc0A*zO@3f^JSSipf9TN2x)p7hzd zMN8f=3|XqQLzrkdJN&jT`x$oPRt8}7qgH7>*oOJW;FP~QA~%4vq=RXQ2y*+FhpbaY zd1xQd;5=U8Bxfz$cgehC@BvvW->9diI9*tKJ2UcM1%0he@)+W8NwoJ0)wEuNQWAHZ z#drU}I-$$7{GK8Jp}Jf0;{T6Vd3QW_bDqjWi@&u>P!S-|^R|)znzF6Hae>S5a&z<0 z;Nv*Us6hst1;%Pw&XOkc-!h63ypr+UT$g#-z^k1E%Ub?(f6j)rZ=`3(J7OmtG6DD` z&xm(sm+yc$#@jbIe(NfO)5QIpLq{EE@QBB_vITr4fzk!83B|_n2Ed?;bO9c}!R7rz z=zKw_cRLis6KTWd+0$gn#ubE4+vY(K00RgDpcnDv`}Em5;UI0Uc@cl>^?Beke>cv6 zhv93frMKpr@0@5Q`TQ!UBn_6*q9>5v4_XPwpNm?&?H1wlYvGiJ{x67d(`dXhrv`F- zgVs{}Z_T%a&|_Fm79efM$n6uUZu1Y1keB8fhWqg7CK``ZK6f881GbM>@8Ts=C}Rg` zv$Ta2|Cq@OU9*A5{gbs-eYLx?%vP^a!UMqukEHkTN(aB}P?Mgdkds)s&EIwLNP9G3 z+NdUQD0%`m@zX*#C5{pVA9L>Vn1{@ZK4-7>M!2 zmOPbtM0_b%{1f9cEI`F*AV$k(FzHwFloKRomw699v9wj=rbh@P@u%7yWwjNqA;HOK zfx{jP4fc1KQ=c1;b}bpuBn^Eni;X0?*rp!z7*HE%d=P||>2 zwE2Z~9(3Dc1;2^dDAqTfY}R@6JkCF&)ky!+Jr;!^lIUMYR1ME_I3H?n9^C@pG2A)r zijf{Z^t#0Pwf{bp)cAA7Lb_}T6%){YgbQOzQ&s_f)Z1=L%@^-T5iDa_2i?ynqQ1Coynf3^AYooDf z+1K!pTrKttJ0I3f0ETBU-K#R89cL9p0vOC zi&4AoQ@5MspkbGt5__9*xtD6UIwBq4OL}`~q0-Ep-K2vQtas^F;r+e&lhGAI9dMf}0y+;1~l9@>n@X;=|F zaC^iiOKS7qWh6P+!Q(B7Ea~F@?nz^C%frQnhpXJ-_@=-E7Ux1LFF{9E<|QkYr&yjl zOD;FB-kUT8VDBKY69kXbd2!5t~ZlKQuZ)p+$T9SDlN5nyi67fYDw1I0; z8h~ZeefN)^8w5!)B)0ae4$1mv68yDHfl2jiK4THoZQB_awlwuAb9WB6HTsd1NbyUG z5uX?m+8t+Y319EBSpQQW&egWZohSGk>;uY66j2_XG`S0JzPj<4KHybC@!pXDjU(bD;fkyu%GFETzrf-FJNts^Z)O0o8=FO=Rib*b<(w}s zaGUzFpY~bwE`Ri^6rR1UWJ%jBY7K^d5A@|$Ej&v*ler7zpjgwgik2$bZmt82Y1u^` zz&!lh+Qtb^wQy|%@2N_|q-q1<&)ugYty#<3!#+=X-A24(VlUnvz_t3kBsfzPqK8!B z0_-c)G&ahs`Lqi1+d~ApR4yvZTkavEs)%Td5sl!9}{_w-u?1UO_n$4NjS;`%K zX_$7&W|GagS(Jg>mt{C~U!QHL0|%nJfy%f6$&?}%9BPH4H)Cy0WmW6oK`#v)B|JE6 z%v~y|w|XW&N~9>Tvbpr*Gq|$?qS*XFOu3s#?_7~f-l;iv!**16Q-U*Nn$flHSMBY8 zVCmTQzig2%Az9**aOjuj=sJFS_M@L2Dr`p(7y)jDgd+EwAbC7zbz53xz-K3*N|3jA z=;Brp5W3zUwZUsb-9ce*k5m$(cFxQ@otvA4@AH!;v}xV#wX5>`yqeIqp!ycp?(h~i zr!%rU^>n@Y;&O73#RE|rW5@f+5iFB2Ez{mwkx}-61e6Mk1}#_YOtg-nV%Ac%t12dK zEV7mS?Lw0Yy3rx+?;SIsbBln)z&FB$MoAO#UB1y3@gWhSpd~H33VX-p6pBn+HByX* zkmEw&Mw@(A2ciHs^68wt0KWm=^1mXs4%{bS7W@6h0rtBWPa||oUGf*mLE7}2Q1#PQ z7)j03e%=~~G#Wx*WL;B?_2TB|5)LlJwqB2a(m?W+#qkHZv8I^;9ds-nNYMn12M_VU zr*5rM*Sya0Ypw7)uyxL45%KuhcSc&vN~QRLA;3&csNBf)UhfafduA)AZby&cSLuip zfw#?srEg_quU$lYO@{!)T`T%yX`b|_{!Nr7)%d$dbuKYJ^{2P8`I_iEgkGM$){M$8 zZsqd(dO(X4lmS*f?-mvx^h;YO{7`WHFcKu&hk3m3UwbFLTT^8%ZzRafui!RpNbRmG zHunA;asF*m6bJFiy|=$y=qFXhzrLOMmeWkV1gmFCz*~@rSMB&q58|UhToudMD7#Q2 z3vKTHSbc^a=Fl})qU3XVFS)B~+!mkI_ulD`edLwA9NGW^>!8Ww@jO*yDL-RK$Z?(e zYX`Nd7Ngxt_!|;_oh_AJm8Ne(1#=2--p-XbX6Wx^#Tueal!f@&>sS)pqt%5tDI=ey zO=>GAdUXF0cdO`AozQi?!?ETfAJ2`@@GGq8Y$@UtkbJ)E$!ycQ?34xQf+PMPXewtjaMhuoDF#NRvL_S5b{a$kRO7sPTvpk z!MZWkq1*Ar2chSxg?(;T?9!*b*~cp2zx!_1dMg0wjQ}R?c=DE9kSx7gX*f0gp|_u| zQkWS&|Kgws@-53RtWS;7gQngDQPunXRr20wO)x&G-Gk#vJF9khiv(@yr0ajny3>Zn z>WNFA6y5zFy2dVjie2;g@I86yt{;My!C2BWhSaCfMAfx~{O zcF7c}y5MrILbK-L$I7Y{Lqf3ZrulDUrO{|EVj74$8b`9wJxe1ajENnXhDX|YPY1@s zRUv9ZoXa~#WPPe_tNECjB=4c%tOU8gjKEJ7EyC}mt87yeu|m#Z-R7~i1Feidze_5Z z9ZakKWG6I$28$CM2U%*Zo$NcSDo4aUto!1OCrkyqK23J8nLBCsc(8wb$^v`U39pxU zoSuF6i;rdeXbVs81}1j-hn9-_PZ+BNnmayY!9-Q7K~+owUMNA)uPiL&k}Xn+0xp~f zxram<+WQu1e|s7Q_G4yPC3#?_+f}-)?}s$o9!y&|DcgmvO#kD8QH!$wGi2h*Tg!j= z<_mv(!ktYKnB&LNIr-Pd(6ACQ_7#JIl7{?JU&$n?%SU&<+LCl~c~X`BaV<`m@Sc_m zjjDl@9QCYDmnIE*_^612(9CHmFmK~2HY7Dl8{&d`bofg~f}p<0QIcSFdi7x$zOvv; z=V`i==xvhr$M&$-y}Q5CIS_aKet_-j8cAr@Ivc@|NVhd%_ATOOFQ>)TkUZTNUfCjt zFz!$r1CdbmeLQ;?aZaaCb&0D`LQ>{;IBFgBvsc?` zXuau;UXOuCjgi^E)dUqNdS9pV#JiIBZWE-~p|;+=57vY8&})~gvUq&w8@{6+y~iIv zK)2-J%b!iYoizG#jbK5qdmWqeev^tWt0UANvC%vxc;CyDcav%dClU%#LPSq=8c9Nb z&L@^Uu&>q=I{pu>*E7#%0(>veQLFZ2A*ypxt;T(|~dsV3U}BVOq!rn)LRlk&9C z`_UkKk&gij?!)!t_+C!Sd%gZa?YL=9lHTpaX_i_&V}~O1Z%);E?z)95`TDCL)hSl< zMbi z)|dyU4`b-;t{;*P32s&GW-2?#esdIbw{MXbTJRH7Wy6XW9F{3-9VZ+jl`8xuRadpj zL+miKvbo3ZKeh9Z4~Bui0xQ$8^5CE43+#%cJXq4{W*=3!rYTgb96QiZL;pmEff&W& z?{LKD%kT2=lW)A9Eb&WsEJYY5Ao~=W)Ef1h`tpw|b4}Yk21bJzFn)X&-eu45aQ``U z-$kkwNCEulRmUP&tee!VdBo}7!-wTeo#(=eZd=s8rGX0)tMmiG%0Ub>AF-&%{%h2y zg^OUkCcFB}cC1yc$+14$4z(7l)*vo*zJr(L#@C_Yb6~D2TwTPUAaW9X0E1}3S<%rLh^nyg{J+wmzZ)XN>MxvzO#}> zQFUsCx%pHw{znGrruUa2kAcBdt);9|+80duMhpRi&ovsou$ss7^bmVR4K8W;r2-q(R zVRu;5U{|0LavR(uZP@QtA&naV*q6>#R}=Pw>}F08MXIZrt@7aDOfcV~-UivznYIVV zG3>IW0g82>?J%cUX7IuLRIsxd&uCE#S}A@*Z+^irURG250y7kwg|BkO)9YWJ%_t3v zyQuXn_hPv=hgj~2Fky1^nRV&#c}BmS=m~z1oc{7dlUGTg!5R?c>bO^#aA`4HD)4do zW9N6;gpvfrYCZV%d$3dFZTKWv}rK zkf;+hCyV$?Cg37r5CxBh|A(_CN$ySStC3I_CnGD8AdA8c5g!CDQ}q`6*+*3mz;siF zQ-n@~JV|@?*)nP3i#2>fi!@23Mi7eS025&ScGA|mX+Mlc{rM8qRd?4%k9PO<(~6^r zlZO%p?tPNz|V{u)Gy(jKdJOQa}rgZay@CH4=n2U ztdE3xahjB)UJrcBw0H=QI4R1V=9#{L#}e=_wAUSipXeL;XrMopnXjwBG)%qLyiF~Vin9s(BBqKGk+WA`lI{85 zNByQP_T@91xp|3O!uHQ6PPMX}K6JkUqMJxP?1)XP)ykmK)$P;YT<$TZ9t-Hb`^%Ng zZ}b4xXV5MU>e;%FYpJAyk`p#_VqAtI9EN*!kl&za2Mk1;{+`cO$k8$>%6b%1Z)t-! z+U{68W=(S6CIpZx+vES?rg+0y5;WO=bg#{gKB&og4CtIlMR|Pz4BKRRPJoHQn{*#- zjP}T0Y_(tFI8w)ELF5Mm(U<3gf)V>^Tu*P!)AJ79-Dm5=z1Yq@%ErG#t?V&CeUcFs zl}dEpDT}cTDb=ny4_!-*5u_B&xmv@MMRJ@h2=C3-e0enBe^I!&x^|!|X~c*(+7>x= zU|#KdV_?{Am7F_EGaknPO>3RDyKgOG1V2iU;B20ncV_d@*H&=B1#1FgURwU4t;NIH zNG@VuEw;$D0ZO5NCJ2=T+!lPRmzua-ZdP`BYce7eN`4&Yp0pIs8{>7OUtaB{)^0Tk zRo^7c{69K%yAF`4hn-V5sX?1BOTWKglW=&ns=};fmu|cOKvQ}Q1@Qkz&F9<27i%T# zTrxv~%C9Xiu75O7dRR!OV5TqY{LXM|uPmOgl(g)H@L4?XO>pbBg~5OJJ-4v8IxXp6 zdT@lNeM0n2L5I5Uaq+Dco)6(kVRbv%&;vRpeEq+w(GjZ3sLT1LT`Kkhg zyQ(^TZEX$Q_R9_st*%JC0zS6{#%;8gd<=j$4sm@7HP&KJkQ)H(r%GSo`zm z3os^cEh-K7^EcN=V?)T;2KXmC2i+|$+7Wg8&9Uvll`B8jIlD)~;rlq~J)NzUOX$s@ zoN{xzhCX;xOf_EL@>s;vGD!;ERDn|D$HU}i;G+G!!zOCf;_UbUp=8y>Q2)%(n$^Z$ ztiQVNU$29lUz3t+%4GDB2Ecg8oLMWT1^6ebShs(5kDB9Dt|n=2+*oJ*)2t9>3Eup9 zaJA_wLFrM0%r(!gE=%^~JW8+=whm~5>|SzR?&m!VdGIvc(wW0?nG(YrdGr1}yns>n zrB&VW|5o!Oxpe7e(_KBKVNB!gM>r*Vbs1)Gye<45+&DUyA+Jh)ng+1+BU80*Z+DOS zkF5DOE0v=0XPwj;oEG5|!(=DBJ)_QdH!HT*Yf_?5nO2;9`SqCJ#9OmumWWd$RktFM zz4cw_&|0Q58xQwn&!|;hHb92p3ph76tB7_ixZ+gkrF)rpQSlS5NcaSka%?oz-*ggk zyrY=7-it{;nAy+$b6qg+8t$ZAlO}*Lr<8BqoYC2C@Fd*YbXP=j{o`bROEF|ikYO1KZMbT!xbeXm3N>Vew+k_BL)eaGaJs1tjS)~ww~tA$K1unUol)$P0oFCN{P8*!XX$g zwY0OZyRxGyCg?VB4A;~1Yz$XN*uY~-063k$9=EzkkiB-iUbnj1z+UkMoRqenPy$y& z*S*=-5v@2xYm({d^;NvgC+K8kf<_ao%B6i)za1A{ny+X)dFet?!jZMX! zv)ipD-){5o1LE7+b|mSZFYqLgk^x#ITSIP2ve$kv9~9O-^xw~RYx2KU-e0PK2dzUNXL~pQyI!GVwaPaMC4!Z0#s1_0q$HiEJaZ* z_)|d#_!$||ij?>@iBtDO(ZG9gXNQYG+UOO&_uoBz9x>6rjZh~^Z-7n>$g6!9p609G zIZk+ti%1lx@bsah72T?}U^4UcmZTMRT&S8pIDhYBy#a+at zqjQ+AdKnz34X(f#GDj3DUr##goKl5bfaW6$5{<9V-=CN)R2{4UNxPoOj~y4FJ}E1E zM?CFBH3aE*EUjjb(4YYfm!Iqi4fNJMna(3Uy>1AU(@^WH&ogJU_%&{o@OCo0HaC zd7&r^8NAxbJFgpgGAjpC@dQX*Qem0H?Jp1AKip4fWBm%nNEQ0}YT%A{x z@7a?k7w`sGGa{>Zr$l%v6KwFUB-=%l<)H?4xp1fyVFZgoTcJU9y_Vwk7WkC2c{UBW zuky)a-h|mZy$^{nE!@}m&#=5yc!~~u%)L_R_!e2CmAlQ4+f_>I@_Rp3k2YoZHtI(r zOm;c+M46*x$M;=r(_qr}G+50AZTG9!na>eT0|>JMm{@F=w;LVSIStkvlJS#3qPZM3 zP{EI@sLYtIFPk_$gSdlJp!t@8#YJb2`B%!W7HP1{75!M{#ID2<**G(B>1cN@4oea8 z&@J=&hGb#M{r#`zyIl3Rnvb2M)Q48w9oWg;ci(zn9Y%MZjt(w6B;TuNr*zjT5FX-) zk}azJ6UYS1&6ws4$p{ECU4u*%{|>C-*_Nq`74H9=xyhmJn6RRiA}k4%N1{1tj|#El z)Z4{!S`Epcn!}4<32!E9$y)WKZLH|eeT41$(qU1FaIrjEXZ8aWUuA88B{$C1L4w>x z@`OxXS~lP+EQ5dfqHF|l_buz6=o$p7q|ta4z3m>i(>vm$mZ>0N)YB;ul0pA#$HvFN zF)ovH#CXHqq1920+zQxoex=-pOgHK){|swsMh$Lnw@V7QYAnT-X>`>?swFK~(I4fs zbWYwHNL40uvG*g*j8WUFEaAH)2^>a!NHhJeUBUaMT4VdWAgv?%MO-^xcc38ETYNZI zRf(yU#+BjG&9Z5tkPK5p-v(xM%AWy}iKciuUR*`_VFf<|P@cA8kBT98FKmv*DZ4(y zl1xx<&oM>q9O&-uz{{q!y(YE`gs_H*pDZ%a16t)C^4^UDh@^tKHfN%5;#Uf&q_9O9 z*FnnZt7Znc1FT?3Htzf|R56#9e`U8k!XMH2x^sOkyC;BW`7lflH80h@qCB=;_k0;c z;l66OVW9%h55FGriZKv=%Xa)Twzi0{sqr4Bsr*K)ln5nYKWVp9NcixUigszZ@kTXv zwO*({3p_1RY%rz1s1%8EpI3Y@ZVr*Z-Po5_3sT~m)|{XOT3 z+Lz6su=u9Iru7?lA$t4eb6D5Ju#v1I@dMYu%uBxHbM&A)kxxW%6?POPOEVX6jK~tg zx;mzxLvI$41OA^RjG%Th^cpv*<&H@E*KEICZ|0mWIRbVkPJvGv74H8|lA7{qKcWV=U_0Sy`9(Lb~}b&|*9{EWI#bn^-9;k_ly zIyxQMJ!r00Bm?&{Fu*n@T~+S2T#;gx3u?6-`)LnLJ7#rd*=vPeYsMmNkUnZ$IE65G zZjjCA1`pK7KrzuPfAn>_lQUX2Zj0N^L7UQjcl0s6Gu0IO2xsuVA=bmOuZ^SwA&-~{QyoiDIGtZ?Z0_hF52V4?)UbTC^q23G4|aP$41)~x zlnc~y8)$2m@OQ;e5bB^R;#s~hmr~X#L3tz+flaREvFHCy&{hghHGnNX+mvxG!Gz8K zj@;8=EgDO>Jyb#w$cCT@Cb=t1%yhahc;3)f8SKk@jBxGQkoQ`?4=OQ3HZ@Y<{!>?w z<3~ZdCrYZpzG{YNRtneh->5hgkHJHBi4qc&dr~`H!DgW>-$-SV{$R!r(k=1R5{*`~ zmDKY+J9UNM0WakFtHOD-d)1G$Kl*bePY~MnXK3z}-Ma0xmDibR(nhX+IdjHY z3uoV3i$K~Sj8@T??p%C~-W8Qv+m1XZ>11LX@d$L}K&D9El{liA06&*Y? z6_3gX5JfAmv5ZkWJD?7-T1D5ox90WZl@s>0W%o#fEDlfOz(Sc<=*ODkeu9r9Mi8NC zb4w8SyY?93BuqePMyrML?#nb7fB$noXJOa;`kR;E;>7VKhq;r#b*>nj#(wTcKIH>O zPwwr~49-Csdc?ptvgqP7BR_Jb!`h_5-1r>!}}v}eWYd**l} zti?iDP@WvTy{)|SqhW4@*u!2qRCd>E@*6q2ltX23Eg$Op0BePl`xQl?=Id{3=^}c1 z^@6Sm7Lp;UN8z_Big$U;;DY|0+13U9SxYPtdgZmFeQRh%3C?dFCxk^M z!Nqvhmsq{-pEt+qQT9!hAMiM8+%Q^;5SA}F!uc^6;96z21#;qcbr~rc?B?YgV3V<1 zpflkPNRSsTWCB**2vU2@se4t&wAmg0O^wbaAuOokCL`z+2P>A)4PYxd?0_C#v^ufB ztC|Lz>}$jH-B&l;&|a2F298#VWk>NW$vvL5TKJ8kz`JcBgJhRzim%~X2 z8x5*Ky}onAm5|PaL-kha63E}!T~H|z+<)3IZeqs|x-CY#9GAv+uHrX@-4eperEyT! zAc?&0&w`8z%F6v`jtmoV2#cO3eEZz8kPI^`YC5UWNGzJRKi8paG78BEFMwfdo=X;>3f4Rri$c}0uJ-)G4dZd? zhJo1u7O3sv?W>9j=07GY$J1g3Fgg>O%3|SKQc!-(*woBKYpu3=nt5=$7Mu+dh(rGp z=3`Lwk5>YZsX$GbIi<;Wj38anKXkwZl-B}1rhS*YdJ^Q5wS$)mnSP0SV814frKfwS z?+|yre&o4sPqE2HOE86rcIMO|2X5Di(J^_YLCR~nFy$CF-#3-E{dwCvllS%a0Ysd; z1I(7I{WAnksRo|cPkB)6(-cPEA4$I}cs{&t_3+vn;%j5mzg~-+znGq9+ISCK0_Vq9 zW5j-ETl3e83>U+)16olWhaH<1E*o*v>sB13g{`l&&g%V+-%OvFo?SyG8@dStN`ERv zAg|l9FQXV_Nad|$Rl(nvOqV@>GIBlJdXBcbs%^a}0`_A}EHmlPmsYMtQ%Kp>j~m(p zlA5q=lcYm{dGMNkPUW$jtWfXW+qCRO@; zHh-mT&kFjVZeZL@=f*Y7!KQq`@%0wdg;?Jnw#PeLW1gX`@|uCXzqH}cam1rQS)z?% z*~!Uk?eN2G{!6Q)=DeIr+{(Y^mMg&U_nK?dIYaQZ=_261F=ep_7wG)TDqglyrpIsci53)0=Vrxk0Hw0z*YN6fHj1+| z2XFPSypVtS;K~j1H-A@-8rofHBaXQ=|E&|l9jB1~`mGyuhxG@SyQ(1>*Fh=Mms=42 zT3P=2sBH6|CLsM-Nt&rC8G>=SxntTB;*)~^)B#h;*nqf5k4%=+`qwTg>EzKrv?7`U zBnXzr)+V5ER*|W!URN_+$w0!esc*w0Oy`c*m6U_>sTlQWf0~PYZlk=H4Xh~^C)}47 z5K>%4v^C(YoCXu_Z+qwC*f1G4tGkLWb2lh!(+Adhy=)rl1`rY<=H|yiMRE*fOK6l3 zb~V{u`w^nCC3>#YoeQJcTqo3z=)JmCwBNHbJQP1S|7q^pV&M0=9PbR@)hwMy?Y?84 zY!^D^#7*~VKoc01PqH*4i3-$8vK$<|o0omvID9@&Z51%?S(xW|{kpwW_H^Ml!~R78 z2avIcGvap@&ne7bxU^Hb))oxNCVbvd(j0NuGXUysW~(x4L|h>4^CM+Ere5T8eoJ?G z6l2pT&TmrrvX|)jOXcfDtE-snlkDqL*~?Pk((P)z^%C}0|7s=msj#wg=W}~tPJ;4@ z7uP?{!0%(QgAOhA0KGSr%?7kHrN!7~WkBjI;v&`Z#JYtnF!k<CQ~fG<1AF|(V681qs4a{|FFwXQktoDUxs<%yYXI1LPyt*Z}$ z7lGdS3-3dEK|0|y4mwto0#6^iq!FD212uNfCz_711Q$p)LTy7~m#YAWC`}}RY9>Z| z7ht-Wbaf$Bb!r_OnO6Q=X zQkTh>w=PYL{!p$95vf<(xHYCwyPe0ZU7DDcugfwjY)E6~yRKJo=;=kP={T6c=N_+> zQmG5E6d&dp0@hzFa1|s3a60tRLHZliHblG+{yTj=a=K-p*6o=fCyLv64KC|RO_&X4 zU1OW8;8Vbp!PSq^2j#lHFH$+AA^Ge1${_}7q{O9(fsD@>M%?hn%+AWcscjg2;wlLJ zvTWjuR1pdAsMPhXGA=j-v3kB&+JqZ$AZaAwnyUaGhzc8FR@o$;PSNo_5sxlp{}(nQ z>>n=g>tI!Ic-W%0(R62{kewtyJxf5JQ`+gf?wKIB&RJT7zX~ZmIOE?EUEi!M4(Yc= zn323rt^&tx12t{_#|3LN;0W8uyp6Cf@vrpZPc&oti^Z1hlr~<%lSclJq-&37>i_@U zPzp696T+5Ta=+w$nVGo`BZQRD+>&cKeor&**Wj?KJW8-zh2Mh^Ywn8cW(=9MHM_8_OcYLq>&F{ln}tjn_{H#+F@bRvl_&GAlK55paArzzCj zIEzNsD3kIsy)TyV``6BnOAP{zcNus**gvE!yOUNI8u?sxVbr<=vC`@4^Wiia837^X4S%~>V*8`^jNhl-^xCkO z17qQssC)JyEcS!s_+uB45U=uqROKv&& zoBx+S&3(j6K@UJQX#>i zX7i{Seskg|ryv1y&SD~qAC2t34|J98Ke;dF;BY~nJ-c0-uT23pz_POx=a~22mwKkm zLIs{oY%ZAPj|saEzi zn}Rn!T%9k~+^^4fOA1gmkbu6$Zhp!0kFj^F+5DV+qo^El5ovb;=r_R-*pt@>E~Txl+W zdSvD#<0A;|QoP%09iIi`7}Rd5h1*$bY^w5Qu4FZVkF>eNC3(hEm0w5tZ$7D0$cWi| zs{E^7z%cG6BR!c~2iEXRT>G;8!hdmjVeI)w94mK}o#X#hWA~jJDvbBPiU@U#OG`u7 zapoToy3Cq>qV|Bj?25gLW(B`!=zfUWL}+v^q8+fClvS73?B9rj#W*Pur%gPk39+A3e}Lx;q~*S zQP%rvxa2eS^;z~s$>`%G-4|}<>h$N)9zL`Ha)h!fxeb)zm)%`a$w-Sk6wx~EOg-#z z8MRl^+86&iRc~r8y#!swQ+W}b^6U$Q)Op2f(|u<>Xj6x-fbKo-wa;g$e|Mes@q+w{ccg@03Bs>p9E7QdBH1V$pAt?6c|j zSAiY|$0F^V49r55;aI;$hu=Y)h0C!03o&{_WYL;AFMpwxLeUnR?mnm6e}`}WZo2vg z`wito3%*VYzHW!sC#R3w0sb!QiN?Za)y@2IhWJ;kYs}BY7^|Q601HicB1bJUCa;)3 zQmBbK394!5f0wdZU;-R>1vp`r|E(1DIpD?{Psvxe`@hqoY63rk5%|qX;8XBUr^M5< z5>fjJ{BCX&4D>+7OStimN*a$h)vWTz#V!CF!N=vRqfAIn5ic4kSx=w7Kk&`-#Qu^h z@%#sTwuug5;JS6ymKcd8KAN((WDj~+F8+S}JHpS7j~gEU?)WAqeAn@zl`T(w8fD)g zY27KmMJw}@{LEdubvR49igk2AD%cleC?jdyEA%ylC;WNnpc+fuc^)23KK7d%94S2# z`MTBdo%(3yi_83K8Ol1oqI~;TvA^BDJGsM7VLb1i@3}YyA54Mi3-2jTy36)!iH03UhTO{bTZvb~uk6<=a4bTVJ}1vge~*E$ywzhVQFAN| zt6kPfG%xu10vI*`3RVv^Ex9rFZx?;s7 zHb^tT_05QJNE!sZt zx9T`nYwB0EDT!P{CvNtvS{oC@*RHbAR{04QBaAY-zkdm#v6*=JzF!KI#iO!dFx4@f zra_gqtwJbg3EC*9xyYkWRn9sn9mW$QjRBal2|ycNgX!9d<+{A#Yj%0IH)R>&yD2_E27Ywfguq3}6o;_R#9A_Ax?{E*qQWCx_#c(22128J) zv5)S{a(pg7smXzaH!ZK(RiL?(o;%cpgY?J3;rbcUWhcC9oU9&57n3fXwm}Q`k2!sY zpcG}`-3C-ck^SmQ3IhsANQ6J-4LfaAy~2*3sK26oX*yVT=Iq;`n^jk(#}%V5 zM?E6OKv3J|xAl)R+CdTfKZ=d+&k6zEa9*wa*4m?h`+7>$+2ePa_s9VNtP1M=~x2cK^EOL&G@RyPL=&ow{E~Q6ol~iF2aH z9Q!Q~0gBmFhZ(t!o>xg5-}7aFcpQ z=P2VQ&fx*r0Z|k1PAi`zYT;+*tsd@TmG>A8Mc%3h)wH0|EZ?kxSQ*N(?bHE_lig_f z{HB^&2XFUZQo=0_4hm`Ia>CZnXB{4FD%2=+;vDupT5LFxb$Kk{O+XINc^L8T9ROo( z!-(AaoB~Xji@dOhEjI6iYObk5w|-{r!X(aNI^fr({EkKkQkONghBAnA5MNTD@2QB$ z8aG+xVg7+^&<_M_6kY>}Yw)%ZvG2;CQe=q>(X*Gb3kerN=8^8oA~_UGZTfehhy+_1 z+laCET6B{p2L;tKIpK)RbLw#e3{aCJ@PdztD;=ug3n@0Fcpi%(D_zX~}tMYcqN;Ub@}A)Dm%<7ph??NA*} z*))9khERa{?um}pvwAuUPbN4(oZjg)#`_+1>^`IS350W~F~JkLrKW335&;8M5va&8-D% z@HLD6By6c1x*sa(0R5~`b9b8Nu25}5Cf6^If2@dL^aI}9eRE3iyAN; zjWmBD2pm~m`r)RFJUy=#RgIYd`tD_{M-A|HGI;jmrJP`e9QPWhZ7mcj6)63+xP@bo zFP?}|e|u`|y<9%cHt%szt^n~RaFG|+z@Z9NR;pIF!s_xq*i6VdNMV3I`aoF-EtG<) z6}2X(Wzk<@%~m5nURKhfcpJE}8Jt5

    W65e|32z2|*jq6X3}hNnkCu@1Cde{ZMhA zZcTSU-T4*BjqkcvZB?W@!W-HEWBS(m>itxSQHw1=MPW9p)f{vZ%K`xYaOjQ$!Xh!( zRG0S^awYHsY=wpdoKsB;a^=1s@Q;yW$O_@RLQNG(K4;~00Kn(mJZi#!$(yT{;edAZ zt8|tZry!{{i`c7{+GXAVqa0QNyqB@{O+opxhnCcu->QN&zf}OV{~rb)zO3+8Tf7Az zjpgq6@Nuy}Pi!OW02)%RZzbF37$RLWA^5&Eyis~AJo85WIPn#3{2sNsboe_!2e0Kx z7iWq?nc;)}`QvXs!X~l@apPwIdh&f>JayuI3&1}uThK_02uH|-)U3~*DL(v8BtE=a zD?>^A8BpT_IZlDCC=@$E!~>M3$RT9qzT>zJPYF%FRs??7T_cfL6E{n-f5wa0q64q=Syt>!AmXqIMo%6$Km&NIR*UIKnx-ok6CigzSE++05$k)qCr0fgoC3%sIq6lR$c<1XcoZy zr+`L!6zPDFZ2`#rx{Cv1peILQ<=m7)&CzKruC{9Cy_WcpCtw1=qdo!*Xk5VLkKZnU`SA;&oi@ zqE{Ww;O(sTiKs+92r}gyV574-DBaQjtp(7GTZB)j72B4j!5``?_>-S)?V9oW)tuAlSMi1r^}|TnLLB` zaP1!Q$?ytTgrf5g-OWhuPg3@rL#u3+{>Za>rwGgk>1xd$=^moA@HdenaXZOH5BiIz zZWs2P-3E)ctzP{UAgBLE4r|O8&UWex3HI*U*R7U+w&8MT3PA}&lRU8FF)`T{Ill{A8Hu`oxtsCuKzfTwHP6=zwS_!)}y*%i`=y_ zYH?HYs4{=#EQxk$onEjdgSmh^hqNw4e@gfr615riLm*lo;e%{?fxROP>TOM z1gv~h%g^);QX^6&qRyLx|thvU}{3z1Fc z%MdTL_MMk!`?ZDau|+O{{)s(ja4e;#M2uA6CuAP7`m_!9ts?+OF5No6|Jq6N^)>6a znulnH*BL5YcB^<8G4L>_fEWBENa4DD4Mrd`BmdmSna?Y};fGwFUU^v&mlSuXhVmM~ zIp?g&FDnM+gju$E$Y{a0bonZ$-C}(!^Jnro#N*)rRAR!2zb>20qGuW$j6~}8q#bJ@ z$Zwhh((kc(yg|-H1AVtIIm=wjd`7QHU>lcy2F+h8~LL+a@ z65E{)mHR8~c-D|pZolHjI$qgOsCmp1Dsu`b`)kuiA3(|36Yo9_QLyo>**LGin&`I= z;#*cKPh{tgk%rNv&SH`ncKwZ&#_P#VfKHigw2yCcRM1d7lrp1JZe zmMJ|RS-X28ZWP4VU=1w6n+_w&UAb5AAz#qu+xt3N+&7)&+_1~qLXo{G>2O2!Vd^c+ ztR;g6;3-892x&bux8l1 zPY*WJ?_~6#2b+MyEbq298(bXce=0}k!^Q$U%v(ul_jDN_h|W-bTxiYI!eOFojbw5X zK^Y#E+m&|^_=7`^zlE}|$&S4|&nx2H>5eDuc_dQ4rw%)khgL4aeksJbE1|v@&G;>| zQ(;wH)KgeJDC>FG_u1siW?{qoBm-+YS1tGdd?{AthJd7&m4>xB&F;;X6aX`}ABH(F z?+b+KutYg!&t1~lA2yEmlP&NEn>py48n05;g0mylBB<+86)>i2?b69W0VIv>O-h-c zmco@RTJP)qkG)+6c~P8%oBu zZ9nTo<9AgYjgHL7j~&NyY@)ZW;WyNTB&55tpsce|>#34&Ql>#|BCb+8qb4{?<3Yby z%Z|u;;I8-sR#P+eND>c7)2}%BaP2$};Sw6Mb}B}1fGpZ3S=@iqK3WN-SsX!^T_z)F zm#%~N&ZHdo7`^kuGjXPAIVcClS;ThgKjyL5=>y1oc96~eHPh_b>#XfBneSVI;cSIk z)@A+JH@u?gqm{W=-wdW4A#~4us@#3I=*Y(i0P)3JGi_^kU%?h{_`K(myOd9u8**y8 z#o9vB;$97nb?{4WNnuU)eSN%)^cm6)iL+a3XvvrD>6CVBrsmY;hnER^eOU4mFMI=z z-#8>REafW>(qxzaJF)^lTlVotL_=WRNM*Y#D8j0!KW*Kc2;;o4`pmc}SD#IoJ3eA- z?41Kk^kylAMv`Udy8j7JMkPN4!8T$n(jQc<|lcVQb2S~L0x)6V4O;Fw`OzW zSlT}lyAx*%UIfAEREv{fDm&4%9mbBReRh;w2mu=pnaOP;X+Ql)2JpYvsuQgjeMb{; ztb+mTpKnsEKoKF_(LzyYS_MGp!lD`RQL5ayQst^i6w-+=aSdw`-ZE><5v`>Z60gXP z*NHT?32HK)>1|l{YE^BCdWHT#M@JS3t6{QK(XmC`vZ&aF8;Pu-^?EaWu#uzQA;yX{ z^PN-K@WO?$msz*kFdA1hNzR~$t5ux>aCD0lPU@56>Vx%9w_UoM49KK}2hE0vp9&z!=wskTr${V-YnFZ*MKAE~fsF1`E)xWah5 z48|6#wKceHk9EgKs;vo~Rik@&WUQLQJ?8RmWr){elZdPwf1P``91@4Q*ad`yL0XqZ zxCBDO@CK{!rqFi?sqtE{y*l4!KFr1k?9$UJg_|j~=7enxDs3L&9H!phQ7H`yqm%}j z^`$B!X$HQSZW${HBu%L!L@fMxv91-~Ti1~))KLWmpH<1oRpp)Xr78J=FTRPy!y-=c zv9O-f{1br7nKxvVh*Zu&S>0-FzrQ3R_D@f&pWwBF%{*L=GmA5739_wF%jjJfkr^i3 z>!o$yAbl}|?_0zC41N8RVEbmy_EsupGN86zK)o3p4a4mU*heSd>rGV$SALmM6-kYO zvz>h2^Nwy8owWbWSDtYvWVZ}9bV$e-E6ooGOI|8%L8-ld+2wCf**x;ohJYK@=pkpy z1VI_>tFCFMIp&}?kNVR_GW?@M{+p@8dbMjWVT-p1M|ofl)w|M;9O2>^7d%-kJJ8(l zX%68^G9samq9cb26DYT_2+w>R4wb%=cDv88cuXaQSaCQ>6r`D=vY=hGn1I7lTzT}c zan@*^rF4bA#(T3iUWQ9n?yb&y9ck{*GegQAV)eSvc1zCP*RKWq{qVtIRr;WPYjSy_ z1yBEpnW2=qn|<;Z`Y^dae(XqUA0GgA8-LmL{qT?3xRWx0H$jX6IKse^Ie z3hE8`k6JT>a5K5q@h2yyWKnGbyaC4TmNe^9N{z{LlXegM8pN>`v`K)mRcjNp!|f0n zI&xR1Bmg(@hBn+U7m1{K4q#a4YK#u{4*i~*s7KrA_BEspw6TaoSU0vyec!~QTkoE4 zgWHRi64gdD)nqs;gdR4J{`7Kk^glX#(b*{KTAkXE8z(Y2gVEoX+y>k%Tuxd16KNwP z$+=rXM%Sca>Ffc!*0+Hr?dFmZz_(q}HlzNTd)oT6PK5d7tRs%seFKs^}+BPN39%wuzR)^!?Q4Y5fzpdK~I?C$8AbYM01CpdqVIy zG2-hT=m-HjtI*4uJuaZsGj8jg8d+-*5N+d?@yuG@#NG(3e9@j}F~*xz2ja*Uv-s8r zEot!rY2a|s^3%N|86ne?qRU-5g!my^$b+6+TT;(u>~&I6ukr|#~S3_Eoh7GC(ldmv)$Ok9?#=jwp(%)Hf#0{-;2p*X` zhCJ~}I}b~46}5Y(?}#rcRh^ugoW0)z{pt!=9WcD0+dM>=J;AWD_D~KqK^OQ{JiGsN zkl>y&{rA4kXSm`0{S`$p59DO3DYJnzUyrPsGH&b!xYw4f~NO#mPsd#b2K?FdbZy=C5qT zUd~!bSn9p^fFy6txdQV8#T?~J4yv*^Z#Z#Qn zdA{1hHRGD2=6hp+hAllV$$g!n2Ds(aV(R@_+q*P%urPyCYR%^PpETGW#>a~NGHte{ zUB%L4%p7O(JQcW7mR`iUA(VEeHQmiZpG(Jl zZQ4IZId$a(%lSpn4lmZb6t$f{u%x@u*O?DkgT?8TTkGE3;U+_;`sP*2H*NiZ4B4fw z_CG^rdnGpuCZI)&HKfjGdMOd=BwvAb<`!tGs~3DE&w9!=LVnRgrg zXbI*aoyH=)t?6WSPF?XXw&RtNkCXBZ#!J5Pp+W*9qwXDiYS^^=!HjTWQR6WWg|X%b z#JP-Fz=uSYeVe|PSi}@w(W(s&J1dUs7kR3OF^B^&)_xvw_5{-c#Bq6HcR6{@3^(I) z)r@D1VTCIxEdS?rTfmXyhF{}#)GGy64PQA^yL3o@74Xwpvt(=!*}dALxc^@2@D*IY z*?OQbJQR*!I_GF0_@5mlQ0sdC&^$TlF&%1~t?T_~ndy{)ve*$W>d>(2u)O$*?PKcQt=39L|MJ9@+SoBrNRj- zP7VRJjTDhYoA>3$2r4)Dutv>6wqEKHVW-9Ae4K3jz@K_jEI}EmO=S;tjHRlo&?{o) z8F5C8_4zay=TdZJ+U=r?co@mm*#Jk>dV zSSa|kW$Xz`s+sL}Rr~jIZ*Sp}+16%~%NKE!pvsA6U{x#<$C@Jx`%ZvGOfpWw{K{ZV zaP5-%Ujqm#mM?bBY^wYT!F7mtO)Z8Yi)t02dibz1V7-@XS-ScGK!*8)-iWC6X7r`)>csP(Zb2 z6Jxm<-=xb%Xx(p9MJ#FLpcx~01S}*L%KD@p5u&0cGcG13=0trcpbP=~wVForBxM2Q zuo)M$7@K~h9TBC1#!8Mc?&3;bQ~!k6>&T)sPaFil6?|C(;$sw-n7=FmVz_~(PG<(; zK%X=DL6zjpmodE*K2y~%<}4cVX_mA>^PATd)hKQ;D%E&Ma}6jo6(+M#$^Vdi zb4h&{yCOWIN-+9h=o7w~;88gMWeL0xm_G*({c=l2R-GksN;~cyp=@dZ+mJWLD7rT~ z7Q44WtN8ZRsk4a1b5*2r?fhMFr=cGqJ5Lx}H~K1w5(Gb*Y0Cy=s(PY>#kI(>Bem9&Q5aw5YQ{;9yEvB z=*1nPr_CQFlx*)<0c^kgzCh*11l03|-+XbuE_cMwKY!<&Rgv`Je#>04h~bYjD`&EUv;E_yi?Oq6 z;y_aLpevt{knm+J8ocQmRN~>Ga@W(@N2(x^G;m`>;dLzN_8GT#dF56lki z#o$V`aJ$MmjcymGXIyiFrVNQ#6S~2&M7IBwGO8Vg_pO87EQFyuVf!(-nK#NI{e z#_`F%Tu1;^Pwn?Su}A$JLIzUX5wym9!j(s@jV2te_md$71ief0FH1q_x)JTQZ-d@_ zQTZ@t9L*oggZAp=!uVP8Vp^-OFIm#Ik(7j(ompFr@SfJLnvBoy37&7U?m1)E8C+n4 zVet{6;a}hn1fw2c--Jz0Mh*179qDqZ)*2+mS`*JQ} zUxDMhWJL}=p!d6;36>1hM$p*vzctlJ7CS;|=`+lnhi^K>J9>O@lzX5yyPs5yEXH6N zP`-dPdjJHy2gQUSG#W)#Zs1rvEaJm z&FWB$8%acd6}P19g>AKZ+nES3v%HkI58VHH?vSQmzKG8Xw* zlMiBWB$MndE1E|kK}2{Hp!`ZWQVLH;BV2L$35*kkoAJh#XiKwm39%Q)FQDSLPk;Kb zqn{hEqdXQ3!?T(Z$_Scdp})Q&s?8n0`+7T5mBsUnA%&tkRgE>;Lsb%#2qU~~V9$coY{F7s-9DSMYrS=|vkZQpG@j+PNQ26pkt z4e#dvAznr1ySXQaQs2&oi2z^=p#kuNd&-=pi%4>U%!JO#10YN>FQD4s_~3Tfq7;r2 z0m@K>lA6>264@({W2J#Kw;|wRB&|a5b`y*#jO)Lh$VaN}@lrxze%U)e^q}M3UEX7athr5E2x|4ROh_AUg2M zYY1r6Nc8&?><8c%OB)B6pi4W!1tKrkX! z)Rp+02Fe9grxjrFuti>?cAHrX+6BVOMAFizuH6q8_a0R>GQJ!oly?1GjCKb)e@r7R z5n-cs!@limOPgDD8jRwO#fLtomCngeQ}}TtCF!6-!Zi8d%{ltWyekleoN>wJM=J&# zw<)XZQ*w6!JeE8r!>PyfJ(NV z<2V1RdUX#9cC(vO<=O^O=CV#|3>F0Ty1$tMvXJ+GQX}TU13?F60{V3-RJB+!A)Q~V6G>#ZZctEnn z?P}pDmJT8F#a}~~v*Ya3Ak1bbyr-2$V4nXgDbCp?1UaD$=1~V*^!Nc*8l_63!d&q$ zf{dE3+G9DtjH}wOMJYH3`s&%?m-4H>WLg?d2}-lG3Efas>?_A}=I09H>{}t&eF%=i z1!$-c$xSZY?)MzO;LPf}IjaLoTIUX5oy*nIcXR2K;NhI7U4;ZgSybnPxx$YG$tBG^ zMe8L_!FHtMuS-vJVouuP*ZwCI;rb_Tgz!MI?o>N`WFc%{yxit@Ch<+oM}nU6*iMn3 zVU@SYNF1Clftvw(b1al(i!>xqL40)(5(C02M^xFnLnQyk;QAXt5sDB}l$GJJG4(ym zUxUMW;Wz7G%uB;wRPbt$wx1JxQGYn+b7UQC-#5GKi)J1wqwY4`tn;f`^uVV@>{B?v z5kV1vy>%lDm+hqAGSRb)i(_*pFWOT;5i%gnPxqGCZp#vd1b*qDZ>#RM!r#pr6&?1I zqQ0ea#dax-U4gRp4)!?KIh{VO2O2!u=gkDl!;L-D}RtIvNOl%ghNr~9`q;~tYSwLW56 z25@pOwaNj{nXuFk&&49FqZ~yP&*te8d{p8=qnswt_(-fZCN!aD$-q zH++RN=HyTjH77j zVgwwG#_d`F$%E*(z8cpG10$MXH?v?&z|TWpErpGoexFWCc}3}!{rdAJj^YR6lmH_| zuPD!KJq}0UBa^Ge+Al=4%+^|x59+GLfd0qbd2Ov%il`lX`bzOwVZE4aSR7mb+C392 zv)QMv8|h?IAT;RrUTZizkV>eGTJXH`dpo0A3^wxF$?}smK*HoXON|RNWf==nic?ZP zb3WHv)hO5SF^^$GJeJyDJTF&8CIcND@qNRvSW)i1vvR)wRVh>kRkyv(A!LKMky$J- z@nT8X*IzY~Z**P!(>?nndYR&NU=|h&4&l;saY)4r>|V~&ak0;7D3(QOyH;C4Fh6g) z3@;nX`|gU(p$XclyVv5XJ}et#n!(wc*%E!*mqypGwV>@F;593nYo<@*{eA82C}X@7 zlIA6{5=?Brb7JnS$&lEO|IUQ!WO#^bd>K})!oct`MA+g9TuCd4PZENu#V#bst_EFP z>u8y6R{SocccU@wxm*W3lBk^|d#1Ngk z0uY|%SS0q&Th-rD`PG$oo|kTGq4B|8K)5Dqhh2SK>|nhgv`H@WQ?J4Rn8h}mKNO;l z$wZK2s$l5n2<468{5@qJ@cXqve#6m-RTWi+- zJz*_3ka;cPSeY!culsDL{>-wFBc20#>gR_0$rC?9;AOdlj*BQZ zlvH44_(&Yo1~8XNOO2S@La0sa`Td+YAz3KtGN1|N$KBb3Vo6QNaxOrc+>ghxTy`=d zj)^9P5LUYNpr4@~6@qysHGH&<^P>VefMGY=QnsnOBP6DGd%G zFW3o-LTS;*V&9MV@-fmW>QDVd>2*L(v7Za1nT<6XCN9+v3-eo2lcX$7sQLasvI+4D zC}`* z2q`3-*^elNu)e2-C&CupZVMU4^2n^RD`ESGaV$0{;v)ojWD0i~Lpgrlxz%-Nt!N2L z=?-@G`4S--zBFn!!L?uU0+3hw?gM8x!!&0d-}(Pl;4FzCs2%U0c6amu(d+`p7u#e> z8+jdd+dh9kxMU?E_itMr6w5IOtQUsgCBb@ahIyidghF(EXtRX`N|K+jX#9u05I7%D zT+j$WCUg8>Go(Z#fRxe3q-Ag{0>4|V@pu&a=S!_6?Z*=WQ*P`Id~==*W24`11tCge;V6F6Czkv)LoI4v=B#i((r3 zJ*h5U*~_714nH->hmqbN5Lg#mRATeLJpaDwVqp6newhSCzVbs`G zPf8((FA>B^+c{+&du&rP(1iX3F?99;E2F;dvgx*zqK`&g0m0}sMU?(?%9$s9rxeCM znjQT0x5B1l`i)?V#aDIdGqEAeBGXs=ST8G$#^}+VljiZqfnmF-PIJ6(CM=(DT?<$= zZDVMBc>e5~!>6hGh|cVPJWd>A_l$7%<0 zvH%bS(DWDGV>g7{@G&?TQv=8Pe@+S5tE?66$90mjw-5tLY6lP!5E}z@p`=bIY1oQp z=uHYXolkTHYn!e}`fcVZHx@)lO+-N>f%v zwb%cYV_`8@zDIpXH&J#6Ca(R%swVb_ZZ< z|H0V4PA`sTw6IU&cIk0+^+J=8`Au$ndaqxcj|QMm3>1rYu$^$EvYdyz@BHSzQtJ4_ zGqD*x0wz&Z7~LATD-OzN1*WNZYT0g%5K1r21cO$t;gU6h7+*b0yJSe$t%^y8^@$*hCqqNv!m{QqOek7JR(qLi~cSiXe!k0Fj8yJxWW1a${Lr$>H(8dq} zoJVlKxI)PXabya)z+0Av=OS#H+j60pS*!BmFdi{f)Sk-Ynm3u#z8ZtMgkX^s30(5x zjSw-YdptmdDKKVG_UxD0zv$Y~1!i(Xhrq~1E81Evfehj+dv!z&qq~MZPF_of4TY8e zN#FGYWjwE*T|mE|{9N#t;{tO>nRozzHKhi%ESvMta4;-;RpQ3TXW^YYj(CC-f8gT1^ab=w~u5WYG+m2 zK-OU=p!`ki;tw-8v-WJ`DPC4Kw4*1;QcBnIO~R8n6~{l6VpN2K!#Xft<7nm2?0C`m zkIyH4HTVI6FozIxX@%7rclREA=n8J;u%-5_$ZcS~B5b&i$%){ z7&}B0J1;)^GCg83baXZB7{(pyzMa26Lz{N+`cGT;pAMp{;dY-(i$5WBDvYUILTLiS8C>uO$uOHIgm^iW z9|Ti@aKg#(19=2xghsfflUFX`XD$Imq+S|}hT~0s41E?N>hMOP@Vf-qBD|68UE7oL z?Bo&6Fo^rUNekyU;P+hcG5N3|>Bfcm$?JiDB&1hF8hMGuP65KrZeU_^L~1V>muwEo z0O;LMgp(chl6_n5YJm^UGn=rILjaOH-LPM80D^7=Af0V1N@NNwLxwr`_xSrS{&CoI zYw#u@>CYOi*L?NO`CpmaB1{e&FbTdGrG1<8*nM#qwOcjU{w`x+9TQh4aeET;ytSpo zya9uixxsnxuVECNQqo2et*`fU3@93D*i!%J{!H@~W)eJ~AN0(5E;*rFBOt=Aw5{YU z?B=(t0gAivsT-LgZ6l>^;Jy!3vC_G3pGoc=UeD!x{mctKFt` z({CCgIalk+zfwOh_%<9~%y;`9R_A5a;H|sx>V<2aD=-4&LQe3=)m6h_bScnU4gVW| zq4i(p=%t|$DH8hpHAOUT#zksDJzIKrZ&ZP#PSt=F@UrPxL~y8KBqKyv^nQ}8o} zKeMeou{@4F~M2t2cN4*0|P{z~=0}I`5zR zH|bb+<$c43>x6TktA9jAhvv0s&QX&4UH+3`FQ7Vy_a#IF3XTKO_@lf^9fB)jlI@<1VQcK!5!GlP7*MI^SJb&BC9rcgSr>W-~U= zjl&nri63&KmjbOU+3=H~soxh3m@DNwaR0)eJ@haU&pR|dgC{Y=ngN`&E5q}b+Gg%= zbUku0UH#AZ-{gOH>+Y#d&H&RFH3QJArEN23HyIWUESdJ?{2@0id&##U^hC>=W?TPZ zIpu&!jI(wCUHv6mTvBXIJ~-keU?m+xZt;5U{m)(`g!Fz-8gg6w;oA@?4&Tgkql@q8 zQ(XgIM<+RJr?_)GFq+#c(t};2;4^;D&^KQlyt&$)_!*-GSZD2R#YUaAp{uKk>HapY zlg(t;I`$hT=Q;MpnfN7VIa$MNdH8 z_u(ykbFf`hHQw}m0l~ea-6a1(k1vkojH3`inu-wCStL!lkl-ebYRw{wo6bsR`wL-% zs&WY}pf*_u%hrmPN?GHEZ=5pJ&nEDL(8>T91JZexv}=X`6PHx(c_k)WzDqd+Xtd?Z z(AS?k(4IsHB8qw07Ezly;TWI+6JuIzqJ;N|AoY84DQxi?7uRK(P;b|Jlg~8klU%Xpe_L$ zKMrawswS}^F|>v~V5k-Vp47dUeGNFfw*&ac3plvy53nHy>?wNy9FlslQ}wH& z=>WE1WVA(pQ}yh3h4tTV$pg~1i{QWC4NVJ~#Lqc%Dn`EounTtoM%%mYc2-KZ@$Obi zS}ogMysJ^L8CfB!;mEE7#ez!oQ$l=<=HnceXEpokdyCnc>h)@l)U@A ztq{3f@xm3auv|eO)?coei06zNh17LMJ;R#RX`B4Rdx*O2TTy;WY$`KiP$xH=mJKC$ zT_SFYZ8lBwZgp8MdD$)3g{)BX2fLrig6|*LbdJnQzW?hUSz~l+pE2|C`2Vr=?eR?a z@BbJZ=8(jk-KCs~&G~qzqMU`ChB*y6&6ym=MtusY+o8=dw-AYinDeG6GiN!|R8Bc9 z%DMWz@9*RH-yVC6?frgVuj{&=hwJtFv4fqyyI*Iq^2uuLy`T&F8FqLj3SEZ%q*#Lg z4ca>9`XTLlv^Ro%t`x4VuqQoNL_PbXG+?M|1bfHkT)oL3;+C>A{&%F3KjiqbhTJyD z@3%$a_#&Qm>a?G@6uH*jEcej!@9t_{{<1%&FT3yke(1g~dF9Wmk~Q8RZZW0OSN{}a zv*N?bJ~gW+cq;EXgPCFX-=PL@un#_FR-3w}^t8bJU@v#(>$v4X03+^Om z#Qg-+gXX0ze1)sL4$FYXHwoNw!At_z?SK`AkaKm$!W2qqPKVV{)d=)5I{Z|iW3acB zDprAIooraWjFPm;)lljvH%w4K8Dg^^b8D@6X!CZwjDk~4(apiPz%C3!^bl*Irr0uG zW%iyEdvn9fTO&^Q{7{7@@-JBCH1j+;Vge?+)no$&5rS$8O*!ZjQTP5p;_(=U0x zQH|U;wNic+(7K^~q<&M(qf zIi0$UFRB<^bUXEC#T>|JMC;T8V@`;>ke z-`E<5e+U z^QdP({UDf!D~)>Hx&Gov`Lw_OXVQ>@^?%)*eS*J$~2`8l{OK}t<`SI z59`g_jbDTcN=qbsvCjFo>0m{pn#SO^6#VV{Zx;QMR~ysp>vbWz?eP@V(vQH44iDpt zvnqeR99^-BpPARk@~i|54;jQ=m4_f%BY&p+)?3@{c+aqM-z>$&)0jLRy$(*OuI-;i zeqO1j<-R1_@=&nzyEY<99pRsPskRW5&%LajMAY#W(|BN)a$s0h!KG z?6TUh3k-tkFDYghT#V)YPtJYW0kp}Xz2}Bx&fxPgcXsIjbQq}UtgMHx_dsApJJ*oB z%)osbL-+8;dI!67=rCq%xO>#x6k z9Gu@8+gf&!DzwycHba|Q^;MNzletgT^uu6z>T*0Oyk4JsRod7~xdbnJhSJ=ZD&aKt zmfS1nMV|OEv<~v|`ZUtn`Hv>n2(nzRoEJa&a&CRO9rN$PQt_j0=_XNdydkJ+i(+@hnk+Ed>(d7@Ov@A{!vrF77&?392$x(S;rG%Fl# zn_gU)L8|2~!wl>1CzuynY|Sis&mz|s<-x_$%^AN0fxwj3o41<12*sWTb*4Aov@^}G zZkyJHjFYF}?tx)KA@H;ZvRg@|SgXp)UCGw?z&$rpzW*u$$7AFEWQ{&CM!5x?WySteoyDn?0&d)~40?J=MC!n5; zd9r2nH=?~B*m~fKguSX`gyd5FX!0D&Q+xMXQR!oYAf@QnPTaQxCrVkdh7o+VD~nZ( z5I-GtH18bA_fep`MPyrcW#haUC3-v|ev(n2Wan&?3)>l}f_ zEN=*e$s|@$PUr@_k8&Cr>7ssLPVdDdn zda9X8d<~;=bLI7!Pq(Np?wbobf9yj@RDgYAb!sKEBENiYXSAt(ho9BLiO=Ruu^ag)4p{kN_~&o6 z4Wi!BRe&fxX7IsU@a>zli0lM?N>ar*mlP(P|F9?{feNFM_zip)l9`t__;B@vc#*_G zK@NrhEF1S8TN`wAFa0)!P|ZgXR5fD%)X@I`Uo`gaz_ToI>oTs&+@H(9zD=Kz;O84( z$8+kl1W^qI87p=gF>~OS-Gz4fhrg99J2bOOK1hg1mPN@hp$~}PR&K3Jr#NMLyOxB1 zmAz+HZ_}z;5Y;owd6ri%+njT28*9d)FYC1!Gi< zOk^=pr7`cjF5xX*nksIY9)45yX|>v)A+n`kd``6*^~2ZK{yd!U7Q)e4y8m!KhjJ8$ zaKmF6nfsPc#w^$}h`OT6UMuH-ZC}(L4d<#0Rl15RJG}H;(`FlseD@p@O8H3pp47M87v56cF4>go6hqe`BX0}p?bUw z4F%#MABgJEWPLE1a(AJNtDg@TP)guFl6{C| z%XRoTA^ae8?*>#?>d<-J5#MNlGSsPx5~nus`IJ7 z9$_cb*EGmICS?BBc-ophCXYBp(JTo7A^nHl823~kDVM%p<($8GKvh8-vCA}vgF}N> zMk4M5o1V1On1bZ@CE+qrjW!-2e&-iw66=UE129WR9w|lqE#@VdHm-j9t07bKpgGm7 zZF&oo9lw~&iHd!0 zvJpY?wDnpOi>3YDbW|H$6@<1iV$&f@1>U`YClW0Ug;XM_JDsuW{%!K<1*BZ`z_&!d*_J|bA9oCOZA6kH_!!kbbB~r zQ}pc<`c-tF!^OQD zyx0i5X@LEp{Q4$c9zJlf?+j6>gmdpc-M+Ht#>K{(rkqT=7|e(B9~YW!{1I<80 ze`My-6?Bdr&qcVK!C#fa#Q$Ze|NS92C@04H$&B4B>vi_=pa%i4H?@m3;4Lp&rnl2^*{mY^O-)dKn%*iPops(BjUV(gk_( zFmr#l(|L1&Zv^`XV>03v&>+2z@Kp9SeR0dA8vW)jX z2VuSLsq(2Hh>9TkSU_+*AWCb+6;~tG+}4LD4lWX8azFK5PSsA^J(=dDJDS#Cd75FI zqOJMuqBiGV+HO$31T$Zi?;$m@!joH=Q_z^dZy9yoJ|6W1UJJ_5jGSP}Ut4@3s{HZA zC${`2lBibO-+^zSwYGY1Q5C`suuP(28P;F}<4F9TwtLij$-B}uu$~Rk68*ZP(%D}#SRvmvHG9C1RZdlQ2 zN!MuPMM|SxbN5Y@#%nURK05cUdL#cr&pN}VqKPpTofqj*q?<@>v|juLq!=38rqS4W zJP;K$;nC!GmmoT2L-YVn2y?2;&QLjt_dve$1CvFZ?h>z5_Vgmr|FimhR|P?@!(7P| zU5XF?n8!sHd3v2_mo=;OhAzVYKZ|O5Qbi~CK&H~xvJ)C-hdl9<1+GA!2+91cr-0~{ zVX!G62IcF>a0ERwqm+Kt9UELf2G5SWfK>C7YCKan0B^KRw;<9b%E{ccw9L=&OMBN4 zb3sIUhv^oF4LUU;+&$05*NGfOQ(pH-P|0^eJCUD#s0Hp-nQzIR36FW-+cV(0oA998 z4Cqt3p8ZmRTqD(ljHDU(oSSkWTE(Ofq|=3=OWd-8>IhYJ_#77aVHX?Uc9Kc`n+;0H zcHjM`x=u>}W~6%E-*AZ78<;3@RXI)R2_32x&mjdz)WPAGqw70;KXi<}=$!q~fj*Da z^L+%sm2V#;BGpyT!@~%oPFzIiz{{85au@48S_Jx*8Xk+xCpVzCbo%;T+faR)t#^<87ew zY^$&!`fsUOz!KgtG`C-Y>Nh@W4g)QucR(q0&`jBwKgq z93sNt&bu5zJChTq#Z-RUGx?fIy(k6E-r`j*z@t+K`Jb3wM@Y&d+4uwZy z%Md~*!6j~NSYWQn|M}ex0n<>@5{{-=2!k*d&$_s}cokDL8i_GkPiB+LTZA!L5uT~` zG&Kcxok6Wz6j9*W4q58_%ul*)<-E(BUS6zjODNLpT77}L$$g4FGK6lWqk?{=jnl;VJ8 zSqJ_bq*3D=A8slt%s1X$G&^NxQ_HRMb|A;V(cS!&j5P5#2+>BD3p8-SBdB~&?#ZfrVrbL`+cu15P z8Sfp^a(-nO2I&~=OhEAtC$LO@^VrdKey`<`5B(vmua-i& z4^s+TE{AGF^DW|3ETh5A@Vk7+!CyereS68^IniHiG4j7GNIArMit2W>uU_8z?Y?}& zOXYBm^9PXgTy<)W+K0Ib2^2@EG8ocF{1>AQF2$}L zRj@yWu5-A=O?0K8XKUl==6%A$uvdvHv{- z+AM&(Z}4Qy}N zvU5MU>M0St-TT-$@~NTEN^YRXE}yN}Z6YG{d%O>tjSG@>F

    r>*_quG{(I}X4<8r)6!Kbe`lXbW;KfrCnyVc(+Oi1tUHKE zS)C!-MhaKSnwU;?R09=)Oav8qmziJ+5-cd9`@z_w#~B+GvrX=+l7l{mPqd*!4L1jL zRXtd)nwH{hravm`47UX_aATejSp2`dmq+d-4CaYR`YG#j* zOf69XV2V2?Cw-ryBHW{VRgkOO{P|tpP{c8K-s?QiS&jC#>7fvf1ap1a@lBI#Rwiq9cr*PpJ;{e+aSHF0&c^j(wbWWibt1;)jv|IwbJE88c-36imvIUvN53<4 zGi4p+L%ya4n#60qt-YC3N4z?~lQkQkCTm|Fvsv4JYj*wNO2HUkvBp$hjMmG3^zd~Q z--a+=JGabFb;H}EHassTv9`6pjeri=b%wna8B}o(_D2*XPO3nl&Mj=pzU~9q3&J(* z8R@&%ojCFXCVo^aSsd&utorgxRQc{u?@aa@T#s~X zf2bVf%!#nEdR57-vZ3)!GbJ|e?}59*J&E4q&l21-Wi(^s{~j>SI<`UyZY%<9VoLsC zZN`J9%5+Fmup?OI*)Yg^zAn%Q>pl=O*?Y!yyew*#VmB2FED1{I2)de&a<=pqY-4Tzdcr~v}Iu4NYzps8#l0@ZOujJ5Y@pG!3tX% zmV)8+%UT@lOttRPmL~0TtwkcNu)aZK>@5bqpWJ> zv7IHq=H;1-efJ8Skikadm@4HW zs)37K%X*jTp&C`@-8=?9XvQF>oN>2pKD0x#$f-hIC{~vCp{=9{0(9p zq^Qr^hve-3_2y9$!ZSCfue{Y^_I22bdEhEt#4NOt@T}9Y_2#Wv{YQnqWr_apUu{@D zG~K$$ol|3{A3=K_II-Bj_#9XRZ`4G^-oz?t6KgY4MPxIg!|z8&S_Ou^53NHWR^|x7 zgTe0?2oe>YCtcXqvW%^*X{$QZt)!8x0U5@YKj&_~uq{A;J*I>b)TOpQS2uJ&HLh5#-rM9r9Zm zT6+M01|F=m6WxH1g7G(%l*Sc2p~uv(iDe|LrB!27g7*I~9ldgT(<-Ycyj zzE{S%Yx0F;H~JA-1o$iU4c9KOVFD5DT>^Ux9^|t3S}cekkX2V3>F~)RoC&bj%#-}( zJ46;4FQO-?_B}&H4hecmJw2kxs&@<|e)X`NhnG$Z_4yKqEz|p*R!lZ#y>72S5pEtp zqIM)Iq5BL}zkJBbTHfG%i*2|3$ClegR%wex6;N;Ndn{|V-}sDJ7MO0aE9MN*Mf}ik z0{8e!%gQmndvF(X{TVuPyfcR7X=`1ReWPXb*dtqnZrjvQJVM)<^UI2*D%1;C6dqkK ziSrNL<;;)Y6utVEuCm?xPAUfoFUtFKq%)N_h$gCiYD)I+Ob8xWzlWN4?n@HS?q{x> zRyqU%FX7w06O#6IcKW{k4gnKGM({k#^d;-chgROI`QM#k_%cgPm`~f2*1@)XO>_N$ zfr$pydoDyh)xIB!k@qvywP+KFht)2Xsdkwr@YwhZbmV`860Tq4`!zz6@3y+oJxL-u zYgXY_<@NHIChXI|<#?5(tXWp8%B*U&vJXv4TTIn)YA4}}ubyb{hlue@r$bYE;R{hp zTQ@F@zwIT+_}?+3pSa3-PW_O}n2*X=~&>;@^y| zO&P&}2|j&zxxwWX7*RcI_B(T>Ym#@B8eVp)_J-4%a~XU2Q4IBF*6|4gRFS}G&9$8U z#}hwpa&%==q-beTn{l=5ba7MV@J9KfKl8P1vfAq+M=*?01V3iGXa47G;=fUKlz6{@ z)@MJ8?)O|5Za{jMT?8aYS-cX!Yv)GWQyeF)IHsJZB8scw6yC~)#6AZiL)P9e)y>jR z^gHJi1sk%ziPJZ*Ga?U|q%)+TLa8T~j%-q-emGMX;gourKm+j;Ys3=!*G6TQl*&$L zmn0HjMX6F{%1#ZJvflUjWZjErh;P4Q^YKIe2XJ=k+bAu_Q-Q?ybdJUz`z4!;1SiWZCZSuls3wu{L$gJymOzHEG1%LV-PPy z!$<-`P^WM7P2_m`(4zzJmVKX>Xqt#gA^2K4un%;HN^68KLIhD zUrQd3W~ye7fVFm0BvCn7)kp2r1e2p>8~$l}n-3}j0PeR-4vf~6Iz>rY>8CDU?ZW1X zOPuLbT7uv{_wvoP)TH2Yu}%L4SIpn?Hluejw&mf1 zI?s9Bs9qs(DOR(l$HriA+q5{uEc&%J^ikk>tYpV9J#WvA>k$@lSG>r!&5H3{_@T12 zJpMMu9A@^5(FB)Z_b1$eB)*8E38!V}Ua=Uo#$~7PdP%eR$NzhuQDpjyL+$J5>&ypZ zE*z_(Z;-ZqZj687v*4%XSQv8Uvmquux)g8IddNYp;qkx)(NDRN{^Dkgdt>_GQm$n# z34CE@7pR4NfMv@R`NbC6cymCqIh<>|AxjC9ZHBp%eqvy9HjZMFKTK5QNTjxjGR_0= z&a+{Jmnl@<$_NsUpvnk07@$`Q8RDv{EIy`Cs$j{~u1cXY+b4wuZ)R>SWO&*UaafY> zN{K{=au}=4LX*EPUSSHhi-Cz6s&#aO$U9X?pbCD)B#_|pK3g-1P?|uh( zrC~&Gt-*hwu(q9b<@Mub6{#gr!f;z5igm+P7#_(k9KOTnOz&(*aTU&kELvlwm3_pq z5R|UHAw-Grjl;R$;XX=e_~h&l!c0Q}fbd#~N1D!W1tnA8n6yexsyn}@hf(zB6WAsj zU$&s|+gZvx@Q?bWC+R~}*@^?Mj`y-Eg~TJa;3bcN+cD8x$G+ypBr8$Z=0;n^X{t$n zv4Ne~bZ^@_s%31LCBa!FH4FA}Ci>yIA>NXCZ;UG7Kj(UkCx4 z98rXE<+Nw)683Esj3hr_U0};gM%tJHL@uvv8B|%rRz;vi6jU2vOhrF|r5j#~F$E4L zaoH|wd^!WYybOtQE7Xf0+o?9rA0kSKZWIncJ%hDQM?8@G#_}{i%^HKfz8;loGjnfQ zv2c@hickXs!X#gOevaSh%i@LWPFwAm*yJM7K_y*VgJm@&(ae0d zHEe#(t%dj~Hhm$tTDs+1`m3II-#agi$PG}n%1+-oL7EYFA)B_xS5Z~A$*0nw_S_*K zlAC=m6qOBkW>3f)Jv%LzJG`SfT^}_?VSOd;!qx&{$Y&ckE(w<`B%|co0qW8pr8;y$vAKuouk<- z=P>}gjXT39tM9zd%jnKam`BwY)Fw=*W=t;oB=Bnb?ybjnoye5llcWg0`u71j`4DX21eRl9A%0PO$ro+*(o54GR0S{_ZXCJ*DcpyN8_j0GDiSz66n~w1 zFXe4#L9dvBCuIL!(jx$#juSpYtz9h4Qh+w~J5tTDd{LCp=kOGzSMCJNl$u`_K;Kc^ zks?$?ziqm;q*->_^GyuMR_&-}crANmT7*KlP~6q^+xXTt9c)8X-nJxD7HX&}xU#;u zcBZ4^hX?+sO-JXIfjQ}K`A@P%ie6=7gKQbOWl&+IFL0m~x{@5$tv+%O=UobNG+uf7k)vR~0I2OcxSFJc2sE4;ag5@*%2lKaoYO zT51Ixi;jKR8C3aLC##lvBXsf0XA_c({M2|JPGvqpJosY(5^`*E6g7npF|UFJPj&v5 zpDqIo2b&Ik;wV`8eN+qllyjdHy53B3k`Md%c~^&ObiV6y$}a=Eh;*P3hJoOeD`km! zeyLXhs_cGr*cwg-u9n zS*tX}=(urO0AG>a@%ckr!XZOv0EouBN(`T}juitAf6Z@oxJY^j*S)*!a%`mmPT#e3 z>PJx!(CN*KZqd<;B`RGR}onkD2ioh&2bto@$nz>&3|nBtw65&v4}run?B)@Vs_m8*s~f8 zmrgvNJJrU<1nf}f4{w!wsUJSfu%J%4e)vg|LD#WnYl=(@E z3M1Mv(YwtZ*V`-pOh#s@qMy^UC++avXD3v{m@b=r+5`HM8xVk01wv)@JVMQVy&Hna zUD72~SI^hZ^(Z%oLpmy>Tqzr_@^?UuGkpq#@~DVAY1$%#pvdTYPcXI(Fo3*Z4^#Zb zt7an_hHHtVr~uN(qJZ-=yH$3;PjeadMCyDSdxoZ1)fBhIv?$1nJjWdG<}}N-Qx%c#G{EGhb5qp;<^N<;aZc9?gDoN&rwWSk8sl>|6%}qCrdUF% zoL{gP-M(AF$b{E`rSF`tQg%7~U-~!7dokW`RF{$$Ttz-LXtj$86uHeB=_3CXFAVGH zzSH8+qRUL!>AcP9_RjC6u+vG;Ut6C}e|GN%8T)o|Zm-1&4G&2{?OX-JjL z_~!z}vk&`aR661G@+GN1kLG1ur2gDA_}z|0jT7qGPDq1)+Of=*sv7cGB&W&h@wd!F>8{pRnLu-~HWMR?=MPWEK=@3|*b)sWxJ-hkw?HM zbrWu#tQ~xP9NM*)_vaX5eZ0B_VXQ%<@|8awDIQ{}_baG7i9%9;C~vXC#X4bK-GWC1 zx#N>mv#nX+Q@?l(#NK`S^WvAryZzCfUmDZdsJPwtMolsI|LkWc1yua~`K>qT)1KGs z)dOoR<63j++UCj0limk{Nr%79Dv0mOOS@M`KOJpddnzAygy+~=ecyRp@*cZ-@bkul zY_GB3*x1I4C2O;0-wq6p@6)%?pGSB4LyVhWCm~NxfhhL{4=)B z`ZRs_UW6!8K(-6S;j++EWpXsCCysP%Yh@I`8<0-Ns^lxeDZ{ze=gHMS9#T|d9Koi@ zdCt(rtlNOh(3IM)Ji)sC`sF2zC;!@IJj6VfH?b~L*;D?@6r(I=Sqgu7#+Rq-*1dc{ z`Nwj_y))UN`1r+vyX^EzDTHWayxf02fB^?jN~~oxN6DRqzpBmRDl`9~9{7UehUimN z{&_d<i<}N>te?E`k?JAL)JKSE1V12|dQ|`D+ zyk~@%e!^)M%=}@QYlX0dPq&zRi7%7uG;q+0S3{4Gn*I8sG<7?bH5a* zQb0LQlG=3Cv!NQ9wqU8reog#*q%08TF(i?TPyHj8PA$)3stoOrjVCu0osDr=cZa-p zZsF`0?yO+w=(1Y}h=;RVH@p;NrjAu_2w5w1s$yK_hl|uPrihab##OCW4kPb{Rfdlg zlLK&vdZtEN{uJQJ8h&yBZR#bgX%NM)vIgWd{m~t;+iBxp z0w$n3^;y2U#?^2Pe)J}j*t}$&T4)k~_8vnRF^qo#N3yrXrwIYF=#V1$<>*L+&HAg< z6Wa7{$~;@CQZej5H4A?~_>0QnKafQJE^qNwMo_a?{PQ2i`1i_DtX*D!-<06)QX-GH zV7`K5+t@y-H;!L6@LRaZ%p~Se4=nT8N|Y8Hm>~O#ROuOCy~77Y6=OssIF3puGGOQ- zw#ze(%R*3hP;u#$$rSZs#Z$mp2ke;X_ZU>ExF8M?0u7e_Xc*o0UOrJdN|5e-ejrFW z7vkYx<_53t7a4N*%Rg){V6uokrraOyb2$@BXsDS(zLeVlBktY}U`= z2Wc`)@{ z`47)j0Q=ultg_O185Kd*Ej#_66X(6z=ZJaL#0#f0*K&>|d*YXdFT!I}p)`^>(&4Tl z;~#i4@;11W!F|A&Vv{$Tgvm>gqiRkTgQr?`-q@4N8Z9a0UVdPm;3KEJZJX|aG!$k zr|*vEEL&t9uP^sFiT!M&Ox<0?hyd!TC&j1C;Ck3?&QF}nNhgEmUbR0$9k^^X^4vJO zJ~}LhFpDcA&{!j=%}-9d-gIWBoY>KY#QMx(YGlQ@`qLr?q%2Xz0Bo#SEXR-otl!DP zvdL%mR;W-Z>Tbq1uMG2_)di0FAJ?dnBS#$V(@CtdT!Pg-PXVJ-rxS`BCjFn$$rwDI zwmTXJstlUQpz@d+}Uun#efBxw|&X^Vy+y9Cg}Crwq#42dNvDO zjH)&*4<}g?4kfOSqjcK~Se0@|`J5GUVIPx7=Jvh$C6y7S_>XNc{Lt(_^h zlBeqnVtmLgm6iFMK5GzW!1NT;Zn?-)*- z>p@LQttwMOf+NCoFHvI#C8Sa$Yfl6=&(Vx6ux#z*L+(wqQ@>J=)b=2ILUeuMPlxIE z0s9L-*iX`T&vkVIcg}xI*E2yrF?LD6ULGyy*PxyDu4!q(){~ zV>Q1?1L4Uu1Pt+0ZTt+@@>1%mNqlP;i>EyPGNTM0HJg4}(~$t~I!g;mFgkQ?->sp@ zxNkxj>T_{CT>`QmKG=od$zF7a1jYkfBb^;e3y>Qg>;IKlHLAmJ@UbnKHLfzF*o4^$ zZ!&OdyTpLJ+Q>?gPTy_&&UgBp_*RLDDD2Rb?-&v6y~KdIOH8FaZJX`}c?4>Zp?aTw zYo6$TlmbdHF`MFB)`7&b*=u}5^K#j1e)Gcziv9csl;A|Huo#ucUBcRLMV0^r%2$6> z{#Z68$b6N%W2hH2l|F-<&sU+_-R)%Xsy5Rd@8O@l%AYTwzXWi>%8ti3?R(&GNo5$#-&nkM#KD8lxxZJ{ zxwq{X_SyRq5UF4^oY`z!r$=B3BE*Z;er%&kIKP)MVBL`~jwgAD)}`>$0)Ppj>UkB? zlj?0tXkpr}kw3VjN{-u!iY_}%!LT;VcNQXgZkKgUMo5@=yO!8JzPsFm&lyIwM12(x2a*XGI&tE#A3?mA7NrZqB9Cmk#BI-xx zGXn4_LuNtT03;nWvB2)%s?D}ai09|YTVy@F2CTw8v1kt*6bUe*zGX64%uP`jRVBP2 zCOxDGXVag}P_&8SsC(W2^?pw@TzvivEddMzSo`Y?*}__umT5;Jk#&zMF(3w8sFEcu zT=UDU`V>Rc6KWEGS^1q~!HIP||IuN603BvoDAaY1HkZA@Q1V0abqUkd!Cv&OKJ>^>FRY$a-X++9Mn47wb%7#)+dnpS0Q%waIz8*X&yQGSIrPBpil zga7oIU6s_Se6G{Q0UP=}d-5dx3N)@P%8h#2U32D9F z=8CgHoP<%)szw}cxxaO%Ych)YpViB8tITOcCUP}zEHbz^M>?N0s%-k^D4<|AxvVi8 zpGO+*6C)`euf*qBPMU0Uq5DB-;{>dY4u!7Ec%~Y&OF6GaC3<0KeNA2-%TnzTl7~UFsC-oWpsvCFOfi1@H1eu-uR4SEApyQ zVV1!c3(pvhbq)yt{`WqH@`3A+Lg&kyUN537q&Z6guG%0$iWTm3t89~?zEXZK2mukgyF1M39G+qCSe+_3+1S@MGpST5$FTX3!5#s6^ zFL~?1bdWc;*e`LEjgvk7c&$wTx+7N?Ot#CUlaGl!02ebLS;q?uvn(J}$yFG$Bn#7? ze*6pQ8Lu*6emdM9-@LSjY>(p^Wn&6p zPsJ}{Od(*Z$TKqBFz$J#E0*e`y3O_p6Rs_ywBW@|bLU{VRcLc{`P8^Db2C;c2tN`} z7qFQEv&cOpMH*1Vfc&?!X~vNA!*D0xZu4hwz&ukt0fO&xtM;X{9^v77Ya$jqvV`Y9 z_NcxU_AHh1_zP-|q6^^h+;B<4P_GpVjL^mtX(SF{+DD5ihAr=8L|5pupb;PcBD`&T z%iuMYqawH6+1+D)igomNsuSL8E#g{o7v9vKd!s;KRNzUu%QZE5i zsj}b76;ft2h*Xpj6q_bw5`RH6CC~h_%qw-OqPv6##IUU6?$gwdnawFJE-!>?1!(-? zM$SM&Th$_At)bWRV+l2&TK^hr(iQn`|24?Yoe5DkaZN`99xVmD08%s=U9?hm}to}A?NQE)qHs^8> z20ZUKoJ}<>OH}e?KZswv#Qa;-&BzHnz<^e|vhwEvR!(5PAJ7LhnQta}C#f%M%?+_Y*Y2a>+AWcPctX+PMI;dq027E zzW{k^(UUi&-#qM$rCr-wK%aV)5{NY5u2jOStKk!NfB^ge&xn?z1Ys>TxjdZu@@FhH zIRI!J$OM;X1M$auUq$27KFtoBvQF!`o=M=?byOVGK^~+#VX|*zh@C~f`pU$yf6)P zo&Z)6X2*4gJTx!WCo>;^K&)YtSQSvDa_#OmU{$C$%R1EZkzCT8%dv$Oj9l9;){m*< zZ91V~e~}OlX~nl%%qL^(VCl$kX#iKGj+ZcsCVxud%Y=6iC~|E&aeP2@>5`xQFiOn; z4CoIsE>ryA3OC6;f(xKh#RXwZp4B6Kkl0UQYP9eyyLRrV(V?YiNlGCwt{WO2K84MC z#4c0O{m&wi(QMmwIT)u;3y_}o`@uo3;^CtvsU`zcjNb(gk?Wlto|x|naW8&I`*M52 z_kJ~RvwNz4OpXo1)u-?7_*oeC$oP?Gl?;SwjY)!uGPwt6H&ku)d0o~}Ohr^gvUg2&E<%_^*otb85;;vV3` zbVvuEN5g6`U_8IVw|3gl`~)hifHzQck?-E`=BVUc&bh@?$z5R!@c#+r+-mOSz?fOt zD2basK_3lKvnaP#iL;V7oJyUFe*K9B9T^TKlxJKW5TAWM$Gh@v5rt)sBG%M-#@XAq zs-UD2w%NlJI$7vWfD?VVqNn z)YvD`0rBkfv0(oX#IPxL#flH02gERQK^HoS3Ey!qSbNI~)w+=K;a+fQ2l}(zHxm2( zJp^NcFEfFp=iX@N=ES$g`06Y_HWivt9_lWTAQRoGev@5D53ZN|yrPDILE_%d@`xc4 z{exNG>2XQ$=!DN5`?{Qhqlo)N!>yQk8I!G)@Oew4iYTvsl_D)izF-3+_~V}0r&znN zotgj9a}#N#Ad*{i@&8vAd_#JSkqsUmJM1UiBsN4K=hWo;8$PGEDS;@wJn2ZvI{~`* z;X2;-0VU+dYOHz(JBHt3r;D>mU&ZGS^d`m$T$*+(y8h7z7Oiye|Gtl(u(yrV$@FsL zWx}pN{b%sVLhyMUw?;k+ZM~Xi05OZQjXPm-&^;5U)=#_RL z{!-_M+xh&67i0zX7XPBR`(Jhgf(W}0{_AK>X0NhbE9z_2-FhE@EZy11c3y$sWnS>% zDl$Vx^jM3F=!dKvfTLjP_EA9`L^E$-bohXmxYeMmu8aMyeD2 z4GsvgZy;heZNdYXEw!L)n8y-&YD1-LU37?LuS>z}vIS<<&epMU31!VST6p?k7ZA>=*6ZDDvB-*FjGiD+|q*9Rd9aD zMpddiCV?h5Z2U*=bgk$VIj6V7RTQ#=(#Z%z?&1vhH=Mo#mb}rCn|FfFu8$Au@0B2{ z`|!QLBL>(J#MfhG*r#(!aL?+amG*PeN&o96^JfR?i)Wy6dmFO8MS-wW3-(55`W0^tlYvu(s}_Qhi0pE0{m8?G_7=1TF2aw4 z3*m|XC?`Nt-nsTO5bE1|(N9>pqW{_dC_Ipz%1W7>`-lbZfT%%&&k}%-;3?Rf!yCq zD~1VZ1OQtE&O}J*Ptw|Gyd}TiWC3c|&Mg*8|7( z1MaVNhjD}3HOFDqgS#=^uXXO{>wd3wF#zv*dmecUZWw*jfXAMb%uC6siSNBix2*RL z=Y5^Txf6@X`A@PN?|5<#HT0!Smt=AeyzS|(KJ?C?VW*6PivEz4_Xb);m$qd$eUI&Z zrx?R8k2RM)V*w(#c({1L!$K%uJNfbZN*;*$^FKk|zjGspBB-Ts3;vlp8h7gc+E5B> ze;xn3h1V|rTAlxe^Nuc)0bt+Bfv{<(W@b;#U)KeAGss(7`Yl6B+g`FLc(XDSc`NeL z#XR41RrFQpkPZ2Eg34z6J$b)lidykD>qt5iUwT|#j+!qIcUd!*I%Mb&uHDA{_NFjl zK2AidM^4>eU1)OZGvlkuKT#5((g+_9KXqm5ovVj8alP@y+&-bJucqzXy+uW|R< zuA1hlR#LKwO;_^PW!#ktsjUBN{Oa5(!aHRvg+=^27hCBEgxs!W>BRS#Pd)kUx?r-1 zBV0dSoX=CL{(CgpUY1paA16anMMhKJ3*WZF^3slFB3&YHsWaReNmp2a&*90^x72VU zaI^_=H&JKS(9Eo4*T^HF*a@vzroZoNo8ji;7h)@^2AIj1keD~Aw?;z()Qo6vpO5C8 z=y=>jlw&YIskBV+KeMV%6UL-Uo*m%&6OFMv)2L~v6$-y6hBZDXPrWuYpwDZ1Dp?uc z%`ZfwYJPcUH&SM?`XX`!aLucR+iQkjn$+Zqn{$N1#puf4^k8|*IXd&bQzoiosOJ41 z4|;x)bG1_PL@kFMIPb~7M4yzc3y@2(rvwJgnaG#oKa!b96a2q9!YK=?!ZBoguWFqMmiW&B+MPTcZ?YE(!;-rN8XC0FvN|_WuENaYTF(~EHd?|< zC^+UK!!B}KQxj~!%nVChGM+S&TqgeUHT_Oy#S@|>!4Z8|^oo_p5K&U8*TtyVLyvJI z=4y3YyDo;y&A9LP6T9lP^`6`ApAak25qsCcvX#gHW>Sl0 z*CldsBaiclsJyc$VqIR*%Gq$jEWg4-Pr>6^@Wnh;#rG1RLFMz+YvS~qry%<*K_Tov z@{^|yK0goz!CT(R-7_$rhk31zb7y1X=w0wU(%xn8w}<`AecXeRW2z+_FZFoj_8b^e zfq@BoMELLknB@o1a5jx=A;3hpL|CVtng-7)cx4wia-r~KBCg1W!?90V<0G=)J33K= zcf6}g8!>=kqMtBZ*d>kZ&plh<#P^(V$w_L)YEX&UJsGa!q-mfA{4cuwy0cJG+D5gV!R@$gdcl_FF9 z6_KadB@`kb<6ZstlHg;Jsp{+#^GdVTk@!Em52E8D=d*LuR9P z;5_c+L%*q>`wNNrdw4+TQzPgw7$Q;-V?xq|LtqYwBgnbZxa@StL9Fkrnu2ASGOSbI z3DFs9?`?omhNy4vs-9c0s|Y9N^AJ2OjkmzuP`)N<%E?UQAF@KSo&IE|?=b(^HGsqy zH4b~sDZAm;Gs(b1+7I;uxtA@+cTH0l8)mS3*8^Wd4$|DhI9@b`Yd~>^g7ScA8Q{eCOVT1fNzZT&CK3>mBsYtcjVa+Kr38oXQgpplO@CLsr83QP`0-Df zIV8bulJFcm8s_k@3g4j-wVsNZqI!+ZGZj5WN2mpB5r=y6bi8l?`fnSAZ1E$-nBU?; zey?|vVN{M|l^w~{O7V*VC+J@!reI`wa)q^SMGLd-W#tJq)FYW}fiMA&`UijJRq5F>C7AC7dw{>6TViK+!CJ3 zkfM8U%F*_QyN*gjd3x1jS{6VfY4q|<`x^z~oWPp^sIZZywbW%!E=`rn_I$AaL8J~T z*v~#h-1MyKT%=xxJAzWja2=S)9M+Z7t^^BEFH&R|rUZANm^NvJv)izk(DGxV;g>VX z>V9LT-Ec^X?2Pc?n6z`S8U(!P*p@(UUk zm>9R6N}FE};@||2+@OTDtkNkR7<9uO@!uJ)5}aZ44PHt<`KBs_CV4M%S;ycSTMqE#IKZ1;t1>^hTI zx?izK%ZWF|$G$39CRw83nZ0@Ah5iiq`- zN|@>1F<{dQvV)9a6J)V2RIy~cf6#_2q|Ppj%HT4Wem$|SDvAuxVBF<*(7Fqh#QW4} zgO#gl*-(+op8)ig%6lpOE-x>|ygfldQsP{-VT_&ex z=;JH>Qv{FsWEwk!y%jGF4gYKUEBx+B@g|FYKEc7h_C27>P|zrAAT2LyW1kQ#9I7L? zG1so$uRVYj59)+l#X{Vlvab|{*JCuvl>Kb0i&AfaWl3x~4x!dhl}|S(K>XuT`D`B& zTo9Tto2tMGkwe^%9uF|RF{CYslFb1}RZ_f&_uuh^Vmg|<+w$aEgoo5FVc})Q=Xx+n z|ENx=I{{E#Jsi8(Dn^#&(rqpAQ9@Vw5xb+2G&pW+(`J_#kop&RzMfcWPcPq6 zPD}_86){mE&{($u!7U-x5s$pKz4i)&W9mAUNq9ZUX?-=8bS77$H7Rs?Q0ym2Qd=Be z=4vtMS4aY5)C~F9hXwO}i)WPp>$*qHEPrTG2l^f5dX&4kzjkX>xj<-5vJe;4za}?q zVx?xq8)^%Z0&&49$#{!~+Ul7`*;?AK30H;PSj(9zQew`VzInkVbj4|u7qjbZCJ}_c z5#L29OqPiMd?HsQU6)H#kB1g@l7pCtv%n3)MN43%WV!$KBkc3VKX;%c8&pw$}Tryc8CE9DD28fnf((MjP26}Eh9B7vlVWxn z`%_ryrWW+BYcgM-{_Ee^NZy_(xv-mozQhfA9)HE9G|Ni&U&Zi66+{)qW8m6?+OjGmwPbH zBTph;&t-C@qMlcH>BwE`bd7xaKU?Ug&zz>uyt|$l>nKBr(QE2ceb%_O1M5B9DhuMR z5h>MJcg(@y_Ua`5R%VKni$E|5n1#wudDw?m3q`MI#(aAeK#* za_zpy(dc_Eos@Ex{IL89mn@X(G;@_Jv0rj8VWM;v5&RPRqEn)zX!f81^ur8PT6|<# zdd!n;vu(pnYA%`gVcpGK6;~^Uq~hsw5_7Aj=;}CKFF6LsIV|I8G*!iG-L-pdbR}MS zyKb%pmeqRDe-F&`aE=sEh07ARm8=0Iv}!4vf5 zmU(M@*6Qjxy8m3MRI=Xz(89gC*oc{k$x8m7JhSFS%yS7n)kwlaES3?sNl@|m|HDV4 z2cEuTF}1kF@>XDF%*{d1Nhrn`S{)jm{(^cm^YUEanNMUwb>!SwAd^p^c{xQ6OItx% z4W|x1vg7Gz=~6~%Eo4V-&SOh#heoR(?v42`cFB)l!<%LNM~nbjLvNb*FYZUE^%r<3 z-(#*Cl%nJ=4bkh!@S^=hvUu_)x6zsms%nMS!&nGu`H*;DZ(MIeGAPgZqRW2F?RxQP-%u~_zR_5?WTbdQ zj3spH4UgF?=86;0NNjGBH@RQ^4>`4KSte0HJwv`8&*-Q63h(XzpBeBsTPg^zxh@0n z6u1<=WV+90Xj^{z<%PZc2^mau^hsBvv>r&nv*(mVq_T-?Fm9*(YdU4p z1jmHZcdUeAX~z6Fu;DrybEgtzPqsx2v>doptIEpq^W{Bxe5f+@xu9iNdGiJr)u}VO zai`a=!?3Ow9Fatv4UA9}l3r$@s6c>~Y)j@EWPum`I^8bj*Rm1lT^pTr zP(W8uJ*%G9CGR>u&KSr%2-ap_jOG(XF=hf-2<(rH$BiqQ(`}{UB4s}NnemrF6kvI>uk=Fc-4npqcvcmD1euV~i~(s3F+HxJ_Af!OyMHYNe6 zgB!+CaO+b_;060S#C6-g+)H>Jsm66?h=WH%CNYC>=VoBeHfn<$2x8J#1MM5K^3<&x zI5+3c)8y)$2=pt}rT_dUd8Tb@u2(ZdwVXu2o1rz@(%6 zS?$jR^ouOwi9Y2F*yq9hGpv4_0Gh`yEApDckCIc5WS*pC?;FgD4+f6lA}2oU?}yp< zz@_=iDNv>>?EZjDEB_pv^qHh-hmkuZ+8im2xlKutk|m?x1ex{i4x3NwU%u(1pJmDB z-;BtY%B(Bu-6sAJxKqR3n{nb>Y>}ha!tYl842hVCuubc-vD&kxs`dK$A^=`b%I;4% zQsRX0)UjBd*$8Paf8POTWd4QTYhr69?b%%EB-B+|*OHfikY;ixIdQ|#G)!A`WPjMn&XtN^nZi2oi97n?q_$xG4%9)jcuNvZz zk+Dwy>LA1agM7j*@uS7fX#|Y_#@T?@?arHWtZaP~W(7kb-pKIp>6W(@?3zld>>eCc z%ce$k#!2-w$&~9i zbH(*2&4%u=&wY1S?mA_#ZT2*vX#+V zAyR(4`BLoPBevTRhOF~7M04a;&SrT(2h6re?OjK{FE8NwLIp?=^c zVmgh1h zEoRvDZ+rl|af4E#5?X_B{ZLxIhPyZIwL6=P%N92(fl=tDwoRQzC)j3YmdLW#RwFNp z4HMKRBvt>I0#aFd7_6VJWrf8s4XRJwf^+p;>_FpsA!c?DB1AD&@g-of`q>JDgVL+pie?+)X zS1~pMo(fIGd(m17|Hb*py2zLjT)%iTsuC40>Nl!jouNonpWINpLKNAFN~2XpsBEIK z$mUd1x0x-Acfo?M1(<)u-!Q>~&y>4nXiMZ++lDFA(z?#qGVq+A8dbsioQYz7ptVR> zY0gje00&tA4RfkagEmO?M@;ixQvuFAgqLZWz$Ogdz6;kX##|*%v2L#`0fiGH$;A44 zvVC}@0n13{RQQ0GRHgms$(5ABplYkbSb5ibc}Hj8fP3~HYfUzXqe`&L<{yERa^Rns zhd=h25sP?p6^E&XXhzES=v{-!gJ34)vfOF`sDen3A4<)Lp!1k4R6|6^OXRw*Hm~hN z=kwn;-DQQfrt~xEzU?W#vcH{~xVNcN41Q9-#(a;7ye=~-asFvC^hhoxpP43&B>(G1 zm>0AVY4R;M>7a;P-T2ROm!*9w?!a~xU#Q=2G8NEzBqsN0-7Y?%M=Y+HUA##U8~3?B zz$CpyF#vuzXfrw1;b?##(^;)^<~!Eo=z!;QdX^{k3c%gBjqgqQqF&L9Tuq=JB-q{% zvY*>E8hQOqIPJi9n}x6ELf1w1&ULHPGC1?f3R3aS0JXxESI=ImHP0yNpmCc8u9%>s z*xQ@gDuqT{_i1QwU%X{7GUMAag*OZvkl)w#+l9TCZna2C9M{?^BJXtAw z1M-UKVya3v3Sx8~mS?(_W1?H62srE3*wF+lRLGK%`bWqBCPP)3G~b@(4-6cJ&Y{>a z6f+yjCR&u!n$}*Mkz?v!j*Q?QtFd9LKjUXAU7_d%D@{6g*0h?spfZZy14g<(K-wH7 z@PsW5z0L!UO{S+=FHjjg^_A{_m#j5o2(L6Kf|-3>duYDB#7>lK@m9s&(Vl9Je^|F4 z3gr1Fe^YXqYHVazPnC)PnI&$Mku;*Xo&}8Z`lKYgb+w7b?~#38vZlFI4QBSc&8NBi z8ylsuDmF0z0Wsk%W8p6W9x3Il9h;FKL~0G9!V8)Pr3OjCZnYLjpR8yYjmvO_{I{m5 zM9TY+RE$c-fQkWtDRTk(a@F>XJqZ#>NxeCK+C!)QW=b#ddp%txC0ioYtM%9B{E4Zv znpbDNh5{DL4~WCfvDLXkIHPAYuEm|P%|Ixox9IT$3;wh~!e9d|u#q6&h6Z#?e;5Zz z!jaVNfmzf=dCOt=t%v?W8&%YBdCiB4c*tdMriiNktG-Lhxnpf0L* z>^mF@ZmvQqUXj=6)nVda=7ck+@$Thg(uHEMi9~(3;EgJa7~}bm5IClbd%N<4TZ-uBJsfc;a=J?4@(Re z%jehw{L0IsX?5epxMbziqeDP9J!=M?+9D7Cn69hhriIf=7D#wki8;o*%s}Nb@vJ%l zif6`fk33TzR4NRst?6g1`!96n4ANYApa|1-)kOOlj|qCR%G}|`eP&%4*lpo(G`Y6v8@s{t}=cEP@WK3Y!mI80t`J(O%(A- zC6w~zNqw}@zX*Tcn573tKjo?xF9Hbg<(FS-6;8`>_fcp|gpas0G=2y*nl_mfSAdLu z2(_A?KX%Bv=*g*(%iJ<)XlOv1*x!tIQbA2mhWN6MieKqfxrs8Ki88>TyE3|@6G23C z@v3Ij$A1R2?WmL)fr&?R6%be*V&dGFsXY+o@^a|%HZ@quJ1WuZVs7;33$U$m*wkoJ zhv}a*Dg9T`ml$3pNmWxlBqy*c2-V={pJXCc4gvS3-2y~$xs%c)RAt3p={7|x;#^e( zFI;=m(o<6jrX(-taQEhdFYZ&{3mnC(vQSx|ue(t$Z-)B%yJ|B|`aouX`)<;rrMJEmtTDD8Yi4lic!l1i+uwq3)uFd8FkQWRJZe)x?X|fi565Vz|Dw6!EGELLF)@5* z83XJp1~I>y%wiO$Q8;RI!OP>boD04j=*cvN>hml%zFX)jdvM2L1u$;e9}>Dgo4aPt zCKveIJ{UFbCw&+`pGta6Q$d!E0G1V%O-VoeeUIn{mRLHo+8h=l zNgSG~peY6#3&kvT1uFl`vLCp*{Qaz!&sLOz5yV(7e$P#zp8SwPXwh9!y92wbK`iZe z`M>?`f^E0UH0GkGkA>vN4}bodEZCncro%F2DYh1%qJr_VOeDgFhg<^Qv|pR8zc`#I zz@%08PpR1wpppPZoAwrN`5gKZAQ_utYsvjuiR{WINQ~MOGpfw`^OkmkPAO%8D)P`SVkad zj<-ImuFS!?ibd@6J7PATMN^tt2uD){3uQ>iGXpi~9(FgkQ~&d=&j}-1KNvDAqa3Wz zqDF{&CuuzjfT0iGCuZjbJ_bw-I`L^w+k+ z^=-!iZJ}%m`1$xhbtWVZAiGhIwK};td%UDbt40Hm!lJsnj(saC_MPOYxvzbCF}*l+ z6F)#8)SX_|;0IDz#tts~_YV|ZHf2fj@B`K8Bz_7S%hkTyLvTnh4SLx7BsfRXU{ZmW z3xGfLqph<`K~3N>7)t0vW!_K(nw($25!8)cW!F>2$R&+iXIEFoAS0%?Pp^Ub>cgA3 zMRCzi?~q;@w6sr=ah{AC^*=)@t+OjDOF1Xy)tgW{l+2h~IcQf)cvN9T=QNrGOi|b-_pA=asjE64s&K@C3vjw1XV2zB$!bMo?NchMYKp-HoSnUmI@@n)!+%odH!-ib z0@<%%=2QAQ4uDBNH0a0+fX=Mp$#ARBA%#U48CA`s0`540Rzo0&L8=?~pEvo3qC=fQGEnR|I!@&C`48^o{N;uE*5j59AwftubS*hX)B)wia)S zmajl8(KJ)?cx4c27%_VM!G-jV-15pLjv-)7`iIxDt$$t(cX=$fJCunzBdxS<+T;97 zwzf;@Y`A;ik1uf!rjso^c8Jie@(PyU^7+-I*c#GX3z)X zJZK#PH?J;KRYp33daN1p%V*Vj+}cocwZ4_~I9druGxB&wjMz&`u8g`);*roDyCF(NR$f_n5O5??tTbe-p7YS>UW*)S;$n)e-`CyGO8hQnWEep{4E(DU*5>Y< zw>5fLG590@YsIXJ6rJdQyU}LxgHZcyQgpkxt_=GwQf0s{PX3mO75m&v%~$d$>H+(Y zm$WZS`hZe{*`{wZU3vpFXNGKrY-TSFuMBei^Wk8^9<&tu!fmt_`LbHNdfoLfnl)Cs zK38*8Ru$>{&k{0s+D(+#km$IYWh1k`M$O4K*m!Pj1mzuK(?VKp7dQGHoMk36v6j@? z0MQp%0~Wo!u^rQMtYpfFF6YNuFQ;3JT>oHZq?N&WxK#^L-3~U-#>O_&$2UT#1UeSU zyc<_d1^A)x%PN*Y$5JlF5$TbdK*!2=Y^@E(?#Wm(?(IR@IC5=AnVB`SJ%yJ3SpR03 ztx)?C(r#O*riOMYq<&B#x{BWVW4D@R%mKqJ@cLZO1FQ?4o|#M@(YL*WFyyLcGp9e8 zI=-T5q!LhK!T6PRj;>(@mws$%5QL)&s`3zMjidOXjq6(l&nidVLwXzKy8TH08ud5O zy6MP|Otx?TzIL=3b}hwXa*G7--&9a`O~ocOj|`%&dI(4_kzwBsn}w0?LwN&T-5WL| zkhT3K9s4v=Guya`r`e|U*f}U|hJ76AzHL_*;tb=u-AM5N%ro=8ZZ$FpRgAf1JNEPc z6g&Sxn)E-#w)XQ$7wqC9zN}ITl>To5@$Zn>F{pxDhGg|-wlR;V!B%)=&1T>~2|Q7x zjb=(Qp1%(ejL3jiGY_b_Wv&qgj+V)4r!DFKq^tTWObVQ;drVdd(M_9|{YlsQU&G`V zkIC96Dte*(yDC!T|CvQAuhGl~I#}SrTOf7ibwl&IYYo|hxl-uHvN6ho`6m?C%X=`F z3sFvHolbZ#*9g%$j@CfxPr9l2*q)-xL*Zxg*YN~7(lube&r?ZZ9T$5WntMM#ann2fb=Mq z3BSA<;L%fxp|o@Fl>evJ9iR1Qz`a}kKyB9e({mu)yk--{*1zfch(4=yG zfS!eqV;#BZUvZZ#tT~Et_NSNq<7bIXr5E1WEW>g3v$NiOqt@hunF=`Vf3=Z#W2#tU z{yIAP8^vsi2CaE8N&cG(tW|g)Uw=oDRMqibHuBE}!@#MYbo9V{K}pQ`@~PningV-x zK=^I|S~UH9N8K$2g5|%C_KhZ841gQ`n4z6^=x{EPYH0>rH6%NTG+lwFOq67=*NsY2 zbv2ZqeSzW|7D^}|d%|oBRcx35`{4?ZQnVEQoS02`2`j^J|KNEr``v@!x9H1u0vM@! zm8?X=&u7CPv=#umYeQWnCt0^hEk2$xrhmLbN-M|Jo?Cev67=z01Kb=bE4O8p8xnn>VIl&i_~7 zjhD4A3c%Ds;aRUJ6mkL?$D&3s(K$m$0_;BurGAQUBa;xw&c$m^(;<@*h{t6O;qkEt z8ZHT@F?$$u32{B1)1sl2gdL5Um%|MFM$H*ZJO;Do81^^ zA|+5R_#>y*sEHO`fS+MC%|?>`mCZ$>Wi7z@t}Vkp1}5pmxe)^BBGAodrCXi5qbZbP z@M*{%+@nqAWAG8C9mk`hDU{&zB{{em<8?z9K^{K#B*O#ymeqjIcT}z;TYJ6sJ_*DP z)o2Pu_!(M19nciYF!=Px>RScs!o?5F^;vJw5RMk2vZp-w*~6eBt{?Ua`fM<_tOvl| z(H*th#lax|E&#ksAZNY$KGwOv9{B3g`)7Zrkofk%$e%cWS^aL34xZ)1Y(7ubAoJ7l^d29qU<51D>yCf*{ z)tvN;d(gZ_%QsB*-=WGn13UMi~S&?eXd^@rCm=0LNp<0pW zzVk-#n3cG7`nA)`>SOJA5`|`86n1psHx|HxBMg?c^;iw4{vW?c7=ho;jjNgnpoM+Q zdOI}xGNa?s>ax&C^4Z;J%U4+t9_FJaT}K@d9%iGmhc0;Q zfIKpuq)qo73L!^O=W(wi(gu8r{@E`W87N-}3_`4Wn;U11$3zWG8C2j4pMzcw9|x<3 z5wz@L{0#bddNh)Pu;q&@_Z`^omd4dD2x#w)MuS*c5qt`!h=ZzWdnoblkdKyU^8C zbyX`DehBw8a@muUVL*TVE55WcmA_WV2}g*b3=u&&kyV{MMaJrRJXnG z2nO&HCYsCMkMhr!b$v?bJc@&Zwytu6D5{no3w6EJW;0UrNLCp>=jC(eg;i_%>5Nbdd+o0#e8UmQ zr6z>Lz$bO>Sogh18|`7~Fr3u=?6$it0$DP9*oj)*;SmAnC9aC^0exQ}u=K21`YFHd z3$a%eipKH1Ea0;a2BjA2zKfBK8z({Q_AmyOpo z+fnQj5eOQFsbiJ`*RvX+uc%#appgh-4Mv;MBYx+!@xAX^bAt3_su5|>rPJ9+q)Be3 z(il10t16GP(u;psoIY12VkMr%W$$x}m!JT%N8#aR_~3-8e72WT$)rNdVg$H{T8)kk z5S_^OlC}^c`#q6k{ZAmNCxNkY{&upF@z*(DJ??#* zDRr8BD1{w|yoy8*|6T<@M*KfNZ4QB2(gA9><*g;a zQ9(DhzC(Lc<7}#+nArLTb<>^=p5y@rX$P*{l7{yt-;Efr`00n2XkfyOs4jizLsw|L zgV+*0gRmv+61#pwu_A10TaEvEs){xa1|gTZ0Y-01E7pd^O@c(9+3a<-U*{0kqOhnE z{#!pEtjNnrJ22x`Fto5&JHWmC94;AXABC-FTbGZEw|P1knwOJuK+UZ%TMFdKAZ6m? z+R&laJ1E)L^gS#Zo?)uOZe9#7=jHs*k*=UJl>4M8KOv$OO6539s#I0}EQ|CBa_#+V7+qgjR}H;5O@ z`jej5sntYW{cTRBmLqsuKCt)#eZMly1R9&ZyB)+&BXi%|*j^D8V?t%LIZwy_GnK2| z6OpeOw`OzRhCTECR!Ecg)8uBDc*CblOhrm)SMn_L+nRP9hB1<+A%yg6ujhd@BSLDU1A$V<7Ih)kg_-lsYyrE`B7fb07CPo>g1jVN|){;#9K8qe{= z!c&aLFi@ebYY^;<1A{iB8RI-9oW8~Bylbue(eq#e&pf+w%km&1>`pT{F+D{ zdRiW!_Zt#6;#?!6ZlkDdu1Qzjp;zCjPJh|4j1HWqVlOlRS))LeQk}AEB+_jgLu*cY zW)2gel4JEPK?}nsQ~e=g84CeIN{?rLJO-3IqAcl>n}^4DoDJf4AX2ek@YQRGh)FhW zd773u27Zc0P-31_#=uNM&(Th*#)&?KRYgnLBaSdBFWC=5!AyzE)|L-Q+Y%k{JszAB&&qoO&cAz(rQcuT}?3~6vV@3Ql_OuBoGU9JL-ZY8T@0odUf0D zQec%wSJp!Q;CR)4-x?0l?BFZ3eqQUSOP8cez+7*y)8&zgF6nz>88v0d^MMpa~IDhj&OZn3|3gpa^%h(rev zFgW>TG(;XJGm18{kM(>>nPC@ALZ4TLQbQZ|LIs~yeP>9}EFBraUEQ?fE6#ucq=@({ zb6lL$EONByW!@rxBbcMJT*5ogkO#m`#ZVK8^O7eeTtWvNVUQ;}!xU@%wx zT97@VaPG@UNGi=7V{qnKzr$uQ60%IzaXL)CGN7YZbjf-md7iaXzCo!q*PI2Nt^BH_646G#* zV}idk>9@&K8coWAJDk*cir^$84G#NNkZ9q9=YBbv{D+v!zGjObs=5OQw?%}{|9iP* zP!Om_cDRSZq_xXI-joHn=&>$+@ShWQB3kT&kh>YKK2l}#bg+kiWMjAjwa+Sv&}05K zYeXi6j+mOn!}m$FR`PlS<9Dd;47pr^@IzI0;H2c6H$#M<2^E8H(eR(o;IX30b68Q9 z(Iw5-4OmeYQEBk1I}NOr_h6_>AKGSvV3q$uOJVb$lu4?7e+1fRb)er({?fC6DdGrr z>jQqr34Jm{D1yOBHF@}`K$!kXCGl6$A0!?oR3Y9U3$;m{O%~UoUgj+?DrFLP6QT$& z@thI;bkRWin|Wva;rN}16aL-)@K$$7O23~PPJ9xp!V;>)!HPQTwT0F zrm~ej0d#_|fTxuKK`nW>)1fPCG_A7*<)SzKu&Ozgsy$m9yF5=E_Uc6;^1^*%62?Iy z@__6)4gdZGt3qL2QurDZ>*U;&l6Z)gLAoMk;wa{detKD+-luK@Pm^MQihg{@Huem0 z=R&MaHxnedYzDbj{q%yYvk3UZ7G(L)l2}fj`r3tAz}Non%MSKDahlzrM}6(mtgj#U ze<4pm`%A6@kfXNVq&@L|5nRAMK8J8ZB=Es1pXi?>WmuVKrwl*t*CQjl4`4|9?Dg-p zsyhZRACtj8EQSnR`cnrq!Q2}zY?ON2xEDQ5aYg;~Oh5X0wdcP~;d|6SWTc5KEgLmD z5^|UT>@|FKRN{K}mV8pbu(vsHN7lL<`qYr>sQ3=_S z^RoS%Z3`_$Az9d`?P&97c-81%)N9!{zf=65!OhP5F840zgKe$u`_aFSQ0hD!_5UsL zbl|shhVDHxqV-q_E~_T z-M2YgsI~a(7~I}u&c;b4%(pp8H;+8U>h1Dn4bo_fLSe_I3eXXvFm?K)dr=M=7#GGzgs0=g z$pcgA-{wip!0Hgy?0-D`znwgG<6$;WG^c1qHHO6;?`n>|AjhD16vd$tVa5s%#^heU zO1%xV3U?Ao=qe&w59qWZ5}yw5cnZe@fYBfN+U;OeSF;A;!G)~4T}PDp!-EeYPoH?C zPhDN44yiW@Drd%E6d?>ebSKb;^hCbat6x-e^Qiaj18KLDd@-+#IWtBYv)kH_k)HP={h-N$@hTBC}n4} zWLA1~M%|3lVGIK$GL;RdOyVMUK5rFSorO(;(uuJYaO{+m*>`S)cv(yH zwt2Z%Vwids@tgaFP0@AZr(A)3L`p`Z!A}iOj)Dt>+^PfpbNFq}23CubI()YAEN=Tx zY&~$wY0>{)as0kfSp#U;8khbOr>g+x z*SPG%8rWhyy!OX*yX8|EgFEZ<&@>jWxgALgVQ|GdmSd>h@(UZPUH7lpvc^K51Mu+D zZV6)Cjm|b9O7x}Lf7f<~IrvpDi-|WOG6;-|ontXDZuzE(Tj#@KIbZw=ec(>0`cR)#<%@Coa=9(Mbj?A z*RDcigW8e#J>(ZHud4CfK8gE&@^pv@re;+%iR=FNW;Za~qH_sYNAt>==jd(EwLQZ> zKV`+x%yS}Fg${<_2+uB~Vw8RpBK}D~fnK@2K1O@RbX2JNZl-CJqfB^zSk=^gt~<|t zpN69WNWYkAcMhEGV?5pUwz#9k#&&ilxw)W`{!9nR4z2aykFxx{wiQg|2c z+tANV6=n7OlS;(N?2PSAlXU@IoAh(?moyo<+o)TlD3M6XrSH|IB5r22)8blct=4?x zpIvcS>Z}9FI-L_BeUi}N9HDkZf>gcN>2QWo@;Lcf_^Zw<%Z~~@A=iD*%}CMnCv9M6 zv#sJbQZyt`>Km~+i-q9dl3%&m>5NRN2D)RAeAiF3jP0h?ZOzd!&mXmN>a>XoJFTf1 zj&vO?&flfn^(p;0IJcEmIOtlE=H6KNt&W1^DUyj5DfzLedJ*r%-Jz zLeI~pO{T+_bVav%u;I7^ex8H|3>Zv_e&~doTl4Lnvz&p}vp(Y|IxG>FLq8&>sr6gZ zq6OUM#-hB!?^B(F`puZ=#)Ucg=MMFR6+*R*2v_bLMY!>?>^lFM-%(w!Le2q*(eQsn zqL?%=<|zJ^L}WBKrlLbXr1)M2FxQ5XLnOLh9{8 z>!#o^v=oj=Smnvb0rP18%4(YTEdL*;LEpB3mz?d_H}r2kMrF=4x5_;c=5KTY^UMYM zX#dC3R|mAwJb#x`w0N)p#e%!Lmf{3=hXBPLiWJfUE$;5_?hXZlyF10*T}u1r`TpL& zZg1~)cW!2HZ*FGiGs!MLYH)FF{DLB6yK|-*bt|Bxj1Y`a2kC1dE#%bT9~HEWpPWJj zRCr98M3+UbPK;JR&7~g;vpuDLBnG}73E^S#!UjgXlr(tznWhDRuX48}21z9BkauXN zr|2*5fPP09rG?Kp>F|j1NUHviJ2XJQL)csbsd>Dm2rVwRClWL)f{MmQWfPpp83i+f zK#9zXhKWCYmWB6QH0mXx%l+eFEXF0hz$$EwTVvoI-T^M=J_}G3)nV9(4`wymB5SQ! z+r=k4AQe{Iv|YAk2>ig1B+bOOG9Eu2O9u>D;WZg>#tS9@Prd~Le&=-{@xTa2TBN;R zW!6S&FO29_aWp1z&Vh zBE6FTs6|65;lnGQ5;+@zo!zLVd$By4`G7GMgc38ynnh=XSmr=%MPDbRQvGd0UWTT9 z!M~3(!hSl72_{3~jnw6qN@awV{*4WTYJv8V5wi1f_r?+WoUlP6g~gE;i4Z2J(1Qop z#8mD;(fAz~8z2u|kAJA8h}%|Sl*ocKaVr{2%YYiK4$MV9n`^7bF~m1&ohkS!&R6j_ z2|uH_b$02}-gL%xU0%j@oOS=fS5~E0uHn<+a)`q53N^&4v7m~myuiF1d$ml-p_`0h zSahriy$L)J(L82T@Me__LTCgXSfmS~T+MCxM0dAJxylG>l0PG`YPT4hUp5ZaQe=U9 zWn7Zj+HLMYu=}Bw2Sqm$YS{fq%FP0*{JE2us~I`u#p0Bcv4`?Y*(+C5T0%})&l_P% zNVPoLPl295E>C#Pv-K;bFbyP99<7W7qrQ);DK#PIIMB@V(*RpM9u(t}#!qv=Cmv6e zm)LyJ;*^h3QVV|3a6kxN6-YCrJhWtH9zf-(K5*ZxFMoaTJMH)Tm-ytuCPE2NUZ4c}n z;%PucBpq$Zy(|^fQ*FRD9uMPs#a(`Y4gkQY9p&OnPsp*|=4hwhdH+AMEOadGy+;e= zIfkl+buHEJY@Ec}*&vk@iRA&3Unw>*fka1y77a$OlAanet7FG4qK`jHMof|z+9I!o zH|9_NSx)CYi$pmNA7<-eHovFwk>pYFttW!&nd`5sL-%NC$43FrMXOwNpSAiR6#EQ8WY(%BOX3DE2475Yb>zqAT|aF>uM51i8gD%wmp(p2V( z$Jd5vpK&fPy8#ugk)rhs2|5ZU$m1X1TeGpxe4<`p0 zN?+{u=<7FrhRtm(2Z9>{26MD}+P!L07p4)@^1s}f0$H8q5YP8}Z1w#Hxk}3}&dKd_ z2!y2o?ZGGp)}kVW>lMW)!q&ME+Vs0?Q|8KA2<<0cPbX|`oJlH#g~7llIAhSB6(yda zC*?P8*XGTbw|AxpmER$i947}oA6L8-X17bbQNnG$2a;UA!Pd6Y>YJI;LDBv)XS+gv z*rTVPdt&zy``R>lVw5NG2cfrH4N7vz{D)|yol?Dh61jaXn zHhf1GSqSWe`6spbb4>&?!$^qUGwg`AD6n{K`>emiz6bQtD=z{>Ta?KxuNo8N$;qe) zMO_-QPkU_4r+1j=G(-fHBR-f+q#pM$nxA^ZvO!OYl_2#S22Q?=|95wTx(G>N#lGn zZGGoYlDwSLmwrnlw$BB!HE@+f33XzVy{Fo!Y&^9nYzr+H_sB(P7n*fl^|vIym=md- zF6sD8Md}`1^@nJY-%@(=PWOM^>Jc?}3mzbc5Yy=KAOcYJ*meo64ZX(xihH8w+V3SXwSJAm^U4qG>BJ}r7j}jfr zfk`kRum6@sIEq6EcbV?&#<8TZdFf96G#~Z~AbAQVeRf9fPO072V%^Xe7zGQU$FdX_ z^^$=z{zRr-fUQAN%!`#Frt&(z3}cXMvmh}gjgzqMf=UY zFGq^@?_4iV!Ob4$6;voTZBbJoc?9UEiajNk_f)p-%~j}S4T@CAE0~8}R)kn4f`#rf zpFE;KT02;h;3);AGvouSOX^7riXXhNQJ;BfieEXSHLX>ceVfBxJ9m|JmVg2YEhGfb z+F%a=+V!{7wt?7maIx`We-|$;79o}-J2mHhsY8hmNhH5WtoGta)jbMjZr2e_`G}D%KxTYn%1}Gr zU$*FhU4*wP(lzGY7ia@py0V-|C%>iR^D_78-0N|N&qctK1NJknaJuef_fF3n{nEax zcW#S;m<`BKYzTeuq6==8rJs>sS=#&@F%t z4Y_Yk8sChT7w=5ASIy6*z3tak4eSLxW>J?9`>7~y@Oy+)56JClnp$<;?T`AI%zFT` zKedfnC_P&r>pt1BYvVu$iBML&u8?YbAP)h)wHZ*|{FXcC@i|s<9=;UTHDzF?E0BK2?rEz(-BT|5*pjDv@{TN@1rHVoMksKxsF*2XL?g@&*C zK|6G1Sz1#1UVJ#CwJ&dBdM(*R$st<+(*D23r-k$F`a_zX*q7!g@QE8gEWz$MO}B9C zl`J$A4uB6*g@|##%>f^TMg$hF(Gc*NMmr(b*pQacFe0$8LqM6?%g5aF!+Af@yr*B6 zr#Bn~Gb6k(k@2aGCl>CS;~xo^fIzXP~}L*D?xvs!)$_k=@Wd4tIkbUwAg0)3$fE^$OeA+h}eV$0!yupx7@?CpL% zbH%}A<02oISY2Dr4Dii1mx~vz@voHget9?@Av}HI>#+SOI0*bvzl}Nc^wDxg&p0E$ zKdQOhzKIB~NU>_a-S?`EUKr=bL#b~yUbB|F@e+uX0PszQqa?(9r?c-OQVBI;-XLPX z+!o^W>`-RE-6kw7>jN)Qvy-cREaEo{@AQ&G1KRc`d0nL}m0WeXl@fiiaZ3!V+%K~< zUZa;G9Mu`^Y>~;J1q?zX2#nW2goZqb%$+Ur@O3p{kBQw8@Sg2>o5*-A7vtCz(6kax zKhMenU6oaK^fr@svDCdo%i3nOx9d2JF`_vrt@eAmyt)1(r>5-UUtpH0Fz5mI5Q&Yj zM+MCu;}d>TP#hU)bYse%w;|uUD3gEGov&a@>lMaFLji61ctGJh*)xV0m@?1Wvq$b$7YnaCF<5l~Ea@yZBo~aMstR70A z*xPELEYq>CsP&=)obK~1J$c1VTG4g2rWJ5x8gBR?6$Cs5$Uwr<*lJ-cCX3 zNRh$IB8WlhOp$u4H_btIZO#^H#auUK#!hDi-|ll11qII7-r=$%&kU6ylyQ;YnWMu8 zK}G-WJr>TH3O93RrAR;Z*Xpp7J($0V3?Vr8=?$-?!BvlisQ7S(zpKkj$hbE5wBqe( zyd0%`e}jiNX~T{jo1*ULU-C<2r(YAVQ@ersGd!6ib~@WaJ6?$6{xW{#u#+d zcGBKm(9M1hyiVH-_k$Fo&J^W5PFuofG@b7f{`Oknp&;Dr78OUGfV z7G~$gdx@PoeRGB_jgIG+J=ayT|}aFa6lpr4^WxJAL=JW z_!LM{v~yXOVi!81SJ4OD4~5_3Ut6DX`<_Z49DB9@e!m$frL=0Knk{;jr$Y!PA6CDC z4r#C}ccg>a%koYP8Ogre{K($NtfovfUX2lVY4cWwQWUR*0_`WyoyVQoClJYdL2yrXk7numLb_pkU#VKJx4wKI{peDx#SG+Ldsa&DfErLBFMMhRbRY~EU4 zNSjk$H1_1NXUy)uIW*lq4Ibm9bd3axN{u4{y!P|{=jSQ9%3_)?k1O>zIu`0>8O1c! zsy4F?uR7yt2g!+xrH?%9*Rj_x&@e}HBEDxv7tLlk{0(1J?2H;ygeKRkpO^ic)crzl z1p!9RWzDB(TQ+5@Z`fxuZHlhKufw~gx#<++YG3+jN@diPcqkt+G|^?&`QrXm|Bjmo zCUAd@W|E2JI$2bUhJF5@u8%H78+@6g4tzK7Q7kqFk!#^UZmb#ppnZ}RM zXW!Vc6In^VrQka&Q~dT{_!d%MmsE>MFz%?kL-&aoQulV`yv;Od7im89^Y;CGo?@*e zQQugcQc%9R#xwTZ4%L|-;GobZDaNb~bZEgAoe@j=Cryvlnhj%GL#6Q130^S?y1iBa zor=!{OWFwCW2f3DVAqpCfmXV;>t+qu>$4c39)9$~dQP!hrP26*dC67YeZmXDsgY@; z;O@d4#w$Z<&KWL}#R~xn<+*7!9x?RD2Q$z70=vsn^_6Ik4cpTA}srJ8L5t~lACdQ~O6*DZKRgV*& z4UN&3a#c(yVP`C3V4CijZ0uZ;E17}1as@KMIs+wbR-1jR;gspQ5$GP`v2Gsb z@$u>DCS~4I-^OpDTb`Lt;!WMC<$5$GSAmldL~~uE`Ru*ES)t!uz9X!RVTrmP&+6qL zGozo#2xoWfDT)^73FO>m-M*%jvBw;$>_2mWO(<*YI3|OELI{Zm_=H*|j$JeNNAGDg zn|}qjdU)82K60&o?8hZJs@wi`P|!fgAy{aQ5zf7r20y)zwFU`^rdyZt?S}RyX^!Mf*1=I;Vpj;7ADld`~q-Dx8mP16CBC zyd#O?C5|z2Id381*uf-`F}r_%7X_3$7g9j0{1dgII#QGWheem;Xk1?x(7P>iC9mc$ z*-BaR_s6wmK~6#Iy~pm3^?C_c(>3a=`_^~8UK}TxU9A_@cFzs0UHO00jZqBrPbM9N_y;)n2yhv(X9BlHDqfgUz z#PqXY3WS)J)%rbu$4E0v zm=s0C_ALq%_~!h6DOOB<^N{ARDGp2uRGzEBPd}g332r1v+IRZiN)>O6q+VBHD`IM? zh1tQ=gvF*3BQ5(;B&CF^eG|PjOAUptm5}=$_PGLZM@*x?>Y7o1#9V?<5T=Kyrifmd z6^5b~))$Laa7C!v?qSz{7R%s(m!7PDWxY6$aC|KL$wai2fk+Uuq{l1##&TiSwnwiSxLF#Q#T} zqrW{LoTOwz^Yz!qUXG8YrELf&TZ4RkTo5KITJHN>NO7oULXXc=?dqqX-il@lUyOP^ z+Z4tRaunhVX>!s~s)fa9KRRm7?7JICa4w(X5#;5i_V*_yh0ln8mh6agH3@i45nlyN z>C%ywDaEniP41RW#j$`2CD-aJIO2T7R`r_I+S~Re6b6`(gfi+M(;VX3=|k3-OU~j8 z#0m_{m3{U>6oX2i7&0SocUsJpHT&s8eC!h_CdXj#D(HRw`~w)yse#Ao=a+SwR#vKf z8tOlsGTROkaLA2lzTf=!>h^_UgjPF$TFmMAM@)BKy&Nx*P7>P0d!kRqSfcRF0k76C zuT^nt1G++gA{pR;UD2NKRx{p~6lte}4t>Y6$8W7g`TC>Xke%2|){Io{cG5!K6DEqD zT&4}7-ziLIUt4UL+6(J}{%gD}km41v+KiI;MB1pcjeQbDGT5@k$G(Z8SxK4^w*Tld z`ALv+Z2#G%M^gyB-rIkTGeVu(nXA&~WIr=xU@;aAf0)f@*@LCdn>**=wme{5IItZ6MeX+O*B8ipG3ul}@ zZ>fbjvy9yzV&(B7^yaO}3yj)EfA=C|Wksy>cN&HD9{#s^_vxDKgp+(m7lSzQF=(|= zOn;0*y7*%l^|JjfBmvm*^Mr1U?`eAR9aVoKz$*Rq@bcB^rDeW)xQJ;F=6Xda-j>>< zOHJx@moMB1Dwav4tRIg?prZme zHXpigCZ5#}u&bEL<&K~kn;K6)}ps$bLDDua1 zNT(e?+~!Anhk3<(xXv%PnFCk^zaD}==#)y5YfRvZKLa5ZOI7I>VzRG~0YWvJXEgP= ztP`B#NviSkYcUDG>=w-IPG5*n~QXE8poDky&2o-NCbPh&ecZn&Uloq6vvVIFmg|jBr@u@Zp9(!s3o_qZsQYSz3 zZux^aT`KMLyJaJBEA@JLk2KkKEX=DUx8i z`5)3mS3}WH@JAKZ9Yc+N)pZmB=BCp7S8$wq#(nbbZ(bL@gF?D{+6|dp6d;p-mLSN= zq0!6G^Fi%CmWO}w4_VV{WJiDh*%aTnWxI*;J_&O>@n!6+-<_j_W8OV<`%_75j8d<% zm@Rf0XLbeh5WiNy!jr8)n7$}eNI5ql~!<3(2K(C+}!PmWB$Nn{XsXQ5>*c}u$ z_gbRzIc@`)Y%!ZbThxYbsT;CrW|YEOLp`4=ZSeu2fr1xZbOwOpqBGpTb5 zDS`L!8${2erg){S9+jCX2}G=GZlhh=K#ZlH!2Se7s80>Ti%0ogY!PU|R0>0&j8rXb zw@>ybiL*9;9{zZFGzRx(L2Wms=VD68tES#wHG0Pu*1Aio{7+KnsQ38%K(k-LYnrU= zK$~A;RROut5nP+!{$`OW@~nIViSU%wHrhoX(7VGm#jSwv{KLA4ZRyWw+uaRF3KbAB zu8D8i(1>WS#`FB|LmrtC{F`=0g1bS3U^-%FDV?ZORY#%eZ>ut|A83M=xHg4%{)IW& z4XUZJc*HSdF#FdbJT#EMVMgyTG9-?nnBs>O_-J6Xlp7$%kge)crrQ3Ji0_iSesoo` zPq+H@Cf?YW81RybVcmw{cZU+jxq^X+e1e>?1hwVPNkzV$#$<@g8+zrBqIgJ<%a8J$sm*QdNElF2O1Z)8kl?EBMEWU^W?>Kf1JbtFIOJGKI8|%L>M7=$c7t zG7Z31@earpykG(tXU1lKqd$w@s^c%mtT&?ogfGN3gFI3=gKlq$kNKIjwe^2xB3Eor zqwNvaFfLpEvL(OT6^fJcB&~^p7GrQuAPtivdZrShZ7V4sTA$C?l7ed>c~p@$#^#X0L?}~>DXY5mYFw( z8aAF-q|W6CQ%qRSux~0PZz}N$qDY+M2l+GxZek$FUq=Dt>A0aszsdNao7`EKy({ro zbwg-~=cx;*+uJ?^`M)hy+K^uf2)>)f!zTlmf{XXyvGNSGVLZfF!p`MTQf&&9h*B7L zU3JYK9wzLOR~Pg*M9liy_6Cc+(P_DAn0eO^vFo7{04r>B{k#y@KFk(%D0NeNY^>!Ik?{k^6!ROCO>x%*`;6?F>!f7R2)4-}x# zMhC^x{&M!_;YqvfMXr21K}FjUMP>!-Fpydtlb&N~UIr^>_){MgLp(C(G<1%-*c^V>vM{fBy|;sN+8h;J86!^0k}EaK4}l-gigsV6Zevn@%g2G_#*c% zbmy{qYOHOXOfsW_)8Cdhn7ly!Mi%+@(JnJdv!rJ@L()gE+2}-9^GnKI8A9LRk#uE^ z2FgW@!^wQ*bw>B+S_H`7Hd!40@`DcLA!a|tJ{37ROHM$?D>bu#|5Ah-GoB0Gy zLw?g0lamGMk$!&Cg4G(` zz1i+b7akPIOl|c>;8yPMPmzI1F$Gp`Jh9Pk_OIcrb%_ciqs%E^r)9S&maSFd`F5ER zwfA|vC=EjhRAN`ys&at0YUx&b3Z#}Yj` zHs)PnM8~qya>MIRgzN@#+7QHf4?Fbv+FjOYD0`qNO9fNtc3XOaXZ+hj0 zT>2l=U%e(}HR8pDnmnYXCFnot<1c_U_sLABW@SjK9cf>8yAUp}0%)9E9%3mlmwp8= z?-INxzXpJNJdlq`BJT3D;p-?$OkVE!yL70aIu6Pq(yp2DTxnauCq08-Wl-z@zqYm} zC(m;*ZkXaoSD1RB7M%zG{Z{4^0j9F_m zUaKzV|1I+q4g(~;D$E*TWci&-F-XgknK>cgI`KXlW`^+4c{U_x<->1Sd9HT5(5AXjlK1(w_M*%gM`qF7c%5HWC)y{ z5K=#J7?#Wisg6HMj0NcJCHLgTH7lAQo9w1`FjV!L&?Y{YqYEoa_dEISYB|Ts@}!P1 zu%Rc^&^zuKWd5P0O;zVe_}n^d5dbx!zA)eY)IX%zMZi*p(Nan*NX1!%Q*mI7VPb2? z!I?ntpn=xp%KnqSk$9{$0Suf)_2l`)*{fU_!kKBx|6p!WSWTL{!##u%;IS4So9D>E znWDky0io-WIq$!u3&*Y69^p&WduPx>1mf02Ak49 zm=`#gITPq)*s}GIpZ7Z#69(!@0ew@s0(xJ^IR`3Z6C zw~Zt4W0~wRY1LV2mc}}X8INTI)Zs(p_IPrZP8uiUiqmNqOlD;=T7Lc^gG4x6QOSD| zrZAn4Hu$knwm!(TI)z6@0~#G>4&hO>X^#~NP>23#pd7UxWbrO3C08^xXDJ4^O|b5LWv@BZ9h$+C;#wF$}c|BGz;UG zEZ}8WS6E$^g5rlQ?i~;)(nCGg`tuc+wM;a&@aK zNtOqK==%QnPQ2$plk&`?a$ZE%Ru^i@rDNziIi$!3Q1Wh5wyQmf4sSH}e_s5HE<>l4 zRKvM9#4t-3fRusv6RKhl(!IX5*NQ&$r8KjVR=w6tZ08Oe6(ah=QWf`B+NlmyE+yML zRJ0)z4^$>7-Mw5zBXl8sN?d(bsCW@`tI|S1~iT9xg*(>0P3s&sEanm zgdb08vrX4$0q`R{@S?Vj|c3%#~fT_a5C zP0HeXI(7x1Jub!o;p(*j%UHlW`bYIFSFVkhcPNig9swK7(L|5f$1N;l1z!JIX|^Br+$^F3Dp@;%^0OS zKvljPIn;LjhK;5y-l>Fha6BZJEw3dB-7>h=%W#Dj^;&5#3o)U%jFc+UXo#tB>@X@f zlGJ_GaQ(hXqazwQ=4|L5AO3DOdqyxdc~BiL7xP?M8+kU|F@J>vy?`mFfWLLk35x3$ zb))aH#R|{&a&##ndF~RYapE@e7=?xF&kudci!4 zdp)7D8M`EB%ltIl2DFByA~$?Y_R?E7uR z@;``>*Umn*<(*BUEnJ6Wvh6aRT@$@4_l7~0iyaD$y1ZQf0ScqTP8P ztjW*x@=2{?tI-xpmeq&sn7XN2CiCU(ZavsrrE0QdaUZ}?> zjRGn$(F|<-yFg1bF*OAAPg$nzX)Yqke1jxlFKKPE?5X>Tc9{hQ2|uNFo`}$Az%F9(4RkI{n?eKQ+U{XbK zY>#MYymM)Y3L)rEI0i@0$*VVEX%Zw8CU-L4MDlFcZA8(ZRF;IRe?jD0WB^rN5!@yO zn#hv6+%aYnDSTnV(*8LU&~kTS%ry|A;$`HzYeQIu3yEyG!`ETv1f7fx0$tJv*VxzX zJMoYQNv~L)ND^1Ev7xD^uw=3(ijkGEP?@h2b{;Ow1DO`E@#07Q+~UJYMLNypCU*cLtRC1DA-91M?Dh zKg!|wHQ|2!$vi5DleIUha$~zO3`7;FaY=ctqNw2y^9zHcpNZE%9mM4#K zoBx_6W8z*HiO(B*l5uyETM9d2svK<0MASDVVHcrE`F!N3M~SgZ)6zSo)l!8UwwN8n*ro%}Tw*q>Ptc0E!C zM z#6=Rcl@{+IV>l-#;9WZB17fq$%<5P<7X?*8ODe{kd95Y+h~asad-LpA_(@#!EWt7~ zkhC=m*dPhoVw^qSb_Tp71j}$-9W6pOb@F9fm@Ekz{bH+w%-WP(i(%b*hzbv$a@D4A zL`!Lvv+;AZYs$Sc2&;tIuz+LPwLLXUP9=Zy4PDvCWB9un^6;L`IlRnQ7rz|azTfs7G0*EP5o zb~J`;g61&2$e?)UM>UYxREbDy00sAUg3O4$aulhHK4@#VXfp`+^WQo!&f?M1fkl8O zGGOu+TRirFC-8f7z6lz|VKqi(2h<6cJbAq4Q02{;m7Y;>1pBv@s0Q>Gu!L3qq-DJ6ADz#q+9S6s1Ld?716(8=t)wJ8mQ~LBjb%kxvET z=~qapVV(E;Awf`)%W#sGgcy%GaLCkv@C1}#cLge^lG1PuNA!#QM z*F?Phrff9f*fJU4gv*>f+nGnsgwLEUIcmA#n<1w;U$Xr;`jD*5*j5~C@i#+W^M!g1 zB$tRNdxFf@2A*fGr{-yuh9;{xyN3G9ijDG(*|&TkirK$NgXr$@Ck-YO)o;_|NE`ZK z-toQr_VH;6DWfjpoZBP^)XtJVxm1}W^tZOeuO3)=o0(eIZjH9f4gEMkm%@X&9SJDJwjlxI<+3S?EqrCvfH=6 zF2tp9w%=DO>Z^`;j4|QnhlH4rx@6s?3~RqBjz}F*E_aG(8EJ*0+S6Jq;3lk3eu;QY zal=)Q0uHXp{j0=He!9CW8e6;|ary4XT1?h%s*gosVFi=T2#?uiKqCr(3yvOMPGAm} zR(0K@h-evZMU{`jCzNz4@ReEHHVi6gp&*nDeR+t4=aa&X+xFLeM|=Ly8g>A0G5MuWUd&oL(KU&h4L?I#_ zdCNr2yB<^iP}b^mn_e|G)sBK>G}#sjm-r1jvKJ$$ljI+$ew=&k+uOzU-mEz3=M?9U zZegGNV!G%~w|iN{W+sFBx=(0B{#7!{$9`gVRb^siiS^qt0^I+pDyICap%^lO096a3 z3cuywdc1f>-Tqh7yBP_I;kU5h%e~S%)>SY{aA9z<|AxlQ{h#SmvqvsJjAk;25+2g^ z+D;A)SOR0?cvppBaBY!RuH`9uVw<9V(4?B?vkXBKb?=MO zqJm)X<{rE!`JsZe174Yv#cs{@fPsIePQ4%*z4l7|OrJ6_3AEtWir_Hohu`%csn0~0 zxTM4!N`{*Br1&v6Jc7sU@clZ{)rT{QuyCltTh7QK2EfnG95uxv92hT^7$L80I){-8 zYHLyyr&(%O*AavmVxv)1>xBH8cA}wa>RP@^oWfW<}CAGqVCdz4S$E)k?=D7o!C;kwZCA|FFEAjG`6cN z@_tuTgt_G@ag7J{bk7sg4pED(0%D-JVXs@?Ymex@KBY?rEGY^nRB837_K%o~6Ugvi z`VM0N=&UPKPrP_|4$yY=QXnTJ^`WV|`^4HM#c;@oTB%-a4G+ns#8Qu8r3mMn#h< zC(HH1^ko-KtD4E@Ui7~Fg-RbP^}2{VIu>pb+iMMjoRIb1$fn^WxA+Go-LlrbUfn&* z>Gf`mu(#er$Z0irm8{8G4B_@XW-a>?j7IXU@}}`;2vr5V89Q<%X z(uAgz8s!t57=3WqdQ%#-<|j5KEu90mS)-$s;(Aicu;jk4P(QG*WQ3S# z-35;XZR;=9ty>cC_!TssA?ZCN3E;E&P)<$2p>-)@(Mu@y4c|n_zE``FyKQdb!^B}! zN{yOb-W(G;-~+E&x?|OcaKe+7L8Yat#x!bGmOzC=v8N#yl7UcT+b|s3lA|CrUoZ9e z+$+P;xig(e?dIm>6{Q;KZUdSy&zFJ(ee~c$Zo1P2Fu3$2 zJw1chta>64JgiH8{T&SjGtH0~H;_O!Uh`}swI*5^+&UagM>exI8P8RP>HO{$O8w<5#M7YgEd%=tr@>Nxl+p_i;4nk~uB4~tCtZtK?=z@nW0m?RDnm`(6 zc{DT~C4ma>gfdClhHF2IUYp89O@KO9t8FhZE2M6i7cg=CX%|G1eu|^AF(@)F0%z*P_?YsEQkd;$AfDcY*ng19`I5Djsq*sUY{yy!AS`Y}lFy+-BG7J>Cw_NYXm|1xjbQFz!5zFf7}}zvZ@m46U=%sDCx> zO>V=bEf2w4=Z1q1$S77hEbn%qb+(|#3hv4Rst%KC3O`=QWh*`E^4HV0dum+Qqy2U+ z%r7cv2PV6h+cRF3flv`gDL{;1a6mZY=`@*6vZ zj%$V3%RHyKNb-N6<+s->$Of7m?#m1##1+Y2q`AoQ6VL)IWB*!xtxgY%bC5at`-WR= z8Z15h$(U;@Vzmu{c6Ce2 zQiga8?j57`kT|VRk$h_rzJ`U-dKN)Y;G+BcXvVR9TG066l~ z8{YAV)(pwkVR!C*m(LRXsb&Lj#}U1F9E6diS`t2-BzQJsNfO>vPFBe2Ejr^neU#>)kllG{+P__6o-q#_W=( zZg)a$0tB>0t1Gp>@W~O-id#UbzqBOJV9`ViEAL6I)eUoz)Qyuda|AB}2`{YFz5Vkt3cAbNmZK}`bF#}ox0Ftf-P4?+Me`Eh< zJfOEDg}(0ZVO2MJiAw$D$MR1E{_gaVz-e}SOJVmT2D1%0+(s!(pZXS_$3qwF8(qC{ z^bsbDE2H)d_rky(Q0gO-zOANJP){C`~$dauxoYc`PyG_=alnI(r z;dRpydk#@h)NRMJEnxH9_I&c{?txnNaE_&pywT_$#O0O1qiKMSo9~E5m8qDi0t;D6 zofdW1TlYI(dTrCVmZXS|-S-(<`CN=h|720Kkt)=8JLEE5=a5%a{ZC5jL_Rc7(8{cJ z>eP+(azO?hj?x-!Ju;;jJW`&xfqI7KGepRJF8UkVQh3`otj&GS@5z`R>tOX~ZmG~- zp(*{!4U)_if6B2lcuEcZCbD7!jVkT&7dc0rnI0pHS zr(8qR2gswoT4V$3NxKC*ExLgkq%Jh-6SN|x18V~mp%!MMyE(vFlJ1(3juk5IB7XCK zS_LBZ10BD|2w;qc@<0|AZ$&2bgva(D#X`fl+}ix+ZVX4OYTWz(_A4mK9aPopCVx0_ zBEWs6*XVpX0KbAia#5)+XHSzzSNeef@L%X3tD26sk4#A~sss(B{m9-UjPUo*NsWjx zzm9?Ur8R|&e@6xqxA^eJTP4M%o^v?;^^Ny${+-zY8m9@wT~V8X&yn&Q6(~c#BM}Dh zQW18{Ljy2d{NE2s|24VYLV2n2x7nOKqXQP3R`5#|KocH1?@o9Lkz3406Dt$?^yd3y zs>{ohI_D+ocHK!%!1PJZE`?Ni(~{9rCS2jRRcyK(kP_p87+Qgph9C!39lISsaS@aM zJXE4^57p`)s*TYCtjb?*pXE2~hw0gS?1qyzjW~AF7yPe08qsYe+2G6gIG%o-qSe<* zE41-pOYZX~?P>gL^+{%{uh3#EDpp-8iqxyhv>!t!Le=V%TCWxXX zE$K61M7TQ;ZmWi>-ySeAZ&j-LZ-)Gk*qDO6XFv{ZtJwf-LCaiz{C1d%tIn9}R($xk z7ThAo&ip<>E)~2KJVRZoVafQSs)nmy1#l16F7+Lvx4IqInr3qygSOcW(45iOk;I)Q z0bgBDTo+FQ7oZ7*+=AhIiX6;}DcwWw`2(&Ug27DXf3&_|o(*TG)n5G8a!(`N<>sbq zCT9o{wOg+fD@)mv{Fjd4{S+=N^6Rw~82qm@neuP*01KW$=xii)O=}SQn84$O&$3ag z|7{bn?{D{bKug<3CA613IH`;&Tr)nIg0`YKj_5-ztqd)~^DCFP2f=tUjkiPGpX6)d z>y6wvErm*p?$?=!AOEV%HDe5#s>f1ho>3(K)JZbP{|)@JrgOa%LhB25{QKL@#EHJT zKEIG3+UaM!R=uaLzuz=D?p12JDpG1^sTFf(XIh=lyJyF3^%vSs!kSq8?JH#9tz_hv z_V+^{j)}`UR&^x0cp+I#7x#oQ?N(z%JSG_FuH)XT9pcTli&j)0rzpV8GByIF`=C})ooJ+1onS&RV!`X+5WY|u0*A6<^<9i{{+AN`sX$S?bu z(Ew?YhQ#?E(;TJchD|J$$%iIW@4)vG8~6w%(MTZC|2z|20W^2�Dnst@s3JebpIS zvyniLm3HS!*HuV2qVz~tloa1w+WAJ`8WpOluwMsXf7xy>oEf-l)LH$>pU>oT;nX8E zDJ>~74>j#qe@>TgcOXDO(XEL!8NBABMP$|O<21zrTJ#+MJfl8OGqR{bA{CI^SxQmU zv$)9??kMmJL1Gq3f9I0e<=&_UmGPd^pcZ$H&n2wbQ*Bj<5*Z6f#9VEj{lJ&=c<=mv z!*1!Vj~$_N5YmaLFp>*m@Y{i`A{-OR?Ld1zAk?OZaZ*Oou(T9EtZCL!yBJ(9^2YGE z`YW^E8x`3vC2{d;gc%3X8?Q09`K&EN6rBfDM}xY~%NqYQ2=v4l%k?bYy0L)s;tmE+ zs$&Q(GLX{eSaNBBDhA#JR-5@;9Ma$#(|V!V*rTt*|Dvwl%v8;bk=)UzCIm3q;-Zxr zOraG9kw-(fEdP(Jw~UJH2i}AS8{FO9-Q5Qm+}+*XwQ+YC+!@^6-CYNVK^nKg9kze} z-S@ob?0!o3O{J2XTggePo~oh@Vtd)8^cGvDmnLGH2zGYhEG1tO#Yk75$QMHpT9K4% zHR>)!xZtT%7`ezOD_9JoP-Vc`*H{?HPN~4Mv;JUisL~LV#9*YZBx0KhX65V1?v7JX zfG*W#S<^2IK{=N}^&t{2lNjn)mdNv+q9b9q+TDYl&=mpYf3=!IPc93bE=o$F{+$EP zmR*`AK>7kVPOyP;7Ybw|akXCCgQaZ>oNh9rSNypSWhQhP(V;#q1bhI%GpyBGM5FWV zrqF55$T6lfG#crizXbEhtKOAdFH**FOG?&Fn815@C%PHY=p16Cco1vvH z%Owvd1ozdk2$n(mPScK!;GvfdoH|}@@$-Diu*2jQEP|!-`ZTj#C}@Bjowq37J zE>S(r^MCz2nE?liw?PO0+fe+Cd=4mHN*|&EsOYP#cRfiwU8Al}Dqy_bT&RPLp@(pw zZHxs9!uR^5Iihx-{!m&mcdXydwuB$y-miHdr)PR1A?FJjS~v+S9fEF50O})uulds_)x0T!!7E*skWPEj82D^v>@)-p1n@l@tTc zL#$6n?-s7G%|OKp-#JN190oC;#Q;jJU24VJ&zr&nEQFgs^u;mE zw_e8qnA+#TNA*_!{AME>O+Vug+E35P;3U19u!pkY`Xwv`K6Nn`K@Ck#dckmHPzFha z{LsnC8;$1IsDOSu0WW> zL2-rWSaKl7$tYx%+KNpQJr;us`w0g%u{Mk5OhJlltqx1y1D>c}b#eSDdj;JIv!84) zlCs0uT1O@(p*3P997cHFPl*f3&4R|j7`-N=k}Oa*h*S!AtmYNpi>hisJKf?#N>gbm zuoG%CPn#;z)g5?-$8bibSpPGx(xvTI!kg0c`y1LvOW)*|Wb5MJKI4H$%d=+o#?MIc zzQT%bexU3KgMwwFzR{VA?IQTla|;van^f|$KoC`@nZ?n-N@po90Iw|#W za^s)3(SF=7(H7o-6Y9vXMNG*_3PW9%7i52^8vrL1tXmS0dZ5U`W%QWxuNF%P{M~bg z_tiWTEj9;{AzZXi>5**srmdp$Zv2K)x~cNgYrvq#!+nxY3FjYvaJrS7)y3G>NYNuFc*v8ia4`0Qy~ zp-rGRM_&Z6(^6FSFMO?c=kMh#1Ib6t^WQJEpe}7NT%(b`Wu>UDK!oNZLqXysJRi>` zaJKR2tIBTvvOt8^q6pCG2qTeH<6ix6yBC}-b;VD8Cs$o0e4(;2DT` zDJ)oVM;GWOyWc2|X<3bF=p1)Kc~JeFrTJrh-hpi=deg_ZK+jQ}ykjr=oD|*asE%99 z?+-v}beVc_CvXR~giT3Hp-cK!jW(=0mLlIQ8Pk*Jx2hZWdUO}TBCY)z27T}G)FDQ( zLd*UpwR%2y{Os@5!|>t^{=O|CwwY*D#3-H^xi*vM6!-SnV`Fdfl-_~TTe#3kBWYE( zkd+AP@9-v$f0otsusWaPshoZ-_+D$V9bW?^0crtoUS`7C)+5M@?{&|uIxHZY*1i6H zRii{vermuw1ATyB5g4yLQl|aLd^qrE-7Fq+2oKF!m2EXz88NCIH`8)tocc?*S}mq6 z+~&v85|>;`Wb%s=s(BFRQ{KEkSa5g1h7{If>Md{XY7CtZ9QU2wXzcf6Cr9@r%uPUk z^%rHJ12UpUq5D`&g=1xX8oLg-u1s5~hBl@Knti--Uv6&M4tw5npmk0yl6EU zNjZRYF3+>GBSz7!(H-6$#7BG7YIu_t8iT+X?9s4W^6d>Zrp$>UUph?m*SPRaUM)WE zHtWND<5kNOC|gPeWo{c!$syu9&^W3?)@ex5h439GrGIpa#LjBIOCHi7IQsLIl|-&V zaPW&Mf}mwTai>G3b7KL(kQz_aWCGo`b4)%~!!udvf7qEVub|bCu6t;n^i;$138WDv zC@0VBe&<24~8WIyt@24s9N`=1s5Q;^^quh%;@U+4IZX3%idW35U<4+w(8jnaBp!p3yS9JtLyBX?kvk5-_KDCL})($BgwOUg~h zxzkaFqq)}UI%k3)aXS4V44#ylwsREd4=)<6;81QqEl)_7O;FT7u;GMGO zw?8WU$N=x6mnzxiQ>nV76M%7VP*sBoQGwn z@qv+^f1?R?LVokqPs#^0o3!{?OvFM+yI#ddyaUWeK=E?m_JvzQjL0P$ph=VRYj|FR zZKyp<%p>!He{^m-y@p9`YpBVFs!3hYK*$T)wNFCCv;A0D3QsUls=-f7rh1{ESeyz+ zHYop_qUyH?Wzl1wp*vUB7`n4v9eCehTuOKCpd<3S!6N(1^B5yBfNNUgaQh;W8vh8U z@(;kvu@Z;iFImzj*Zs5-qqmH7VxoX~!$1E1aDISVjZMk$&nxJ}ATGZ;@-Q@u@9R#A zw$Z;4f)H8@6~k>#MP;H>*BNk4#Q(-h={xGnCP!TOj)xG5+dsb~RF*K)-k_;o%CSv{ zf8zf4k94pihJTu6E8O@s9F2E?$ao0m0l>09w<4D^(GbbC7@l<2At4hxT+Njd+i2WR ztnM0uxM#7_C+ z)htWfTwo^Pvs?!~1&xL`-+~0)E@LvpW-y|*bK*xN!l~Nfc^Zq$}6^RIH6tkS=e3BLVC;T3+Y6TXFwj{u=rEojXpZm@;WXW#Vj(`Jtsf zC`lAOMOT&e+$DoKf(iCgXJB)8tVjDl*!urRtIOpu^=fpXXg1ryW#{Ysp9VLA{XVc} zB#Jy&YWr*i)CJFhNJfE;ydU@vlID%QNB`MD<{`o2&+P^|$P5UCCHXd>C$ z$!B88f30dUH0(XcfP8(hgzFi~Wtfj!ZD*3NAOZR|L)t<;B=iG@=?jqiBND+pDa4B) ztGp?JC{YaAls(T3qrF_9d9y*|V7MUjiuIwi-~t;FNChDMn=a`W7r_em$ryLZ&h)+f zDcuI6U((PIIw66M2^)NmoAFcWT|IGM7sQg=_6Z&Q*?)EF$l6kGC4S+rUcwK_4_pL` zf&>y<$|jp`f1CD}W88U~c)>AV^MA$=%nyz|n@c&cOiGBq$ZX$Jx)9(tJg&cHA-+fBVho z>CT&;DKjn>L*dwQ$N8(VJ84MYb7P)c*m}&R2uPX9FM&KiDgvc%{_?xpf;5G=*|-4C z49a|$m}SyT5@C;14JgHNLE#nKl;Iq)QixwnlaXgNn-jDcV&NParyR3}F9+3c^N4Qt zye9?o6s+LV`C3n(_;>oFmIS;~ZUp>Vz~IC=Q6Vg^o=nV_{xlk!rlS0mX?0heH6@oF z+i5daxA13BuOU}^Rx!gJlU3NLXKISkdWT_|CWGB1I`v!!q|}OLZ9oOz8yEJe(4|cB zYj~2CmAig4BE7gU%3k2XV0&eC4lw`0PGX!_l@So{c}q{=aemk(v-XE6nW>iJn$#!! z_&K}b^oET`&JiQ^+#g;Sa1&{(Z41N&pri{{7rne!>+b5I5$*8TV~JEu&EcY66oH|Jv4|t@9Ss zb=VDU84H^sm&;V15mcv5R#j;&5iTOUNx=QlYuL+MsZ2VTQ{yCF|F_L- z0k;pCt2RU=DM0g1s;sDK|GbBt&>s0P5F1-i&hbMPprY_sNe$11;*hk%VkqI&X#5q% z)X#3{!%)Cx^ht?;Py{>(vTL;g%ne{jVkG@O!s!X4k@T?OsSfL#Ug zfVAQ*L>g{Xa_+C=YfsQw(!SUT-!og46pF51034q1YW++wTVHXmA;U=IUm zw;kF$7RDa7jgwn8LT#emjL_B_Wg3J1gO2<%8y+(E7iBmmPHxAEjebsdGVLRe>n6@X z#oKomnM2j~U5LP8)eo45IEL5Pz2|g6`ee`Z>UUu8Su}7ewNYX-94smLOudnAI~*Lo z#76v*>EVwDpdyvpyYe8gepjXi#S#Q~5CA@@MZ1R@x+fy^S3Bo)XCL>Qisid+w>6rv zPajw?6Z1S`KOPP!0id%@&qc=T`DU0?Z>}RFGqf?_g72N_f}Nd93y8%tKA>0 z-o*T)f(~#_V{`>|giA#1P-fnynDcY-xCzHnTO!{Jzsos9k7(|lw_Xf*vawQw*YHJvt1J3ktp6dsO`O2~vT8=-s? zzs$JJpzX&$1{=D__-v;oVS+?xGrg@#fZ4zLUJ@8>QUS6o$r-FpE5Q115*u8LNv=6R zYsQI@l=$*3#zVx3la#Cz0?fw-MoAMJ`R$qsBk&?bCLjA*L6buOPRpGT*K%-D$3r|U zk41C)GGpZJ9;T+Z^SisVvZzzW#4zx;jsBwnvP>;+_ns)ok#3MM4}Ix`g&_}hO7P)E3sXK^|?E@Cn( zBE04%<6S3=qCLzp8_OI8_RSFO_N835uV(;f0s^~LFWPq^-`CP-6UsK6D^WQGqFkuB z1(q&&)*~S;R>M~q{<-nba*-)oV8@o;193h4)*=x$FM`5zt;a@2F_O2DVJ4a`+7}}c za!$r4zUSGGb&Q$;jOHK^1jgbM@REYiUB}EI=2{NiOxfzM!M^8NjZUycNK+9#24`DS1@79~mH zWFjGtWB69xLm6Wxi>777hTW)HG7IHFA2D5W3#|p)a7+HXoaQ7rR0H z1((RJTGwhC6fM?FVtbKMQ-pH64rY6qQD%aB`}yJSF|DGk++o&3c&CmbCUn0m$bVGs zl&2RjH8}wbc)9q_k5vi!+YCuHD#?#kp&eOWCGWwYtg1N!%Kej8sVxTII1)c%Lnl+~ zuY0LgT8h(QmQ6VI2SYqQbn{Xx>C1}|JXZIqb11$rVI7Uda|^9L*8}10AwAfun3Y~+ zU7xY8;x&7BKd!Ux zvZ@AhJDffR@P`XKz(sDJ`1Z#O_4U$6=5w1s{pPV55=YutxMPj+XWi5n1SS%G5V6mB zj*avVdE5s~V?Y%@2`V+H5wI6u+615y`gBICN`}=VQ;RkUO4$kqnu}hric3u%Z z1Ob5Y*e%)E8e=yK9*p`D=N`1O2-}`+QVEL#dNfhmmUY08JB1SjKYcef zF7Mbt_I_I5|G<9}nkN!WFDZ^P?{8K1x)$TEovD(p+_#7$aF-(3BE++SOVhKXx^@hH6XVI-PDOr-3wf_w;XqmIk zL{))Uimir!>1w&M0<>o*Re2CN;;;$Y`D9Dit~+7%$sziERN3W%aJDwW6M@}Tv zYT7#9g<}WnjmMgLU|YM%8sp2lrtpiygyt#pZ@noJ$ajq@2CxwIU79M~<#CqUgTcDo zq`lZ=tJ#$3$)p}J);dQGRV>uS`ccYo_KxT}$$ zs@xekGTYC9$@oJM8Ce-zOd(LsaI+y6#G!e=`X$DCq_4pg6XY3ZH8QlKq;tdXQ4LZP zaLf>+i(7y8wwTg_s(wm>9Puc~JukIpK>lxJ>RJetD*l#ZI?n(3yK2Wy8WmyeQ8Os0 zLqa%8^(CW}^tK&*Hu=Tu%3_cXzzMH8N4Nq|8yBMrC`g_zp3_`W+0Z3^o;2^Y9r(^l zIF+G`M#%_o+&~;u%wSV33ZdtvfV$uwD5`X@82I;)KCU}l)PF)lKlPY*EoW`TR5o+w)6W5j z@8jO`MiD!ux8x<(R}l9H-Bk6aBC65_?aGF``v1{2rOo@EL%SVs!CdV2sYxoi#CQf7 zYdc}rr1a*S4w z{td*o**YYMG)FdZjf`SC1qlog?#v`=fd`wqbEIVS?HG;X2oshbIvh-#H=ShRJ44oJ zECMpLq!W{oF9|y$tzNj8D8aobh)P+g3GLI@nuCDRpF6eZ%k2Io;#!rk1m!c^LOPq&e& zbP37k(OguZn0r&4`9(8;Q2&;=Yx1ik&HnCp?TxRA#W&riGWdO-9*I=V0nh5I<*3h= zFMEJms5cb3m_fS;P3b`k{8cMJs z2YbRwtm!v%@2}8k1!GqObJP%Ng)oJZe)kpZ-IU_T(bqz)zHWuks)h4i0&hgeDVywa z1*x8mxlPfD!g*|)Ia|V0^qEXCe)>P#y6Sb>;mTjzLr12T1}1h=)X%dSHGjR zmeLQKXT04|Ulu4XF!X9-tO!Bfq*gw%uA%@slCX0iYm(!H!B0?HTC>$kvP7r$4?hP| z&DumS0FrZxwPUhO#@jRlezJcDX#qrMm#+SMP|**y;jE?_djurAd^~!>8vZKN7pz4{ zRVpR9yoMfv5Kj*z)fR+o$acU0va#?~C6Ih3c))ym%7B}z7;CH3^xQZ8!PT_79LJ-L zgy2A`j;gA@y)#Ja94AEFXnKQLOa7yZ@>KyKR8`}i1f@;SqP!`=*>LavZg&VD{rF4x zd+QwtHbb%+MCd2#4L6Pm@|aa>*8GC4k7K6s1nYpk*oUWU3fuzsILAr@hE1&lRX3pj z#EB9S-6YLfHKvq^rJ-Dvv{K|lkpKDVK}K0`b_{Q%_W4~@uP{2HDxionPflh!6!}L)U=l*2=Li!4I)|PcuG3V0QDI^6xkN=U)e; z*T}b>6NFjN|LDiWcTRv0iH_EJSNs6FNZ;o4Vh!j~VKey~4sy>Re?-xuh#K}xApdT? zV#^3kOA^iJ z9z8=L#2=Ov6@Cbl6K0G>1-O_zuule|@=-c2NIEq`n7Xm6Xe|FU1rTHu;Y)cmt0cG^ zbvqDTS(OkS_cLwtbT9mN?uIybKlmqc$u*<`Ap76T_6%a{|X3x(D)>H z(|GIEK%-b@nj+LH!;j#{6V~9VR~3%80{Cm6_8a{7z8((0Af`a?`{Ur$2D>nTkUZu=l(`K>zMg!Cjz0@W zMI^*0LAVFBhSB6=H^7M^b2<*|9}b{ zr5+mZkVzqEjYC^fE%?TKz~dVys@#r+Uw;s1Rw~tXs_@S@tE}<{US43ojyz-vC|$9~ zu3*i%frj5UKPY@^9}Q~EGWyzO($;Ml4C90{G#AiTRSVu_I!GQ5b0vqMYARyJupfxlXpt5?pGdeoYh9It5Kcg%U8S`Y{zon(jH`M6xcg-ElI6rEVMQ*MX=!uYYbt>vnGN=VYakyRo`E z%Y5i3gF0sVVjckek}-AaIL2$j9GXf{c??_Qg?=r-v7)|+&IcBV30*3*pkSw;j$KM- zc3kfV><4!CHZ2mx9wHr0G3^Xtqm z9J{Xi*Qh_~S}UhE-^0{ZGy$yqrKP_Udz84A5ury{kMXyG=+IOGNzAR)Q~30JZ>LXI zoM#m97gXGYCwGw7PX*qpe?zcb`+$ra%xK53`^!2pHw8B#lO|Yh(eza(W0PneFl7RM zyVrE;7y!-TT$?l}E0+^6)2A`JX^Q;C_}Lh}WFNGj!o~WJ*wnE5KWBc1C@&5l`~cqV z4|4m|`#kVo?tewG^YO;};A~?zhrE=H1oOxu^Q})ZxA>g?LGaMjJ^3kOc(UwYM_sJH z`Hp3vXjS2=mDxt9bx+NdyO_J1YPgtp5ko+`QVh_ON|J0aRMyLT?@v)Dzgk6N0K%^7 zH+l3GqMj)hLpm22v^z(%hL&#u;~~zyV&n?nx-({b-HmV^`KG8m3ALuPXT`Kxm)1Q& z8%~?fZ}vvg*x|n?oQ{c@!mctJF_1Y^M-s31c?K5C?eV2L*kHmYo(vpq#TDnrZ)JXW+3f z=M(UZ3RmXDeqQuD3mpV8Yu9b(@{Ca1!BL;=4ZryfT2K!?y6so@)@b?B{G;Q}Es28a z$$1W>*7KZc@5x=8MX#l%Cafhuf8}Wi0nl${ZEp7}*d4-g*Le6NwB@7od)pDH01dDC z8e-;9(pX$Zjo$d3lNHyh&DOvaa*h^Y(Zbbm&P1pmZz@(X>O*pPZmHFoNNU;bwxGNx z2pb0!^j?;nMEx|G_aO;=8plznnYdEpW2=V>74(j2w4CF7ztI7`&UIXFY;wulF_ zX3gluhRbe0*n&7mBF(UH4~Dgbj!)5&dDvE>jl5P~L z_hf2LkHy9zsj+T{b%weTGf#&70JU06Pv;X9)EfVQwVcb<6AINw(lwm-i4z#q8a?IK zLhl8Uiluz432oit9jfkG=uKYY@HK8EOSxMAOfuIhEb8&DTKvZh)o9c;G}Tfupre6h zK?V6WYLiAW%QE1FEsmt}?Gp&py(r)&L2x4zt1%s!eB*M|y{H^0bR5*O01Mum608wg z`VtF%XWg2r$jrwh9%oH?d~cu%D9^UkbM~`Lu58q=;F<{dZ7{*Ps2MTnn?oNzdk`4u zZ@q%B(Hurss}`6 z2&R2DTnh;QCK4r#ZwNA+fj-jTV98YWdu5R*l&DfV($>;_s^zW1v%Rf zz~K=e_w1 zpOgQ36t=zqvWuUb?vvziIqhZt?fwDO0q-$fSqOyGyTgj0=m$9jZu`f52x!9wEkt8R z%+)g*WRkQ>TD=J_7D$>rho3fZTO~||ryMLbx3iXSJ6sC_u!;c80aYQoP)*j6Au)o_lA9~ zJnuu%U3m+wbwog^o%2>oL1r$5`iA8n1b7|_d=1gq1UgHKybW2{1RGEM^8EbWyYmiL zxYR3FmlF&~R73ST0cjp5U-pqCj|<6EaV|J>pv2GzBU(G)F7Y0N-UEfs$Kuo)@0%i` z7Zm_X1)0Bvq%Ym*_+AT$BOSZLE(&Lu_S4LK4SC42%55FMVMzx%UiDdX>a^Q^UJk`x zv93wKwdg@V;4qbtk=2s-pQuZnRoWMXcnX{qEHaz#CFs{bFYr-{?%NU$kiP8aXz4f{=BhDPu?_dY1tp>;rVa{kRm|sY z5V!sFyA|C%Yc6+f8XBQx30uK#VMD<@=&Z7!k0LDBf#3Njh@!NR^;woW{vVk5(2k}8 z!4e*oyMK}P@TvmAGH3)A<s*wojxWoS+$8OHm%mXUz z&ny@ZS@}6U6(F)d^Wkrn)U&r>;`morOgRS-;arM>de4c-ICvqxo&UgySvX=&4hOwK z{jZ&04pM^3^X0KHc!18(@4TaumWn#~)n=rD3S%vptK&gT1uLt&`&#()7Z!|~tq=Y7 zNoa(cEeO5#Sx~76q2E3LjbM-Rz2jfQ3QGk!qCDC6E+=Ks__GS7hf%PF7A>PGk)(RJ z5^C&m&ju}D)liac3+6q$y+k ztmsOKEQXa17}0RuXv#VhbM&wNH7)MTzNB|D1&?$IrRZ6hPn4-3lYz^G0Eow4EtDUY z!}hr`q2EZzI20o`aI!Ms+YAc=iT>v?0rt)z6m?bLw0q%~D<8rM#nAXMLOV-Ff{nt5 zS1-KyOJL!RR}lP=mot7A=q725!pzeP-Z&lxmg5CF+jtLzk^1o<#c|8(;eh5fj!RY_ zX96_8IB6X$I<^_(6mBN<+E;^K|0AFpWtdE{i=BKWD+pBz^!hkuEjB7k^E};?VX$*? zD8rw4gTGHkLUrZ5ahzJ<^PNqYHp1(ijjLCVWG*L~b9K%KaX@UU!XNQhI#@toY#i_! z_G6~n(-)n%2(-)j&*rS?pQcI#^S{$flCi#K;w#sObxC@nIO~jJSd*BwN*_0YM3`@6bj2i*cLYSW#jj< zDwjLggEpXHWQBu78Jo)rF@C>gvSR>vh}brHkU18%7&a8yOf^R z{|IS(XMJi)r@ZMuR_v93g?L#o#Gb~PpjPVQ#tQBK@&Nxa7((iBHjerqR>eEg*egO~ z6X0y#FQE}RE_-P!uhRAm9^-NFRnLKigkkJV)j-hV+OgEvss*h(?o#(N2<(*`Fmc^5 zSElOKPW8mOWtq}K&UbNaq%(HL7lJ?6%RL~wE z_FMu_%~M#|@)BYt%mYu^AkfmCPhZVQ=ez1>*vybcp`fgOoM7G%ewSn1D-YY$hxD zR2>Q9B3~xG6-!hDpVBwb1~!z0G55I;7NY|p;j*fh_mOh`<#?szQyd<|a@pIn?m?sU z{YmCEa-H6lMk?0Lo019FnmUCme8 z;-`z>tt{%&0_L0pa9fq}&4rxa54@{uh>q8Rr^eq6a`l70Ym1QC(e(pU+*lm+JaRTcJLMmf4@o_z>#}z+ya>@4*MR=9%OHoY6NXV zxfX^bjiLDTbS0842it?|^TPn5a2Ly)GpC<(vR1^br@|8C&p)V+xF`zQhP@09S5W78 z2d%_hNVc|&SE>xq1TQu^GVp0PegJ-fDak@WVto7d4dz>ha;~cC5)FU4zc~s!q!mmK zetNSxiWl;K&x@(gvzH*hefuZ#EkzWZuuaPXr5zG1G#%9z1q(Noqr@Th75o3^ln!cf z^0&n-UBniJ8j?d~sxLhW2Mr?~V2k1gz_E_k0p3k^lZ;sB8K0iPsNh!EAj!chk6KDq zU3{Jy+oN=MlIwIkSjM^&n=W?l-$dJjq0GARGTNZxf8gR_i;Obo>HM5SrfRo$r`cPv zDHHw>w9{BawaBtQochR!$I=+Rg+2MO`4v=hb6(4!G$0Fb%vuyC8mIc-hF1aMVa_!sT z#o!fdkn3}IcDhL3<7pfhY87WgnVtzBYg-=GVKeyL0eVi~EE4yu5&29m8GloxC&e_| zpp`-o!H!4D;j6$c*{CRhCACcfklzx84%dF_AIvuWR@y#S!B_qR=eoHyd>!^SKje_k zflmPYFj`PT&K7$V-PW;*w}N-Dy4!^P9Ag+C_$l31cyIb)26bX}P8=xs=G;Mya;h}1 zRGm&grDhK~HcLA0@BSkL>c+_N)2?WYfA2OV?u2Bt*2P;N>PTI{5kjj1&}YqXmorD^ zf^|mJv>&r=xcs~SpSk_YP`3j7v(Udb!CGfYiGtBT(r~q*LscD@-ys7BVdBUDZuc`&LSz}K3l>jr z1ISQN+@|(QDb1=}GbyMDun)j+p%c&9?renL{y8w0&|(%T;Xdq{&UFwrV7TJB|L1z- za&B>?mVw}k4ZRwQ=SR1e^q0@KB3w|y7~8}|JQbFf$bs|+RI`~uJnsIFYT?9r{7<_Z0TXy(0PP*glRmgm$xP&f z!3daj`)skb&gbvxf0+X?&vnzEw-I136Oex+_~1Pr^R=GPe21il>mr?v)`}CvXl9Bs zRa2!)S0NSZ6as&NeKVig0KLNq5Rd zImaq2%{OhQJ*7PL0Kb-$qPybb_%vb&OSam1l2*c?%*$+7AqHj+7iT7!;2KsAC`I=D zky^!PvpMHwq4b8d#du}IH~7lrEasZmdjLBZP!BTZ#B*5*Arx~Ob9f>O%v#OtT=1r7=WzcSI*}ujn?6WvMMQE2E4#Pj`Ns%sl?lBBVO&jVsdjs82sw{G zpyjEEIXZg8ZQ>WpCgxz^Rv8{j;_gq;3bxS|i(^mvGIB2~_cSGz`KJzF`~1TU@MyyA zYUP9D1N^|6QH5YRtt%SOICLXDbc2)tsZU%TezvDoG`4(qozbKE)^(|Z7D$(!_oI_s z7K=5H_+=_PR0#&!4dZUbkI^Ck>)!Oozal`XP_%yMg}R17P2h??;@K0_R6GJ*jUu-M z9E0ZG?(V4b^Phhje;6g!O5j`!l9z{8*!4yT!VhC@&S5hONNX;bRUgUzCD0)V@ zEI$+J8u=Eb3@b~eFv@HD3o@fq4`5=juxADR56(iCMh~_zojaMuumv|L3Mt=x5MQ{6 zrvcn+=fPj;*KBwr6Nul?sp7NLaCh?O5JE|fmT>8jiuh~(u z=i_W~Q9zN1ukQpztq=oZ6lkxX?1wZWLN;A8J4Ttp+>wscq2m|ZQNa)ye7@wP7%5_v zJ>99aXcCO)fA3l&2aMu8J>p%xzVUTO$pIuDSObJb9X{+1PjlzCwnuq|S$q2yEf^yO zd8@(C+kWA-r^u>v?A z%Z1S?ptzr*9BzjG?6KcR&-JeG`f06CSn`U+29ffQ+lz@#lZi@D)4NEex54R{aCtLT z4BNd@K1#$`@&W8>%9SX%XpM#7b5v@Qq10cb(8}l>Y`@K#_e5!*yI2Ry_AWRC-qo=x zqwe>&Zo6I(4LQTgYfwBaPw+6W_W_O?;vgpPf6InsyD*S$yx8bE z!Pp`#+%U#3)ON=cW1iT14T%J-BJ?#l?dBv6OU8q-EB!&3(=am}2}j3b6?JoBz%D z#{ymd&dnsBI%$C>nYlL}kzy9F?2e6!u5kltG%rN<3PU)v@WD{)`u?wF!f~vV@|?^< zw^rq{o;G!8#FmwY3=ug#;aoshuih5j(wQ*D6;w`OUto|tTtgk5i3RS{v^>y27VaM& z8xxlu%?z60zDrjRx%Ky?!c)7R@Z`|&8Wv{k0$$ESaG0>nUjDjh=xND96N!9RXNgf{0~`V4 z;qOfb1w%pt?5l0<7bBrTt=cMC2fk(#!+VjpL$WS?90$g zJJ&h)*Cf0ad(>P~F>}@xBs3Lu*xJHyGf^9Snq$Z6L{&@jh>qmFC)rQOH>=o^oB|Hl;ovt-&Rn#87@XW#n|9b&LOx?Se8*^>evRe;VTOn zCO1{e$=xWlYQCwok=ODq_J09LK)1g{o*rxq$+1{2Cr15(5@UaWHOXDB9_s3<(KxdjYzW1-W0i3MoKQXYkykD20_fr?5YN%z~&VV&dV<~tTma|d&3*M z8A(m!H#Kvt>9+WXneXue4b9;6#vS{h`U0#4Y{2876r^S&v4h(1ZHqcavqpZ-2p9yE zS!L>aLj;Fj0BL_~Wz}%{^kP!Gd>5b8@|tj(IA#dJm|n7F&d;Sw&IsgqgEtljBn>Sj zR#vh4*xI`6T`WdA3EvgLii=ZlsVemuu4aSpyH-A5L-ylvvJx%q0`M&SqCTy1rx4}F zNho0F08oLOw120jrRFu>d+-FDi%5=NHh)M#qI_%bc%XklP@R#JvUaH<2w_vTbQq9t zx{S9c>P0(7A$iyW$@A&2GS5BTk#ah6iz4rXCWmHf$h80J+ zB*^-}nhXz6UpCe6tWnSdWI-EAyX!7csFezi28%pffZjR7D=8miS1VW0v>4$>3d<%+ z+*rHn`pw={>JsRQ>=rYNWP}QjP8Fq&*t4X|(>^Ti#sDvRr0olrfx#UWe>6BS9Az)} zub}R0Xz>f9spFtQBcy*QlYjp!q*OT6^>5eW|t#`@6lzPuGvl(XCN z!^C!_OhTIyY&E?h2nENKwtq(j*}t=;Kb@v+zkq*>eY*;?i# z;U+cqZ~Wx?k?KXJ{I!lwf87wjMra!aLaAh9)drDMt;X81u~?vV<@#L|oPno*Qn%_5E0z_xkNwKITf7Sj?bmRs1ME_?> zl#mih7Aju8FCRu}41%y?`68J3`B!r!WzmhRB`FlXPp{W~f2^k>yrIu^ckH-x<>dJb z%72D2RKL{jV9-`=EN&Vkc-ZSN$Z7Vs!xHU>F!L>YE8wRA-SsQ)aQF23-y@zZ7-ybt z7~11Js6+U0-0wpvfB*dyBZ4>{=DppK&AB-pR!7tl>)Gb((f=g;j71q2Gy1R}547@~ zFYYGDgMT&ZRm_qi zAsh~MfwpY*p%YwM91JYQ_2HnoA(A;h)(%Snd2P~aQ4>-Ke*%vqlt@Q-0j3$h`W++2 zvXo*nyb)@|X)#GnvlijU51oMs^6L|7r+h>N0dfsm0HuS*&)=T(pfpj~#j=AzMC$)* z0>W`&bReB;D~H@_!blZ1o6W7gl45e40x>Y;_b=-V=L0|qiv|FMC$0Y((MSjpMu5x2ZERm zg1T87yx1`MjFAslk6ow*pd^cQZj;6oAlN!Wb_BW8e^ya+WBY5hdu+%3wVaSH=_c<8 zw@awucxIw#9IN8HBdootz^Q!2dW>|@c&Jy44DUT zq7UX=Q{dUqqloQ)7j#*9t^ARq9kj`HC2cv6RbvrTAVW8P&{Jq$gz4p*#>a%sO2l zP9f#Y=ltwKD%H(iX^fYU1j=xxu$6fd>mxmF^*#&zx?#5&U@NDT~^$^}-Oe_=x|u#qsfCFrOc*wxLjrr|iS(YIsA z>|-~4qfJ=#9|*d@yiOzv`;>xt)|%zT-)_-~d= zf1iPh_gE4e7FZLAhTSF9WM)WlbcPkj-xFeVTD z*jx4aXO_U8KP$NMDk^pVeluhSPzBJo-Z3dWlT1c47Ti%`4oz|kZ#-Y<<-iM_b!#nUEB_xoZaxBOR}fi(eoj<#y!f8|1$ zXB1btEH3(|4Jr)x$|lrRqxR@kjEVGLSyOorF?5sbK6tq-0vDyXZ!w?Xjs)-kU!~Pl=wuj zhi<_wKdtk+N7IG?N;tmaa6jP~f0iQXKw)pN@&zW|N}_@Mh$_;To1!v^aV5Iy)Gvg* z)tLP0Tv|vhFg6iYyL}{7q3y|Oa`U>WrVjqnpW6wN`NSl*3Zy%d;G%NLI*O1EjRUKm zf(0Zj`C<}ei*AH-6kk~1*A1C%BUN%m0(4PtO~YBY7w3IkKm$8cSRv7|e|v5T;oY0_ z73}AJ$o}-4AnaA`(}W3uZ1z7%(6-*i1cmLnPfktKpS%3=A0e#2=6TNOUmZY-c&f`| zk=^aRo-1N5prUJwy@y<(QoC6T#kELdh3^fXTVi{8q>?nQqBb4%@q2=TxkY~^8c84RqNRrWgmKo&ngHhip?r7AmG)HGyb6hUUp%&tw% zT?R|P{m9E;U&}qMD&|yk^R0e|QEuyAMglR@z>^%D+oY4H!E21NG!ECAmxqmesm$>1{nC{ZT@{G06)kjptC5wOozFVI5N2 z3E5?BAwn`gwEo-AATBNxduo5O?74E7zT)n(by;-y0%kK+-?FIJC(u$)`^URoWd?nB zBJT0rYkP~APHsXqe+MM11#%FUZN0_oe$XT{%3Cv{8@AJC8>WKV{V$~`L9Z|id(39f z0MCYGRLkiB`|;^Akjg1gxY#G|HsX_FBma!v&2#D=)y!|?osci}xdlMs{)yYgH? zP&j)(LGp1QmLlO9h76fs7x#)vU8RY9L?0<@A*zXmNX9*}f0{+=b&A; zga@f~@0UW*)-i-fXkE1`j2z3*PNW6>ts?ygl2nOc>tKSG9JRDurRFB2|3$$NJ8JDL zzob90{hKC*l6ymLrLhO20Mhy~Uw1D@KA$Dp{;?SDgPqq292PF3<8$MaOCK(DT$~z5 z@zwt|9~ap(e-uo5yf!lK@An<}j8x5aZjLmvF)<`|oG5iq_YqyQc^~#X41Qz0%z?o( zfLZe3LY@{$m4{PlGW8dA7tAw6lQlgjUyK!C^8_gezJz`Fz)eB7DqrL~d;D5zPm$Cc z`{Si%Y5}T&eIDn|!id5NLzs3y+gQ-h>3lTtBfXF>e}M8lZfKp8ABlN-Z~%zxjMI@Y zk_4mTqJ~%0>P%S?@3=>GuiK^gxx&(4=WQiY+-D{ z{hcP;jP*#D(DUQO;uQK)|aheL%yhNOy zmEGDefFvKnhL)gHi0Tyl08JVZoz~Jxy|Tnq6x(DoHTv+0N9gA7-**xQigGcg>dCZO zkj>sgK4`HICyZTOP_YRWG$VY|Q<|O!R(D6Oe=4L$;FOC{H{tYsU0%p-=F&K=uhWl^ zzvI3T@KV`neWwWCJ#(Z2=wcy9!1+f(GX`M?GEsKIBh*B}ER@Ov&X9#TkTi)0lll3>c%d_JRT?lZ#OT4ZWtQK8hN zf133g4Mwy}t|8VCT&JvHCy#%|i3$C$DvhTg`qhh5kE;H0^|vF6NbY@8;oq2=x|TbR z>cAtVHHzPQV+0VlgN?-)CP;}UYmvh#i)nk^;J7rcNFG=>NjjgphDVzV7e4md>x{#S zh$@qoDm%w@<5-kx|HwiY-_aIQI-34Tt zE*uqP8^|i`fr!@Y?bUcqpn4m4L|CSUSXlL~NV%&V`5-*$fmStC$r`^xLQw=y8Mmuv zT|gf6^BF||s^LO$dU}j#jJp+(Z2rMvsEkxi+fxx!TG9rsBUpl3ih+K~_l3^b-$e zr;Hvl)t{C$Nn_n|#)ZAtyg}%*EZj|Gce6s?8nS7O{@khDlg7b{Lw7eFe@r`G8sM<0 zzjl!?lLk7TcY586cL9q5FxM(12$L^%5jJMwCIy2xlRdK?>gOg zUAK8}q6<8d?1N}UbAR3HYk(L)9`emxR|2c9WG3^tt}hJZHw9zb{%-J#E=Z{>=lPx#+kDC|7`rZJ@@b)rHrV9aj>IqWP z7|9puT7LXudn%e1Nu~hbIk0eK2F&G{VqS?vmzHpr_GtWSO?tgXe~x*gZLmS&^P6it z;{J&KF&M-|y_3I$!lJ}VV5V~q`T+(MbDm_G?D12bw+f7igc$FSts7j)Huw|w4wV@%mX8iPsb=y|6r54c>TqyBa~)=J-% zgu}15PKjsj&u6Bcn)q(SETX+w@$eey8|WdtZOq4-p&>k$ERN)u#l_R!A92FnFs1=Q zCI#xq?f7^nj3gjFQ|$TeAzg2NYa`}G^Q-8|1OXtwcIGU9e+o;I(PUu-@8(g5L&zqv zbciSn6Fr2!MD^VV(2fyQDf7oH>vd#pVYv76vnm1TnfwY^e!52vxHA-|G878LWe&+t z*rtD>KFFc18Z}UX{mhdAdiT^ISneLxHs=q*h) z|0yf)Q(^DTL*B*3Fh@Vf!iwoc69U443f?fFFB&WO{d0Kf;8I{pe{!Q*-i)X?@$F6_ z!5|*@22aKiR)--CblHhC8{7h?eoo|0J;9q1ui-oDe^+APVzK^oI}7PCX!n~0x7hLB z)6!VM400CZ44Hi4r_6!Bj`n)P_br5nNC`CHe@-!o2m)664VJ-EMoG?qCnB~L`2m9j z9hxb!%A47w<%YK956kGlm@Rmgdv(*OCQYq5yW=l~3)hF2Tsgbj(kQsPetq`zKc_(v z8brJVf2y&OQR5wrK38Tl7_=Yam80cb4LQs+27V)5_1s%U+%z{aEekfolJ^&~X~(gq z@_0IL$pV+Iz;uU+(NY;n!li{N);T9pRC6p%tH@Zu3LLLbnmp6`yK-O9@qHo!{}^xs zTIQX;0%b34qNyv?4DSo5Oco0*a7XkP{&J}re<5iBNIq63pVI@nZ*k6>+b%sFLxv=t zgBfz=Alz@d6VRuOkpLE^{&e!k1_@Hv61eXeP(}xkRHEzb|Kc5uP9z=}@Z!rFeSA8g zQ9r~k!O;?stB}y=Fk860P7U7{Tg+_>J`sw9IHN}_Krfube10C?XvZ&x%#m#tle?@#T4L$(E8k14y?`vK`e>7G& zBy>7yPDzJM9h4*vq$Z3wld7$cqA#w@UB3gQXuUc@c-Bt8U}@pjNlTxXW6`>8aX!Hx zuBajHWxlmBZ{XYgS$1m6nTBrd%y0?(Y9g&8Fz`A>1$-HbD!ug`YniiM$$3rqeg@Rd zbk`ZkcSijGgWUO~aOrbCTpACkf5XEQ5P6vtn8i*%SlUHECn@vE8*SGHG*AwK zO|XGE7H%HVnGF}wG_&WH4*rh_n6_nWH81myk5!G;wVTTz2#gu{aeV%Ef3#Rj__0;U z8PKW9rx2#kS}$q&2FHMA(Q*dstyPA{$@h3yz7BxJDm*DpO__j|q!3fk%9>BM4luX- z4M;lG+<{C_4+BM3Ac=~A$B-{{gfaG|yoEs!erNQU|C&uftSMtUovrY*S5p{{M24?~ z`tzCV=yj2qv6WGDS)~6HfBK^?!Y^~3>f^?hlhvS5@a>=fz!l%u)=JWNaDfGU2eqgV zKxBADLs(Ua;sR{?CgCXlv69i5%9O!dNy!CyfFN9Ao!^rhr_tQyuGZ zHN=yOAK2K7Z4uwg#>>5`AAVGI#`?F-3=XD<%u$|b$f-F}R)0;BB(0w=VxVtt(TDqdwd3Py^ATRu zG}vD)dX7D+KMq3cCSf?vD5iMs#aEUW(rR%O@yUfb;^)i2Br1pQtIAvG#B zi}~s=H;pO$mv?X#e}+4Q$DVHk6?`XW2tP3Z+ISF|vgb)jaiz&SRUCd-j1x4f4chZJ z;m1@R#fItSumQ9mwH4U)nAP_e_7r~eNXI2+?_`n2+gn$TF>OQa`)T$BT;E7ZFW7wA zDp_!?GYYJloc?eik7$CA9Vswv)(>;>OU!!2M&rsk78_|<|@o=O! zhR!%Jc^#jeL`S@Tg%*WmtTk%NdveXfuJ32B%0Z$E{0CouZeLgs6_};js>bMambGiS z9L{$u?14Cbe`hrsBKS?|$aatP+I>c6#G60gP%_zWA7H>8Wwin3&{RwCAFENx9cjZz zznr=T?I(5fs;;*KTP5KG-H1MF03E)hr(6zt(axs>o>uQJZ~Da3tXzx$le)1d)$B3l z2bd`B)av#vs8@lBF1RsLj!$lq!tSZZ*$OM2mCHKGe@O@)EU-1N|0C-9N-HY0FMvjg zBADkaQuXX589kJELZDnEaM(YrVY)vp&WvpXegqLFoY{Gi^7F3jANH&GwX^l`b{1N` zGE`}W1}VCvc{zSDotT6rn^8^rvxqt>C5>bL1Jf23!=ua~NpNKgKDSp|y6p2iM*_t{ zhfG3@6}==O3%jczD5O3Zs#j8$6#FHE@I>tm;SZvp z>vZ8Zg+~i+me!T@o?L~BfL-|5skNZu$G`y7fBR=9=IAoH&$_@|+&gzOZjXd}m=_)h zlbE#g0r@Ej?zQ-HTjX+Ic5R?6$5x8B*NP%h3&N@{BE)7 z`&`UARNS3uiX6k5G94x3038NtP=C(fvl~A|zfF+Wm33r(AKL->N~9n(f5clykD~<1 ze`YjF@xR#&Fw9O;39Orb5F8n{C#jbk3B3OqY&exgDMwlh+$Z8H^k-`6mU*y?K$9ND zTu~Fk{=>89G{ec8IbWl8_(U^Xsh;R^STm0ZB%DotCd~|Ys6p(02T4h7V(T4*DHyWv z{g4qBOw7A@g;}l@LO->(JBkG3FRihif3MKKNHu^^IyZ}}FqrQekqcRwdH4(}8cE3U zP+15saEqoVl7|KmT}jzF;obNkQ4x zst+6mrvvl-&vmd`7-Y|0r8Lmc6f0>z_~5XD#y=G_viL{(t35@1J@Kq+)pe_#ylYjARAS6{CP7LrO> zExD*`zzYiTy+Ich!g*0=jNSe4J55gkKH8{(FWX?GCZmj3aogC4NRyh144L`0F2KRC+HdzBjG6S>9t5Es`KU$T_De>;I@Y7z>lM#dF91!#g%oe}N|E?k@P; zcA;^~f*RmU&sM2&pTo?cRuD4e8M;nD)oh7BCAK@k3|iy?($X}L;;D{;Q@?X zZ?|8iAuqA>FegrUb7sNoz025g{77?5SwVy4`{;72@1o83rbsuo3G>rqWy@r>=eHs} zKCFvqRDOpI54$Q}qa)Woe;97+F>9d7N_~B2J_2In>Lnd7&&ISODN3=e3p}z_Pd4z0nb$>@*sktJZV`wYh8{;BjZs&IAF*p;c<4k5f7zBdD+O4IADW1Pp4a zIvZU( zlMI?wC$9Rn7|@mOB%veV_P6h@iJyhc{&Bn#$N|j}scdcdc?eLY5w1`lS5U?aXEL4NX36>bn-?GUS)kznw!*xKdAD42@AT+ z$R972R5)){hGI(6+M2xn)%*wgxA&_bV$X&O|Ts%gZf7%KpqDk6%Awo=5Wv;i6B zlIo+hf*|+lJ{gg%;N!S{=X@wg9jhjy83|{TlmX zj?M5%FbS8e%6F1X*VT=+hixC5S-D(EpK*PF$1?WO<7Ktp%3++FpDjeD^ag%54J~>Mx z(MJP4b%d>)tT}D{?Ijk`RcITHMq@Q)i6e*oVp8D&>U!v89qO|%EJ-<-hGOR?xM(uM ze@1kFGfU_D{0GnRAI2Gs=6Jd@4zE-iktqj>oNYCI^7gL=zgGS}dUDe1VP&TGkYzC0 z%`0}$p(Bz7sKHFpQ*wFVTWs9Nn=)U<;LJ zI9&9d@$USmgJ`uDBRrSMtizeB&T%0EaIG29xWyJZdb9NaNFdSv;z%xrOtZBse;olm z+Npe7QMJncE&2|dSmq&b`?FhYwr~(ucI)^Bf^kK~a1&q;Hj8eb+?(G_51aEeiYy0e z>Qvt=c+MV}b(hSN_<%ykf`e^{HXBeq52%|pM`97^y;y}0H;p%pa^aH}+y*h+AZV8V z_EBiYDHt-!GA%rnwKhT>#`B=ke}+4~4G36UwVHp966!(ROZ$ES6#~hGp9I7%-}UK( zys*PgbtY;)Nbf1i5!cg`ldy5`NRzGF?*|Va>W1Nx_0-I>(Z6&qdhmOCZ#ocb`N*Rh z2FQEg`HU5(fpV)ddY?ysMYyF zb>DxF7{9IFFUl=>2g%{_+!8IYVtvyIm%sCsfhtwT__~lF&ORM@7kuNB6A6}UNeaq=ckJ+YvwbOYK*R?(vUtXWYAW|pK zMxPm}rk^Wbe|G(^LWz&yD| zwLtEL&J4c@i&N&Tiw+-tMuZk(u4bIPn4CR?Wt3x|=9XxjaO1p#+Gqpw6GfAWSx+lA zM5J~gHZ(TEfAvx!&<*?uVGTqviV%=r)sGEHT;S2>>l+-Fcv{z_?57#Jo=sHl1Dw@v zPc&|0;sQVNUE(51ujMdYXu%gi!Hc8TShw+QoURcBt7d=RS_)sHkc?5tVBT31-TKC4 zDUX2$i2G#nl##sC1dO;kK&H zxHi{MnGE4j4zjaWH#|6dVM%lTg~%HOL}hSd54n8#2n}n#fi1tUb)LM?nN-Y<_0-s~a)q&?(0QtKdw0a9qqY z#GB*cL#^(Oxn;=|E_9CgBIyER9rF35fO3K!rvvbL z9+!c^9Tk5uF)+T&5AKAL!Q00KVP~!=kQqzz$##3PC=p2fk72-|5|~z-mz);~soc@i z?k30IIdoleTWpxxx|Cy|kZFK#NoKp^-OB`)9wMw>5>>=(z)cnR+8$R|Ck%%?hPAv9 zfL=(qrSW8;`L{mP7||?6Hq%QyQv5VQH5>Etxi){kwWyO2TE;Ysh`Av%iSt!Ao>5%% zBvKjT zSAqv2SlC>I>@f~boM4c0rvOJOO$>y4N#4rf5YgoxDf95^>UYuMSe81e_K zVGDnb5J;&vQ};708*6uZ7D2*WEW-7Vg@sB&o-*84M7Ou6Vdh7UBvoe`%aEV=o*UMb znhZw${Q1N}1E&^!$q2vB!ESBq-iriweUXzHTHnr!?5*-9!UFd?<0=iPdPR!Iy0DNT zaTa%K`8KtqffFU}ziyYot~=Mw~hfh}fnbqlt@EnE%+ z4%tO1*jxhxqL5XLFCe=~e}ORu(EPoT3gW0je1&uN6bCmV8#@vp_%a&I0@8;+Po{sG z=3pV9mRD1&0>DUi-#d*WZgJvhyEoE%Y&rCo3RzE0OF1Z#^GAZuanI(NzCS7QO_5Ob z7)4{oKI;8AsZ**Ek8HPz)Da2=SRIjpoE6ZM55tbA97+D0P&LAcs5UE-4nzW`Qnd8% z(|7$5k_wDlmwA7jlwf-IIEJeEu~mQgZt`!C3mB8U<6F{>QhbsCExx{!yl=>?3$5L4m5|B{pqqtc>-5QU+b{*mR z-pdQgc2%g$WNk$}Vb|7}sBh4ak~euNqkZ z1FDILCp*Sb$YnqSs&O9HJx+`A;bu2E=Z$58)}6B^XAYW&d#@J=f^`_X7)pxm05B#s zQ%KZBGZ}TK;pp&0!TCKF6w}^L8|U?&2G->LfcuO&&Q96A2~av_Aq9U8D-@3+G@NoB zn2+57ak}v26ptnqLpXMrBekQGTw5RZoxlQEpk9~*%4Naq(7cg&0o06~9F~C1u>d-Z zjMix`DkPf1$HG!3(Z(FL)hztSv9@gHNL?r!!B{OeY5^JFk=-4;J(nl<1mww`Pr~SI zWJTby_Xi2IpS|AU;sk%^y(Xr%a`-Iq(etY%WV^%$RS2xWtU!mgwZEgLaG&i?OM zEOmInv!uyW1ME3wLP>?KeS((92IL`v+qh0-rlv=?X2uVa%-ese6dW6WLoQSY#NDwp z`n^Z{LgdnLLJcayPc?~+ETRKg%h4@KvqO{@{)f}-sdyJ3&PF?p;&={)66#1+y5;GG z1@+nQjqs^#F9U1xs}I?R=pGhRilSMbqJy6eC(smYw=9yu^E@^!DI9aY{h^H?jHVxo zcz7E*1ht%dGnapd9HFOiJ;Y7Sgm)1=`l2sp%nek8eXBye!vw+3>u*lUW^8jf&PZgh z*jIwU$r2AKNY6X*+4Iy&MYRXxi%$37Z8F5{9_-D7)XY2@u_v=2U-~E~>L)~=IMI** z=gPw$CsNRmXAZL6UvKXx!wfkJ=>s*!Y3U);4pMKIn(%)u&4PQUGy$$+0_3hOoz$fI zpB4?MpgAzq0FyV_>G!IwVJ^#Hj#8Z`NHsoGg&g1<#G>-9E#}4IwZ3k;>rX5U;1^N2 zPaz5F@?X{xadG9Mqi`ekb~-p0M=#(qnwZyO!EzSBJYgZiYlth-if9eT!cEfNM}SY! zg9CfwQ{sONAJ^b04H?r0G1L54`o|d_45MPI0$sO|V|Pe$8>@U4ZGjVA<(ktuanphN zZJ%_NA=HC^Hnu4Cac3CKmvxS{@;l_J(Bd>@-)5G`*e|tEbXVm7wu7p06i($&dW%)= z&)H(q!>h1@2m#w8naR4XTvC|u!S9f!_lywSTK9j;{$-wCdvt#Jp>M1de2JYuf>Xhf zIYA%Ijy9i=EHXG^pLP<=ZnTEe>8}47X<`J64k%h#)Ox7W$l2XZO0n4`m;gzK==9hH ztUHgjGpMCBtrBbtK-nM`3Cdrb)sUO*ck(+^?x>mX<8gLsi_-5G{Lt=yWnlR&edZQJ z4bgv&QPb@dW-l@vK>F)iH#TmIlBXtq52m0GbqwnIEsz|9Eg+zW&T>aP7-V^#N1&gs z*HKP2o{+hSMpUvm+nL`Auv2YVfB!*HxRZf)X7I}}ch1MPiiaADvk<8Txm4P4l->!*N2HN8eA7m`G`xGtHN6 z5Ip^Y_93oHKo$zepsu0q!=AJz3h{pw0OL>b!#Q2ATWCRG42V<*J@?aCsx{~*A>#3^ z7BJd?%!qJZ0&wWSwPn!j*t363isN2o$WpVd8ZU3Lh4A`uPw2}?>LsdGDJzXT5haEF z+yM$n%6e!kcEa?7p!+8Z4S5^qk#1)nX(!D+udW?Y~c-V+8&SM$j}fH16o%AjB#_Y98Q- zwz`nCM}}1%ES>pb=5pLH-wJJTGg%AFD|w7B_m+?gR^QO>QFXXM3*}-A=O!T8ZXsX$ zPCTgwf0=n2*vs-soQ-bcPvB{}!&dHD?_cmPvF8|3n1V6<=@Ss$fJ%QySA(z*iu86< z0LRmt%Gt1PXO=U1F63|la&jK5?D-QRw7{!WfO9w+Ip}9oepej^f5~^JQ|lprk&ZW} z_-4Usq-nn;RStJ1)>rEo(B(#68tU_7svrL5r!(?zA5-A|>;DleAWz{*v^F)es(R5g8^yM|pc{Sx%E7 z-0)R8KCA_oqOQlGa9Hy9^gR%&+YeObE|wm20%#9k!Cc+XlM;X0P5w}OH3)yXT2hZ1 z6?scO|Hc(<{P#cf>(4|{jHPp{=dUfaQ;@y^o7t7`*YhmmeYCZ#>gPhJpb~12i)2NV z8KKPJI*xJv;SXcZkGwC^JeA(zN0v$cO$hy65^J-_w|^VxPXCFw*jHv0-tLJvMU*k$_t+$h_x(UM1aE@iUKU8WhcWeP%2CZW)4FJD zooTzU5<6oXe4Bx!NOKz2G7!e7m7C=misb)6o&SR4`PG%EN6pmOLET9N5KPl5vNl0|uOd7uD6);5 zCdk7`a7Js3ArywI7nTI+EeJ*@lt(F?&z-@&ALyDF#F#X`JG>%4e79n+LFcs}17#Ck9I?CCEDU1>6<+EwOKieslZlR&Re9r*2SE6( z?{m@fu&Yk|fuuv|^5*g&Cf)dN4#U+JkMmDr_o+al)3Q)sl~qr_NxIx2=|P+h^p-;S z`plUlQ|c(K3>&w~i&s>Oqz|SusMl|sz2Ggb69<3TI6{p)bQ7_bz_7s3mw{KPK9W00 zLJjv%ENK+E3a4(OR4zg9G$U@~4oO4fw*i=)6d5jmkjnY@L70+{91#`gKYAn7fPl(x zB@Go>O6}TnY}P_AhNoUh{0SU`I=_&>5PcY-zce4jofjY@JaJ)=Ncfj)m28MG^|2qb zSfqc?;Rw=rWMKSs6dP;Dl_FBGX98(;jgz73;4OQlvJzj)=m6Pqf{7#x*lWn53~4Ib zvkJZwh@}EDnugQDCrH6YG(=b=dx^U=paQq#ZMri*bmXMY3v%PQ{+-|(=8g(+*UI;w z&`SLhN-opDBn9}q2#fUn?5`K@8{tWYCm?^R9pEXA!l>X&+obYmpn)&-gD9pRw>cuc z2DrtR>>^wGX~*m=)Od9O1>G#rMID3dS>Yfrcc?DFcgF#BrOZ61e3{+k(tdQq1azr~ z-FtP7CwG`D71xIQ6}#t{oUzCK)6i7=g{@BU=BD5`U90#$Cbchp$R}@_h&WoX-aqgGNK$|4U%?!2 zBCKs0lE~i0E=0~9BSN%g@RWOq^s0JSJau>_PV_BC4JNi8wr%?IGGFa!`GeWq2u@7d zrYH&#woB%Ol&}3Yz_Y1M+IXmy()D8R9XHoD8yjur&62=3;eu6|9q>Y*;z>v}8?qi+ zYGelBcWUDO^JRT06zkd~ga1U`JMF)F6KdF!kuqM&_q3 zA?(!FPPhki8X$t)U4=c%#GuZ{N^7J~xyaKK8Ar3ty%Rad4GknV(RF(0ZL|-eUZp6< z>c7q(7#)~cXmJOqG;@0TaXh-OEJ_y_fW-|tEHwE;YR&W?jPUUbGxU)$axwG#pY<1u?3l15pf)u zaf1$)%uRHbhsA$E)YUJwmkQp6M;CfC_(5d|LG`Z!S4E|KU-8;M41|F%`xQ~hvV`lK zc^5SCESQCYVt&rc=W+DVl5iRE;d#CDcx8%<+ZSE!K0OAXO=^w!#-pdbRV>~4KTDZa z;ydQbXYbRan;Q(Wu zmvHrFHaGd#&6pemHP{I@>YM%5v_$u-w_Jg9O1pn7?=ySh#|x?)zD0voQu7>;ohGOj zuB+sp(bpd^9R*60$ZG*wOjrds2NSjK(;rX_to-C%&%ct0jq&%AxTbRthy7;`WQ@l6 zXA@dp7&g9;T*o~8NM09%p^Q1TMt^ZO@rnHtbq>Cpiv$k^e=G6@LQ#@<1X4neDl6>q zyjg#?K=RD=S^4u38-9Z2?M$iC1R(eHhaWBCc#jO7ug$+>L_uq_DO6Wt(kS)pu~D}DH|01SW{1IX z;^rLzF*DnaJyt)DT-7NB$(`EFhr6{rVU~?vUaUYj{jC@ai*oPrKM- zgo4DXnJ~t~^vW`hOk7%MZ{N5Xhc!`s39fy2nX)mu%#Og!m8<9 zamK(dc9}x?Yn#f^Is5_y)eeRGwphkaP0R;F1# z)zXQ3!<%);`95%b_Ob;-`{rD57fI<$+Q4%X-^wY1jT# z8$I)54wSS*4H-D;NkxB{f>$L7JX0?cWMcZg&$Yr5X4bC^!3$4HGsMh{*V!i7aNv8H z+5U)i{a4r^D>kkp=TUl8(_j>{O9-fXHi0}@3rn^p*_0Y~c`;K4q+Xq=?7ABYuIh-tVeG+}p&h61=F zM)>t??Y<%)MZ|lCDUvsasNwYh*1XIhfn$sm?sOeP8M5Gz)p!Swd;)q7bHg;IL7pc$ zS$k+5iFQln*+YMBVeQu1c*)c&`P_R&6kx_2A5wDXH9PbGKtR90 zQCnJ?P-O<-J)GnEs}-|WOHFj{N&ZEh-Orr?=K*G@ogJuyh|7`Voc#O<8;9<0h`qkNdmPutwluV-Czjw<@!(|Ir80mHSnQ4`$5~pF=!l>&z^Eu zNI3&wEcA**v#axvoHxwHW=o55XT(gyT9Cz!Ujdu2`ebp|;+;I{1#u*SB$D)F+lJV$ z`aP$eV8r%-XE7+|RNoCycWk-NHSWF|uY-vjs|CD8H@=yFb1-RqeuSFY6hdENGAav= z*HI(|4{1yvWK8&QmktpR`gQ1X_*umvIR=65_zx(nrJ+>H4Ykj^8*oe#x(`|9gMiGeqI_}EQ@PA*9jK%Qs}avvC#yDbt!R)LYBmF$|I~?y+c9Fe= zY@!}CgZ>I++m2xlRxxv zm%9Fc?q$S0bnl##UlFy}hgbWhcGNoZe^F&>c&YEd+%}l0_UIYacu-+98xUyHmVEOY zUV`RaIU%Fb%D1?>RpG=ATZ_~O+2{D6<=f{vrUa>)|5jbobyz(3nV^T-k>)Lk@6Kw0 z*CM60<#nfzJ$|jpxbLX$FcABCPKv0Xe4N>TBm2%4?LXCN;xm(BhPnn^Z?vVBOhW$0 zcq%ro3in{EMm$I8LEoA$tMt{DQALOXKBXU`LapB>39q-lW$zgcIh_zaFjFRoZF<{6%4))Y zK3e`>2ZN5w$isv^6grt5zAj*gz3Gyu_Zd~6bbj*8O`Cz~w`QI{q^h0J1}L*kjK*Lo zuNc~8qf+GEMI~JC{ap<2=nLmb-h8XRZBfr9b!AHsW-%pWmrV4+O6uQ(gx}z9F!L9# zU=GtR^4X4oHIlkiWHYejLGSBzse?IxOfVM#PDEJ~_JtQ6C&A*-PDxX~;5t{fGY;uq z!DFON<)s?GvSA9PG-udk_p>OWpu`^n`xJ%RftJ10HJ|rK1HkOw(V)v=Cx1*FpS~{? z{Zz4uTuzeCpbPkeUr2+6QP~{v1S;{*o7j}>n3+!60X07}B9>r~?gPg7U$Ve|Yt$Fo z<(Z#Cr^kHV#6kMqnY1hgnclq_nf}i%7I4dWf^Nph-p66Y#*`_wvWpjmMiDY^|2<^r z28eBsG2M&;?3pSlApMsMpqZs325-qyC@D?}cO(H1nTHaH0wHg|>L-oHQf7fgwDE*rv2> zPJ#?UgOBi7(QnNsQ+lX5EV{X9$m9h7gtfCxo1!}S;3?3iEE;y@ruQ&K1`F0!S15d% zNepWR;rQ4eP|;Ajla-u|5FBTXEvz2v%{n)J-T7G(t&qYlo;Js0EQ)=9m!_0N&pXjr zbd5!ybxMYCUiJoNi&WUZ83^4PIMJ2#dsrz=)eZ`fiQ_?hgX{D!hjFWmhR$E>e6B)j z$|QF7RD8$j9=jFD4{9L6z1*nvotn{=PD5or0g9w40?0|O4I+Zulv@JSgU=kBP}C01 zj$__hvdUfHBcPBNYmm-=_;8ZbmBZ&@)v69jJvyX}Gj?N^xyiC*y%@3Un3%6qbDjy0 zPImxgx5b$(hSdvIj6M*roFG ztL?3c+?Hmh|Bko?Nj&WO_)em0fIFoekjF2}InV!M8S2Y8Jna~hJubDDQ2LR2Q2M2uR77-n5* zX{6!XcOVD?RHLK`$)IG)uIbt7LPcwEWK>_Pd^M!p5s4jbP-3xjwhEb9rqC;?c z8I^M)bV_{)W{v#togT!6wDZ-U7U3KxAdSjzf%RX`Et8P(&RsVE5o=4$mHZ(aTk&~| zattay0MOCC*y-?`=dTNpIro}T|?#VauFcm0QP4T!j z&d@>USeV4B{L}`cmyQ`3IvbIFJS8IIs|YZv0B^i9r|8V-;qS4^Daq^Pax7so`M$dO ztYDOk8lg8Oy=YBlB_9HrF3~gr>Opg9ewUOs)Go3&`R!~JeDv-O73zxY(u@lK(Isqu zkcJlKjAi^Dx3PmLw^T6c>UU_QaqfSjRc&o4UH(jJD_u5p8|BZC4%bu5miThoIGeu5X99qn<5m3=lxD7z4>nvnObQYed$~w1(ab zcBHF;LBZAq@2SuguIPfAgd*OxOk{_Z`H% zcDm3!L%QeZza8BqN3_krH|gT}EmVh8hh7arysJ@@%(mFH?BaH~{VijtJ4;t1dFw&{vxkBc`3^ z>g_9Cu3DH#%;Ne9ENdp!sl)2u!aui%(p4g!UW!l;#Y75z{ihJ{@ z31?n^_cP85;K8bpbz9>1K*VzRc0|eJP0C(ERiGa%*TOkmXjkoLKO8kM;z32oI|jy$ zp(OXA-N_Ra*VR7uJvw|g`7XN=I%tx1J~0Sv9!6Uop`EHo|W{LFbr^Zob^y zZ}Z^sl@5j7NK(0Qo1iOn_Sgd?4M$ejC-Ibgx*AO7Otr$;um#9;f`5gXO7BH}jKlTt zWd=oS{i9@``tV&Z;>oIi&1P=BQtw9OSrDW9Yd(NMBMPcf) zW%dV)pk_1ky(4%m=q3jL&9+G1uIKrR^Ejo+*AouU;UbgBS%J`EP4Hn&&Yuh;nV4^` zk{6pHI^fQLbNcV6_%7hgb{k# z`xFB32SnQtU9Qsbnd$Y+WLjgOYDxN!=5W*9^^5;9%9qP8E<7sxi6jShgAlV1;;(PU z!oKRVOkHs$&w>em_+GGk*-{9@gLev(^W!sGNY)*Wgh#Yn@+p2`^V}AUP?AlcO zvMrJx-!?v9a7=yrsOMwHawVldfcHCeD$@@e=}Vs1ZCE5 zKg%L8&%Rf8T{j}V6 zbxmEznM7%S(=Yz)v8_x=q{N4nc6Oy*Lxj0AU?C;X(x&i9q%|~Ayx=39XRZ#qChu3g znbu07zFBaE%07Tgd0UdahO;vhcG1Vi(8o%Lu0-b9BEz#KZsq@YB{U>$ z{UyLJ#%M?l&jf_GC6T4sh~DEvXOJ-+h)6FUOZyRjSd5GHMi|_e#;Ei2IKb_O!x)Fw z_Yt^d$zj(EXS`!~COTUAVB01R)Km3%rWakt3}^4G9~yBr+kLh=Av1>6(=?*HuMMC1#m(cTr%l>t-l2|XumK{8&t1~m1xL?nMAojqakcF?w>k1u)o{jQLlvK#W^m=^YI6eW< z?xL`3mHYb(Rj>*qyUd-DF0b*j!sj^>K~wAFFRrFTkinxs5no!OK%an-rNK>Bf!ox0 zcvYIV-Hf>tP8rJ$a>-ql4irC@txD8?znMlGNDJE?tuv7kYTATsG>dn;cXKx^6rAZX zW8LXZ0wngR?r4lh|5GkZO2totrzBT@;}ptg&*5Fd4y!fTiE1s4y~a5&bA#SvMHV;E z4Q<{>aE@Ba4Bf8kfiF!#GDl$N`A-fK`09<;9ZPHa%uF9ra|S+qoYwRrh!>FgQZFQcq~p!fdc_ zwc-KzC{SHN;)Pm1l-$<&&%K3HM4ohc^H;9Ytkp}YsJS4Ck#shA>C#2epN8FZjMb3t zFtE&VNJrQQ!ba5W*&Hzv{sRnufbECC(HFSOvO%wVFCb_PGT8_u_i9Fu;AFWSC(ZMx ztiQhdj6PF9!B2gCTN`p^q(U-WtM3nQ53@eazdz%Kz?elV)xTM~3}};MT&i4%t(+bsp*enPVL6{6M>4c$3N<^9v}tCMf5^5%;XIgVRZ>XKPfAy7}=?l32i zY#}|#3pONyoa{f$vWAI&%%7IgvO@2NOictc>I_n)RClz=Nc_>PW*v;`lRTJ#htV># zkEz#=>Dc1ls3$V098LPXco#1-u&dzpg zh18|C48Jh+48Zu+^RN*4+qGx~xK0>1+j4GC%vLG+YbMTH8WVV9N^{$$c2MO3EPt?cPtIEI0 zagvkaC~kVv_jBObLhU?l^P^rM+fvcc|8i_G&8v|BF+aWqUAlK6!q|>qmK^I5hiu;8pL{`>Nf452+#Z;|4iIL>&{|<%R%M zZh4m51^B{c&G7Cl_z6_mUj31Yqw*dg9a%MI#_RRfQzmbgB?IKVP-N@@Ng=OGql1Im zsOVu1WsGcmj=ecu(TnGpl=~aHw6ORxlsBrGg|qLR&llD-e;WM5V_WwyLOdiANTu%6e+Agih-p`6b$KVI_MHqsZ2ez4Hk{5s=K;dc}D? z0R(t;QRPc9F4adc_}_H}wd%!{%5dWG`Kwed=)JR@2R2;9U2r~(1jY~f zGevGc2=7=h+U#kht9`z0+5IptL_V!TW(JpjQ%UL+Q&zbc;A(xV0D`j8m;`3qtLF}o z>OKSh>eniP{!ilQk67Z3vxs5bq?0=~l{_^iWA0!+6E1$Veo1O>*!d~U_CFy{YQW+a zJ+HBU`ZJIJ-a6V)`Ijhy3F#Cx+8O)b4+~y5N{|54dwY9Eh_d%8K7!f9Kq_#LOolVs z@?4FlFWryqw=K4uHhAP+@CArmCs*pPnODFC=4WeBjh2`+(X=Ag2AEal>KT>QlbW$g z!K}T~(+wG;-tBD0C?q9k+SlS4X!gf)5T$y5%?!W0m7;~HP~^YJ(`R|NvhfE%RosZL zvU*NTmQ8ZaT?~dF1tea7K_n->Yod|M{!|D~epFaPAUG>h_1xhiQudLZ&NJ9L7uGNk z8Q&B~0H6#PUxIl~me_>mNz%kbf=ge%By5aYr3Q44c36xjJqwFz4#iB@`AbG=#C~Ca z^$V485HoseVuzHxWUf3eMoyU5v)nx5O&yVShCT}6)-wbNK7IuXksda!HuVX^v-WQM z#B88-f$NWTq=4+}55y?yXNVpDo5zXwuAuCJO|9l^xh>HZE^jlADlA%ww>L`>1%qQ9Z;#`D=Bnks$mc$=#<vl+UabHCQ%7|3u#5sYd-;*`6C`pO>8% zBA6|4&RrQ#&Fsn`K==l3q>*E$i8fNAyRCT}A!`Q^v<%4QOMHj8Zvg~9E|Dmj3IhpO zJQssgP8&Xw=Ff>jO^Z^01dUXRc@^q{BnMO>ucdrUMsVb|g0g)mJsP#lIM zHZY3XQ=Em)17@Sh)+liS=cbQBhnVU>O)<8Pl$=;h$o4S>frY23dRt(8ZYy^h!-sfs%w zxP1#UV40k=m6VZx;+g0Z2OkTb+H4hk2P~Qr9r|^dXAy=a91R9ranF~}qHpIdv>p(k z_R_6ymY*v{<2TsN%{$FK_vgw14Vs$;hN z&5#G&jz7IkfgL|L@Wf5}#ky*^j) z0Fn9Cw*24HV^t(=;lg^fUxHFQ{h0C$HIKni`$uo}uNSdk$i5-`k7pfeLpgJPBgWVz zUc*dPU84+t6cJE+5faT@mjEa6QfFM%XC9g6azU}6jN0D&KWCJnV1f2Ze@!r&y;1pH z!yEM6#wJ#do3pEIc6g$~EdJWuUq!1F$&hl#`<``;KGatTI2UNzerYDFv<3oEYn@|t zNXGCTu(UkG0??v5Kq>vqC0Pr9VyoxD-Yd7k#LIGjFTJ~Ei2i5&D{t;H>`KiKn1GXx zXcWye0GK_w6**0jWRGQ+MDg1i!Rjy1&Ucvzh{CE98^4gIX>3<8le0U={i31%=wJe> zle}y0s3+GAtnNLdD5-z^tW5%i=hBTlX11;2o53!eVjABj=hQNMxFB6e8$G!jCihQx zf7qmdgG8PSns%jCc^02eylDsR0agIxEWFulaRu77*y%N74t(z@u7!luH8O^TqZOtNm-zUC@@uhYAK zfsP;c=zui6o*sQ=sm3N>nj=0=n=wO)hdTOC#To+-oc|Yd3}{HuTVwG>@faa(YptID zTiE@^A*Un9?0Ei{)9CAaKM3B!+SfE<=ZO+5>Sic4ma4vG;qkJTqEJ?iCUPfselu#8 z8U+D&u&WnM)OE}2ZeDbO6k?Bsofu1h4=Bw-pBzi|$n~D@LeHd=6zMe@RS;UvAmzz` zh8vI9`krq=xS}g1aaJ_zV`w6)F^veSNejTOy6Pv7-pa;P7=X%`9PL}`j6vVrMRUyH zmLqzA;G*Os$I7O=+&QGKO=rUO(FuvW`%)}x=a{%+o;vxGTB4nU&(p1;FIG8!9`Etx z3~(>{XMP$MSbs{>6wVWOT6!f~nP)|(MQ0h7fTG0PsAJWy>0eVEgfqTTphhwXy;1*N zYz!(OtcqYI{57}bI5$2&u)n+&p#wG8<5f2W87~lJwuG?32Yww?3Y^d}LH7_p$;8k-h4FXz`{23z z=EPK55e-VJ2m$)qK57|%)NyjvL$SQ^f2Mvx@0CE$ouarN70NSDv#)iisLq4^WE|&T<4{H%kG?|_FiUvJ%%_Z2 z;57%XLvCkZQt|i9Hu*gVk4-yp0#z(0+KI9eS?q?Ch3(wLXQw59(XRQi8!ZKT+B2=j zNX1}&YJjzgcCdb{y(Gj*8$4h@T1a7is14q!t&n|Iuql8zRj2CdziIp%<52}nhGL>9 zIcc$r8|{-uI4*UDKGcGdqpjFnj&jdna#O&^kKn*YexWup@!T?}GDP2Dusfilo&fRS zm|LC-tk2iCFuvM<`Wl4u>6f4OGkr+N90<}`Iu_SVPEk?8dg185v~7Z^LidZ0r3hhnPGxAf z0~~a{J5B_0j*gTo0aUKLz96DfA8lSoC1$6*?B4U8Vhr0+2lKS9ngyz4{U8DL&U&Vo1QtFlcJ$J*{)DA(Q& zx2)~f(X8b)T?La4DWr^zPJ2ud3Fit{v|L0Ato!~~=r_5r*1@~g?*Qts5bliE>95qM z()>~E!xZ-*q?dug9Tk5tGBCD|dlM$5e=ZM?;K?O(A3&Egjk|pby(xoh-J__JJaGVHBUoQTxihm_3j(@U`j zDJU_}2Qn}M1*Iwy#iciTFV%K0`krcc9PavCH+eY%ty^Hm8pwa=(d4X5N21q>KMYi(P)dT{4QF#Q16Kjc%o-)7uWCG9Wa#FuZLeFs_yJJ?xW*dIx=H2Wq0=DTXd4A zdC=a9`fC$a3i#tz5TrJ9pz3-)G}3DEF2_xf509|SY?*&jr#B@Y+zdYtt`Rk~G_^%6 zXrK=a`$l87L<*6Yu5X(1;7+sjLoa@`n?M-$kFjVyZ)94+VuxhddGte;WBp^03x3-1 zJHKczS$4NA-WwPkG>qo$1N^u<7iLQ>%v(xh{Ssx-MlkxkT_{f4Ud({L?muLzNKk9& z)m3wAjGlkY_bFc!ZuhP{{viY!J|)3hF<^J{DndA7bkACnM|(;Kb5TfzSnc6d)kJ_C zmv9r!h!{GTGHH8?Y*W$`_a7KOAY2`qoc7kr&1&kE9l>M#3W z3tg`q2*}m-FJ;~sBIr_rS}V;2LuM>7G!Pu&&&+>1poVwCw~32rtTtCKiOBFv2+F%( zwtp#h$9Z5FqgOW{qVb9CReJl`_mEsJ{XI!Qkp$z*kNv0=Mnb{04K#8Z1A;?teC!I6 z=XCR7dnq&^L7i#HahFx)PYSLP?+1yLOw#+MD&NJO*bxFqGw;NlQZ0F;FN+Svi3B{B z6^VZyIciU$X-TD6?#l&0Gygi3wA-fYP_slz6bdbAuA{%v4rjhW^%)d9jlVnQijxUZ zPJ1~gw4+3Grj*_-jkeC9k=j~Uv zTy)?zFHxyN-viA~=)W?cug@xcKN0<&RV#l`1mG7gl#F*(tch=KbwRrWuL>Z-YJO$o ztou#K`kpmMUQjnuoI)ts3q-K^mC{|}q&qF(!vJnEc9LIrSOoAx%qQiFrqT5zY;|F7 zzSDU@P8W>bK>yY%)4+FsH0O-h^MbVY9dZ@uU!6@o=>p?Z`mR|7`01%iX9cq4mC%2f zT~!eAMuES?VIwb!m@wN!R^qvwI{iQDp}TA~AKT0HxbD(?xZ7(M`PxUfy{o!S4=#VH zI&w>1XuoN3 zB^5XEz3E~Tcw*^94=gHm?D9Hq)8~ITM!7WsPD3|d?6Jg(OC}pqpMYX|*hZ-mXhsTc za1+t4eF`1R)TeY10q0!x?`<>$JcNbp<=#*rS@E;my`aAWmilMjY>@&ty{@iFHd`YW zsxZ}tukyk?B|~_fJ#*>)M;c3BYG`#xpu^)@?h^THUf&q{mm|_FyBcL40K0#Fw7t_1 zT}Mnf&VCnssJsPt^-m&UZ2D&>ZSP$gOP0IBkA!lSBX`8}waZyTY3?0lC!f#N?Qf4r z!Y;g!toc_l35d_B3ca+qd}VQJL!o+6hDoR4xr$QL)Ey+Z8%?zV+!`DK1J6E*k+i7s z4m5%!%9P>?cF#sx2}hoUe~o`54i(4DCIFEB=xG#n9oN(}zi_r~s|WF_gl921r4@LZpNgN& zbBI_o;zND4gG7W-aUXC=JQtfp#54I*YBN8^PTr5RMIcCXejUnebfuHSv`W5bFYkj?B6tWl6-IMIfpsrj@&VldiYa5tTQ5 z8ZwT^e2A^D!f|9tru^FyL<2c&6Kb2{4|H2tr2Tm z0+owh@8Z?~$Cq*$qXd6h^h7N*kvnGm*!n1@0QMO>@nwPAB6yO0)z zd~8c(T6q$r{s)P9)gd(tCocoJOM&&buQ$p2h-=a)Jm~cp zt$Avx$*Lw<;4Vfnw)3F;*{=@|mhSAYlw20Igtvr9pvDjMqR5B4l~oy*&=`quo^;$2XZ-BVmQtx#!vSI_D5??{sU zht_|%&5hcj{#aCn@7ms3SwN?kU@#)AG3KiJ<66mKe-c@If=je;9z>B0jkLr>97qp- zUB|S$5d<~N_1)p7Yq|c@Ja&GUM693Oij=c|6O@kiX5{RCbr%cf_QSih2=FA%#kp0H zXn|W}>BtVDo!dHBnAIizI`iUxO8G7|+An{rGj6KAX2wfA`G=)`Q4>+ec|dvjFiIxi zL4z_4MEdk_vvhIv$WadhM*tiEJ&4@A!xBSt?)wpBX#kRdwzU` zrJ@L~2n4iWZ0wp|eC~#ANn{IhHmF74{lZcQDDQ88_AZL!=NM5^g-?01;8N#V3*~<# z?#8ub6oq>YyGAiHRNqSjb3VlVpIZKG zwT}}U9-g;IPf|to!fKm?BtyM+j`=K@H-V(Oyn#7dwOwz2GU0EyXAPVZK&Aec-;KcT z>=r{gaalgi%X~(8E4^Z;lw*fUTV(e23tRO1DAsHcg(Sas_T>slH0F}I5G(RZ@u_X z(_atCdQvMFvvcKyvLENwdirEH!q&UVmMOI#rC$B-vS{;+45qbln)|+JLI?cr)P)_2jwOzT= zBUSD%(tTI*JZI4l&PsopSDJAGoIud$@yIQzS zu^jrYGeO{D@cx`lrv3&wS4`IHB8O^yy6 zt0p0jJ4vJn;8Ez9h_vxF>GU9r9-&9xUli+Rpp$#{v2*_#a*_71n}p8yxjYlFbS|VZ zZwygcxs=*8Bk~Lpll)ll*MfD$4BOkT*`^XUKpE{;jQWoG1>e$CY%9iV8proh*S^%( z-LO`4Ii6lhyVHNorWGYn26gWfP|}Gay`TSA7CB^x?AE$ndl#EqQ@ngHS>7Et^Q~Lu znB{EUQPTa*JVulx-X&II3>Jw4!^XojTo~pdEn=`})f+m^kaMkCORq1f2p~3Uz1z}q zOex<#y$l4l4>(MSJs^v^8$Uhxx$&7Jt&(XA!}yXBg?xYUfUfBOok$caW@V_SY){r= z^aeA{AQ<-+Joxd9BTsuZlRnSE5!E}wEyxlYv!f|i2>pc z;*9lsWR`ztU4aennzyqkw;}qK=KJnh7dw{2tcTz7tfm=C#8 zEDqxu81waM7HRc_JI~VNOM0!Xnsb{cKw%xMQtg!MAO)f3(UwSOuTf-xY~AO^1g13> zD4N+|@@Ib4<=M7MnRaJJ2Kq4yZ=EugkFT#vRttZcu=IXXU`xU#5_CJXI?GoD49hnP zgZ{n0 zs4YRc5sWRqJHrh?%GSNu_w*!E9+l z!Qy`il!77?e0Rvn6UIM|kspAzrjl)(84002@!j@Ue^6$%IB*C^In0AsABFAJ~=#wOzXs zo}jrbI=v*mw^&{Nu=#?til?HYqxV;d!0_f=>h$Qn%9 zQfk3POLFI-0a}(t*H-Spo-A(>SL?^F+arq=D`i*KCD_z6CWv98{%z_SS}^sv%#l>+|?ixhv2 z7xUueAZrsy2f+VR8&2Vc7wX!~LG#ZuHcW)5EK`~jH{GAp%bX$j8zp)AO?G}YfGLGn z65IS{#lq|pf5XP+wPWh@M>Z%d4m4#Oa#mMcA?XX_hjqxc*&@mFIv|zs9?d#6_I1x@ zALt!)?k6P$o1RDd*&8%(Cz}wGv&B$gp76^#}VN41j;eJR0%t z_g|vvJb)8K9`EzOfPCvQBaDyJ2#DZpqfJ@STNW|k-l&Qj7eSR`S9Bq*Df$&b;L2;| z8kwTa^E%D?a1*pl5|0ijxEHaH!HJzknuzJpI^vpmhhL7@FS~z_K6DH#dMSFDhHq9(K1fV2L22cbNTz$?E+YhkTrgYs zVp@er{}-ZG-Eq3Ce@ByfMn~YFq4s`>KgG~P@JMh;8uwBN6?^jfB_=)IMVu38&I_fIurH;f z2OsCA$$o^@k*MniHFIok4p;Pa^P9~#XEKCKrzSL5lZ428(&7W{_Mp!qaKWP~zN#1 zOR#rrnMBE9hDMc~260|>MGxtBZ+04{E(_VW!-7x+OIbr9r9Lh2loz=TiFm+o#=Tj5 z?Csu{t4LC38*3=lRA(}GK%}uMtjReH-EO~atqjYjQz@TZQ%HXwJsI3g7-xJm(}G*v z^RbTJ{X)CmB2Xq^7royTCSraLt>i{+X_*%m@c0C7(m=H;zyxS(!PEet}f;B8*FtjC0cBFTJ*gEREU1A1!(ncTcf4!n>ERH*Xx8 zied>{oB`byh8BNK6{B0QL4ch}#ZEyiw49xFJ%@Ysf85i$gG{@k`Af)&y|rNaSh+u_ zg1_MHWz4#2xW>>9H#YVI1XgRQM*2ZYxV837#nn%EFEZ}|#m~6l5RhbJ!NDLMGHw4k z8R%@%@3p{_6JnZ_%iS2S@|HKTIbm(X3LOv7<4f3O!zX_O8ox{D*hiQ&%<0`^mVQr4 zd$<&`^5VMD24!O%lY5nuk#P>X%M;{~K%X$|oKsSTh)jH_lm^sVDNo_g$3EAx<9WCC z6$n8t?=$?+pE~L~!ttAyhRf;3Tg74LTAfPo$?znwVt$(AtductvtAp8KJrNFf)d;gsIv*OygkI1N(l~uf%`MzUAIks6Bzg@wu z9FgCcH5dB|W)gKwAb0oXM~|w4mp|bGLrvBbjK;MY(oM%Gv{>ZyH-lM~Oi-5znYssz zE{cDdcNEC=h=b;WD-$L(y+BREE)d5luApwjCKj*4MQJCX9+iltifT+!FSxrtUnks) zX%848WgD|>0{zEjDUsA%nX<1!OXDL7W)Fw2;v##HY=GuQ~!he1#pWYpqe9Bc!lH`9eIHcGpeGQ?t z_^ANIc?*9{Q|OZN06R?oiH66?4yYTmlhzG5APN~yH`3Zby_*WtTT2+f_bi%)0_pDO zEFtIDcf#4E^$vGb=`8YeuE~P^1P~&nAUmiCpv!| zTDBD!pd|8SIvZrvK>1f^zX2-~P1D|c;U)Ld!i@XNwzVIPO+le3NKA-KQg~C!BV~xL zk^uHK0w15DK_2NW(c#Lv!#mPNK;Q{-b!yE{02xMC#C=yx!Df(NS`^M@g=(cW9%?kI zr)q`3S$StHRef5PljnVE{N3VCT>gLjy~})TOuAo*rnh*fgGp~!rKa!^uTXUnwB}o+(8>f(bpb9sFgLI_?YPS5e^$R2BsAD;9u6aGgew+$S_3^&IWCrZ@jT3jTYs}CoBs!Ulo09b4!!pzYl`>Rj8AQC) zlz0Qwk?BpjwMZ_LP`zOHQM;H1S#r%z1X)1QRI!cKYH}9NC|HSOcZQqI_^zh*bf(nr zLC|*Ggd0^U-QL0dpLHqfqU(PfR>~BRQqvVuJLmj77+FYwi=t9zlv_vi30U~C=J2!m zk~X@}e%X^{V_a|1X@VaSc9aC)0o6Kd@Yoy+*cFjzMQ$~Esw71d@WJz|dP-#hVH$LI zp>7?)RMx&xFNJu6%92RXsC#Hs^t~T>0)h6>!~y>M1fz4Uk~DK41W$h;a`huSCV+Oh z&j~lN(9m!*NW>Oe9m&$GrS#O2PWFbxtu)%TySHXYmZ_%4sWgCLCw~=*Q4EB>c>ANk zsO!1Hn3GGO0eFv1dd8tVq%@tmoj3%*wM#4tXkTgrCSu-i1|f7eXdd3%s?kt&JFl1s zyq}Ir^pp(pN4|Oaf2Dt$7VgEaMvzAHbu4Nf)A&?P+iibHon-~Kq*_EJS6}GzO>bph zV=_GLfEkplk_6IC6irVkhP@V$UgzOdtJX=7QfGg1oBk8N3hM&-NLUMr zf8#(q!@WrdPjTcN5ZPc(I&;StI&(}k%8WadPW^V8SeB5IHL$IMCMwn|n+r6TUKA_u za1@qP2(3IaF?)C(hioeu^$>PVEdio%-#A)x9u!l)#2VV2|Q4ltU#uFS2y6 zDV@lKtp5?i@)QC-H=6eE89IlP(=(0lP?@G>?jQ6woJD`7cGBkwqLlYnZtg7&dk5y{ z&PIB0H-?v=*+q>$I$drBcApIy%YL!t%`amiqqxCfl}&T;7&xr^q>}d+A}U^3U(z=L z-FzU|?<$=J!@8k~QnUIKAXFwFHnK8xz0aA23*772iN}TC;i1l5-YW_Pu*Eq@@f64H zbMv7}sq}wajy#-R1W8k3%D#c{HYQ1-Z`_yx_35m(`WObhu#Q&Wub?K;;p&r5%27g2 zeK%T=8U%S5C04x+I}UQ?`6O64madDV8qt$T=z30BQp;?(}xcVculTFUZ!E zMZpHgd_uq?9}iO#7Mi$5opYMbOF^r-CQz7N5 zo5g1kuGwi&?}JEKdtfihfoAq(Lf?Ri)pqOhEr)d5wq}X5vFZQ1{{Qh%9QiOqHa5^A zg}FRtt>P~|3P*zWq%Qj(9IvTwy2;J|BpyUU+bn>igb%KBo2tqO=+u{xPp}f)^{Zh% z8s>j1q6J~xFTe7|{{%Wv1-;b<#|-Z-Xro0o&Q<=1BXj0wjl41P-`@>7hQae2PD$Whf%e2f@ZK}HK z0hoONQIw4ML>f(}1vSoNPMqWAWL3_ex=;Io-_uhiO80*P z6(I#$nzq{&&L!~#78F!GCdI9ogOCCxzuw1`N_o$dmx{#{H1AQ1(H4V~%=dj05vKHA z!-`{*J5R{?fQ&pVh0Kb4IBmxeh<$tN-;z!r+773jb1~aei#3%Fkk}WGHHZ^QD{xY| z6aoBBx?<-Pjwo1~YZ-cMmC?jX_O^eHXw%LLw|st%35hlN!Adi{ zvzGdmib2zi?-lH|j7yo~LQH|Q)(I&Qhp!-?qlQooZj22D*heU;CW$08c=$zdv1ZD;HT~YBwmfYA$||K&09@%d1am`G@~TAe`G81ro@6ID`Qy~4p$_9eXe4_lvEamGSMTtjePAyNd`;~Mw?bn4mAz? zs0?vX39(zW90JjjlvR?%u0W7Q8}X|<-@0-*KZzFsl%ktce(fu>ArhKS8oiJMlLHut zYQ#NE+gcLpFF2{M-NZcPAl5X2?^bu+-`$lqV*S@>jV7{$mc zip=+g2eue?1P#kWUGA?=%Ne>D#v8>zlbJbo6ATQWoB3=Favc$00f3Fp;F?ai{+ZZT z<)&0C>K6ZO+21nk8G4fp`}f0?lwMejOTUrO&_n8aB#V+cixgAT+RBKVY0s{g#^ zUN8PEK)a_Dl3QTWhp*>s6xp)Sm{@jl4H_YXilgZceOG`JSpHQ<5UoE4HZn=bIUq`D zrQI6Pm#b@8xbk(5@qP$8Zu6o|>Oys#A%DM#2V?s@=?;*07q5ELQQmPZGJH=o>4_IX zwWdd^HV$SfT*?*NdBMbcmUF(&%K{)Qymarzr{6+&LiU%71Mq zG^MZAHNA4G*QsCkXU|3Js@(c_m=C+e2m0Red#bM?o~G+vr08tPt%C^BFPyLxR$kN> z`AP7P-AfiYflpK-Yz?^68r4GMzo3=pROK<^(ypgZ>sj9Cha5u^WH9?n_*yg(v^l;Y{vIz-k?Rb2fR&zXTH&{JuCbE}Y&I?Ozo^U;8 z_CKMFHl+wpG^=oaVkmDf&nHF7dr2D28E61%R5b3V&%3}6s8p}$b;)t1pE6m3d~RRZ zg$7JA#S0C|>H7MguhoxmH-AUot#9?l1!ps2RZF61H-=RK&1eQyr|AD>{R`e`qT-&s z*TC>o4p>-nVy*j3t5R$yu_A+K%tAS02bW~4L(;~qBUw8df7a=wO4`b@&K?>t?LFd1 zo`K$f{Aa6kg2S=GT)04vYuVIoj1fj#)3s1y@O{K)!Azf#% z3}>%Da~{M&_UVJN?5+yRwSY@;{UXoU-(+2bu?z*!eae)A(0tYguX@9Qua?S!^F^9i zO#LY>M(YRDH>3zq|IBMBH?|HEOBXe_fNGzg*8Ow&nNO1O9vUvGQb7@t6cx}EK9SHh zT%kP_8iR(N0lB72VSnqlEL!p!B08LZ+>9#=sS9d<4lAK%xGoqN+GKYa!MCX5p=Y#? z(@VzP9$jxmVo$g-Gjm~3oD=8Dy8M*@+M_dYlnmr0iYC9izgdXsbq`6%04wn}3Oe_b zMZB(PRz(dTAeD~2CLEv)nxmhm6WL`}bChm6MY&8s;eso$s(*@wabAbDz$AFN+t9g7 z0P7&atxp9124U=Yp5f-|hhRp!_lMg@F;Sb_1Hwx~I*DF(9gzVF>m8#UZvSF2i;#Mx zTw-_c4geDvKUXdzL_&r&ZV7r~$SzdL&mE*b4Wn~Ogg#_8F974{V`GIsRaG?*2mD?d zf*E%AeNuPmU)P4+T3W0=G;l1n)M@`e5-t#nUHcLx!wbD5 z6C$wkpwqrD74^_5oGElS#!sFXMEpCRMJ*N&AJpM)bAM+DJkWPH*y!ROvi*cJ*KXh9 zp_iG^N4?AdyIr<2i9wI|d0y&%8m-Ymy-(YRmFREbneWG$Lz4iL2{uHE^)?baYIF_l zAv|C4A14#KrK?g@Y6<@na8a1ld1j@4rAN#l>U*%3M0Nrm@?9HlEvqu5kaIAZFGLpE+ z``3+c5F0}gLw%Be=^->z4g_cdV7Brfpv#EH&mR26%+eK|I3>?4m+I^a#15*n`dOa^ znSbOT2bl>D@YZXZVVH^w<-9m{XR~of5Q9T&+m*?hw$TIU&tj+5#kri*E^7*vOm7I8 zAWEbqPmBqZOqNFsS9QFrx&R2 z1UdKR$n9#p(uQPa7UcQa>FytmK$QmeV&D#06#g-A{A=p)dhGI!rKS7Fd1tNELe@YZ zXWO!CymT-zkr`$TM@_>f;C%w2q)t&f0seTOv+1oZTl&r7iPN%YxrG@!T<|S%Qhy7^ z3D&dWF)ttS+^BEO!iTSL0K6kD<6ZEr;dRQ4{m{{C)ktEAs|DDnI(5DcoU;4sUOVz# z>(-yleh~s}Drj6qNpq)v(?%I=jt4QmicCD1qtGs^ z>o!Opzys8+!_(kTrPfX1skVoje}DeJJ}Gd%%+<-i5$)m_FDEwx(6%*unp&$3yQYxO z%a{<`{czry*2JV_mD}D>`gBK`{8W(Iw1;a)i3rJ7BmHrGlVIYu9bHH}EI=X2z_--D z<{JKI%JI$&kv$X_>D^uf36W)BWRIBrU3Z1Oj>Hoi%MO;CW-c4|A<`ZwR)6o??P!lL z5H;Xw>;d=x)dopA5&4{t@Mff}b1Gk=xcv$KQRXb;=EEN}u18ls$;C&ibxLw!skU&qecuw>n3-0kt}4ap^Q1Hzf}+0#+b zb``MXh)2&wu?_yOzF8Ksw2C_~jUx^Vw=oiADo!V>z;~}hLw$+d)qkx32_fC9XR`KM z)9lfSqU#*3(lnqbKqI{q{jeX4p1F(v?I81hh&i0AXerd~OlGsfkFm+i;in^1VS?X= z=isE$jU|;&^Em%whL~iL8VgTDb?8PZ4GkJJM#Dt~fsO{@|UqSdhyIT2fu zx9BuFqeF^X8`(1$fw|%`;?vjeqm9_EOVL3n<2i)5Y2@)tKcD8n~39GIb|ou;uNbikWVpg zVz|H?tFu_34!%p99Nu^^K&V++V=GUEYq8Y=gz68{sDIWkyhwFSpp}uK5^MA^x^g5x z%daQp)0_;I6}~YWqzz*;N=3VLLJu(Q9%+H$MCy*o%NHz5z+j$vp(rsR##g$^g}A6L z=w-iEJIHg09JRK|<8%QFzQQ8Q&f$+}akTZ_FLGfz36(WpPfY&5x@XB5_( zhTrA$J_kqlc^o~M4W#w}gRCZ)>?SCK}^(AkBnv+GCc3i*B9QETsN}kLD zFA41J&lKl&$jW`*1TDspm#IijLfaLFSm{~lQQ1xldfSdlR36ps5 z(97j**x?$&3a#$tg6cdi57?vJgqo0tHI(3QN*l`HmW!S9gl@PVQFET=3VGg8lVF9F zQh&jhTqwD*J@)i$PiCY7!nAA#iT+?!NSy$4tZ4a8Rpfkkw!5x^Gt{IkhVnpxa`M>K z(myWZtz*ylj42F05NKW%;Fx%ptuRkF$N`{OAz?StuYV%BI}$x2NPhk}>-_5rB#u~tzR^4<1Dg>fnRAL@5{-w7$4A`9q^I^tgsVNB;V)<6ullX4UZ#+~Szy*dvN7~HnLZ$q@Lc7X0NUu|`hP$H zr1Z6~i23)*Jo-#5Cut%oP%7(!)PLmsjlFl|0JY~-%G^#w>n&TZkW65-+hT; z)pllO3qpAtvm4ume0w@<=};#10x4UpwJXZ>1gBoseA3n)3=8KP0y1E%3G-Z0?7zd6 zf=IKl#f+=+Y$~7+)<9ZDUf{Hhk0JL`qu3NJ83agklb)A__*$?k&+|xfuYdP}jqOka zj_F8i`chd$7I6gF*vE$lYWtX;>v}8W%MR|~6#D9I@bmtH=KLhVHm+t*~3FhhtYk8?>HV{Q~VV~hDtPeNT7Ng`$Djspmd53 zm6=WU>yGDY(e9Th0EHi^OMAb2R6oc%R)cRG!wTJw0=lH2L>m%8AMW*^^dHvOJUyw( z?LxV2Ev!q`Du5w|D} zORwwBnG6XEs0a6+2XxG}%HA0JvgI)Q%13v~ryx@4Ib@3g9gUci3@Wk)3UvoRRwZpN zvO%fy!4dwhPk&-sWd(kq;&jL%kt_IuDU!DSca#eW_m`UM}R1)RsyXK^g7Wmo?}ACX(|Qg z4u>?qlTSE{e7sEuD;=Uu%PW<(+H-4&8_cVQ?K#hItX5)pP3;(qmB$Nje z@{Elo-j7P9y#O2RcZk436+QYV%klyMCPXFF`1D?+(=C+0Xd;qjMQ+yu8-Q~4FC*-| zcU_AxTz>=^kIGyNQ}hU&(k`ywv+ak-cRv2`$$ebDSd}G2`e~fS4#}lORQE|ret&yn8WgUhURPg$dk5!m5r7ahP0Y}+ zA*NHa=5>7QRe4Q|8bM5zx7WY%jAf)Yk-uRA*7)ADxy|u#n0V0;?!Pr%*|_^2@wR@s z3Rzi9Wbi{=ify~Go8yV{c`Bv*CO5h+e8jmncl2Gn3{T}JR<(KIe-;p3wZ>p4<|-vH ze19ipI{hU+PCL-~wLUSfMMU_e?}2=d1=M}%A#opBH9jO_qhk{unoYK!HOWRq)ypFvWiF2$Q_lv=6fsg~?eYlgLkYdjHTX<}rV7;W=7n%CfDA;z!GWtcEeQjwQ+$bbseW zY&<%2vl#Kgm4`WN@>5}}lGfrg?(X$%ZSaONDlpOE;;Fhhxqj!R{{|>ay}Jy#%Q3Cd z8Ibs~>zBmRkxtm+{QEMa#UQW0wag7?lX?Ff9k|Su_ST9RVa!<9U}dDuJ$$ciFn3L- z@@rKidW(brO%Oe#-t4F4wHpN60DmdY0GVF6!!GTKZ@9~3FFw8j-f|QGGyMRD-cSUO z_ZzwSnfPX6; zpY8GtWdjodr+`eF4u2%Ow-~rUn+e(7EH2p~;QH|4Z)8;K_@}~YV#-ykKg3b~3#64@ z@)1?l7TYjw%d!at$!1hyY>yOR>>wZ!tI8% zExm8j25b}X#9_1&$$|e(@|T2a-uZjZ@sM>x77bi4ey1s*ajFJXWNyPkKEtdid5hC; zljr|Jrq!+0TY@?#gHyU^ET^Q@Ld>LjbnGtmFZvn7fJTY|3YCl;vtKN^64Mx0o<+EG zV?rbB3>Q~3On+6grw~4MTiS|&VfJoC=pWg?^^Wep;}@}d12>SGTA?GiImZ;!C{8eLK8eP#LLmS$ zUI>cHdT8p6r-I7+5pNU@qW(0DNj0FVIVj6}W`8fOpK+Px$?QE8)AwcbtJwt~ z?1jfIze1Ak_8Q)$G}R(&vRC%7^#fd7j&cUfka(e;aJz^SiA(fH68)%=ew4J$GNGAQ(iSy3fM`DwkZ}3{tM6=x2Od z{1?2~IDaC&b|mxgy*%Q#94|sTJfB#rxlLs{$kwc{FjaMCs1ge4DgKxJgZxr@2RSx( z_iP5m|Cq)5?GWLH4b}x&Q~*gyV94AD(yDnnmY4wFHACv9(|u=c@IXokfIpCC!v|1~ z>ZmC!vcSLss;Z{qtASel7D4*xoDXMeEY_%aQO6;e!iOpC2)U~$4|(T_ez zBkhdJe>=Brr=n+9VEpln?>{HCK&$9pC@E~Zzk%4dBcS_7>jCj{QgDShPIz~^(aEbx zLYyg?haAR0E`9Lvs^owPk-`Ck8_DE@(_FjJjU~-yE;h0=FiRlAXXt`S{678 zPDXv%gYyErZ_^_1BIfJTPSN49;@r_i(LKOEGlGLpl()R{+gt+6LPHNBppu}PXZnlR zwh-co_B{o>o28Yz?EQ8LFw}lUXiX@~rGK3{0rlzcU)dh>K- zXZ~a&L8{lgk+;Cx{37b(nPyqKAlM@p2Q5sr5V!kH!-ci3g5|Sj9i4^^cjhp~uz$*l zW@G4{-^)C=pV3}dnSkdss^OZ{pM|y zdST&nB`<2{eUazc$^2zx-2XIh?LX?8dJ*p#$#7wHKMSq3zaSw7O~h#>tFBtqqlk6y zDBS(hypapH&~kMo4k-jU0#ql&nSZPEk~Un0RIBMrG3sXRe5*!P^w5ld&w7eu)^Ke5 z(>Nb|BMI80B5no?%sOBVI1cnAkQv?w;!!@Z%?jMvZkR^Ty7Zu*Tu5|~^Xm!*5kd0R z7tOh$(PU>hh6#;=vqT`z4?l<|NV8zj(Z)f*LLKUAkv8~NBj84#s@*xTAb+9*oUFZ* zftfZb1&mdL@|Np-Ntl%pFD>{Cg@g=34*7hrPM%$3T3>oxQ<7Dy%-9e-tJX82X^g%G z=ebi!RP+)O^`xb(ThLB-CIe!pxEd0Yt*q-7@+=quyNmf>q&EeDv%rX-VY)bIY3lno z*rC>PTaSlW`{0%nRmLj%rhiR(l^+u<8^;RB!h6;>QyU2mb#IxoJBnHo%5f`g(r~b4 zBxB>{T%}i1@ClV9csAy|e;AmWnH!bb&6lWwtk`rbU_EPbLGROiFS;gjV9V5x{EPdR zhk^GTJQGC6AO!#cbpoMgNj?TEG?wi4t;{}S1d>K~sztXMChUH63xDwfTo4ZX5nrF3 z`N1A|pv_CoV6$hj>eZ$s53*9D{p>Nq9Lt6=>weO9db}H?0d|m$Nh{?hz2|{Q!ZOm{pJ^>BD1?=De12Q2E>cksv|Z) zdpJPi9L(-XC&skcPJb)m{c%3{4ibw}o`L&oqFqBwluE4EFiHEuI*?C9l{EZ3=GU7; z!+vcNyV?A z&VD}MKQ)^HE7Wv{+<6F~g>2&!F9y68Zt6SJh8Wi(l4(veX!Xt#wFdvW6zTU$v>F{uHxPPWu#6Y4BQyur zlL6sRXw9xoU!*}`v``Nmno&)+%jcAX!kcL{f#8$95`RC*!)|JXaACH3kVvl2*i8zU zW66l8*wQ|Ybkq6#A#XmpyUC=qYB-niBYX%W(|eFYP@b8Z&TTRFu7BHS-PsP7Kc(Q~ z6zK7r7u6c8j$>G9<|XM7cwVDy7C|V|3S&!_U&W|bJog{hNi(Jv{Q{eIu2%lP!4FZ) z&ouVjJ%2PS>>zroCMUNs>E*WhxZ{7RXHSjh<$SMsOA?dB-4=QbZunnkki8g*dBB&0 zi8I?|c6(DAJfJ?KrA)JJds?C>`P=is=r}TaqL~7HLE=Xd#M+%jhQTbVzF9`4H=81~ za`B=;l!2Tr`Z2guU%lAjZS=UEO0uOQ_`}W@GJhd+P;~$nK$xPjfq_h4(1G%gEr^2; zUsE8>i)WE5>O~15DqFCbb{|R-vd5m(6)G`PNFbY>57oCEk+ms|&7S+geA4Dt9%DB7x)=~c3{JgQIur%iRbKha{OvY`MfS3^ z7=MNaV6z+sY8d>YCmB))D2)f!U=25&JwM;3G4p%z3R=?+3a&{2y&-Ch67MZ zPpcw7u0nuq)#Zc!QD{k#FEFY4US?4VNT`2h`P*??7IWkfDLaiiQzM|LQWB1^{~((2 zw2i^lc5_mG;>uiuH_J~PTsWrKd9iM7v-ipeF0kq>Cd?(ad>ipm*bV!D{ACVb$$!3H zvQ7(+#SR{}S+mn7_kSz->$?L{ZX53Vy0^mC6)Pmny38 zxtBNhsZQ(ricG=dhH33x63am$g^rH6RTHIb(j40fpG@25PPsZJRFQ(z9;TOp(?rj* zSKhDf`C!;RyD9#JW@=(FEDX({X@By)Y^x1gE!ILKN+b5VE-ypU>}*fDx5dUk?C*S z)R#(WbUZq=EPX~00%Ow435IgKj`+g?EDE`Kf!BKXFJ@YfjN*zXXLf5+jDKhHJXmUa zN3uuu?CR4T+EUk7^}R35;6N@8LyY3t(Y?+{IQ#Z?DM}{YX2#wg^}^)f2wtN4`Cbfg ziE+%S(2$xwo2BK?d`YCe^Vn#U>Rr%WAj$%+0qipZRfv@e1Pva9?BTd*bl=|^mySj4 zT@VewZ)2~CHz3E?mFMclsu?v1#n12u-o{T9QvA@VW3Q%=3QQ3{q-#Q#kr)ybe=%V; zFiX=NqIwSVoCBc)XZp8a(bZ;`sYdbJA!5mgSC-bdep)@XVeHB^!`Qf2+c(~2PJP|Q z*teUn6oTZxJoahi25R?Yu3C&A1r)$qhITVSLEBtOJg|fOfLDoXrw{3bM*2pSv#;`g zbzdSfNUX!JHnK_Jfzj>)-;Ej*f1@I1ucW;_G~whGk%i_0jfh|}IjfBAeBf2%ECH=d zChILa(pICSe)WG00v`WE_QB=)z7xS~aPyqjd!dZ5Ps=>5psrRE{7v{jpIpp>nX~qP z6^(5J^A@GZ04IT*$d%~mk>6+@?|JU1oI1SYaYGk1^aO=c@4{d5`JHrnf1^ntw|Jpt zh0#G;8l9@DebEn6b{?Lrt$wewh?E8znd!^3qBVRdcJp8oD@Iz&j0bDyZBRe%1_SO+ zI71AN;Tiv(4)QZNv_8HKe@LzP3*~wktfHpLqBy-A8|{fbPyki7CoO#p^nevO=p$NP zT?2{hJyWRa^05ve_qmOlwY}hQta42(<|U5o9w7Og*Hwi2eK4%nBfsk2D=E_t?BA5# z+B?X&{ZZh{OGoU54CbWu85MVngT|I zV%nXnii~apj1LA=G8f+C(z~4!mt=fIuKHXR*j}kTCF)8vOa@(%D7j3?gXW|6qyv}R zYMTe8hqM2Br)lLEsLNXg1>5n)cn+%Z^3BZ z?I^cL5VNc0ai%8A4-J|%2aR-T8hS-Os*$rtQTJhMoolVl>v_mdC75swsD0iafF`e?BHeOZ%;Kw`XDh8${@|<& zixuG^s$#t6jA?VR!kFb4MNk7VEWC_>-@#5!vZfyi9ZkiGmEvObXL?P%>XcaOnuYFr zJg58%krOtunmiuUtPPTQcaHjw&~s|>Z~sbz zy}`cA{MFL2LZkSPu5O+b!!VGs&v|83cE_rbXsKR_5Mce&(^VAv-~KF@ZJlNS*8@FS zT?>}=`j`l{hTc}#(8f|X`vIp~eRz+vVB5u+);eG(j-xaTB@R1&FAR}tjG6D{5_!YI z#9=@&e`JkUo88gOVI#-OcYlQI$pK`lslhd{fV~h7q>w!y z6A8O7P{~cUe`srT?;<0A^&lkh zf7iN|w>y{>(E}$jG&H02h>dbtnH!M8IlAx?t)NeDB}%iT?bDU)9CJ*+7)DZy>(~x~ z&>H)iz7d1pc<%&u&!s@jo1kdVP)0e*pg33vxy%69tNhUXzvA*$l$(J>b+Uf4k}s*? zOW)x|YS;W|9jEFj4UX!DLygMjW)58A!LY9d$`=u&P>Frr2m1yhfMj4Jbr4 zOp6fdo9O?*Vjh5@_9pdHRUK4|{f3sg2{z_$3Vgts4xcT1!SoJ@=(P2;DoZS_e< zQlUt!urcLp+Jm7s(9W?h6f@%;f5%Dne!NXuKOj%@DL)30L^-Q7lA@<4<1PT){89I0 zCVuh$GH8S=>6>U67)!X(c*ciE*)pVMoa3$Gcw2)EHTGcok`(?Z+)4`31s@0-Nggth z)*ppAJRk*Whgan{K~V?5#yQ;(9|_+72f@q`UQcpW{qV= z4y>%%|GQQ_A$`4WJ?&#c8%8pybm1OaUDH%13e?d6!_NO?6lYK2oG)|Tg&f@& z38Yr4!NpbfrpN}VG?SrQ84qg0RouH1iv&O~Ar+7_BFW1)6Rw==XrWy;p!mlFkF} zFks;W_rQg>>lUf^y|l}Sr`JO^E&3&aH|>k|^pd2@13)Xl^=!Ws0)f(5crt;@vsqgq z5hmLQagWpirz$Sif8)ehL&4+zCfFm)Q|y#s&zins>_bf%9D0rtdH(xhma~l8Z~j$8 z(UJj~n(IsYvP+rrx@H{6gLyaJF0bR-(#TE}w8ucI5@X7v>Y9kI&{n%R^!JD>if%5= zK%jF@+QVZWXr;sPLcviG*Jp zV2?%?EH~)(-GP-ORVWvmSu(LnHc%x#mN2m#BPK*Pf1lhJQJmj1J{r3z(b9S)gq_sG zrgrA8DOczAhP|SxN!pC7Z}pJv8+rdtbSA^tF+MF_Huq&$i{+drwqi1`$~9evHT$h@ z*la4Y8q@NHawydvr$6m;Ik3*JQ4CS0&eB4E(73h7x~Z()h1pE>7rRdciD`3?&JJ3l zRuzhTe<+oSkXmL@yft;ad|o2pncoSs{l%SN6|&^{;qnfFK%Z=2Hn!A0PhiF8VMfBe|8Q?!zf!exBB82;&1COb*qh~mW}YI zJgPFkH*ez&rvU;okiXs+Zet%y1>nmMn`mDE-1*k7E&!d0ls=IHceLGP+uatLg@Tv% z3E-YjAK~p8JzjGfEp%%-z)(f&PCQU8Q?U0%HfWr_aN=ymxrBQ>mxUtcS9)}|de&o`mfgP(z5wIaa^i3; za^yCT5f+j9ctXYSSS?~mi*@N=7YD1jQRlVp(-VUO^T`--^hC8iWuqwIvA$HY_|ZJ) zQ2#~?NSj?z_!WGh>plpL>ZDSCOF+=;QX|m2$3D>?$1PV?`FH^K!Yz;ydGoS4e-^|9 zoJwrQu6P#7TGE!uRr3MD50>w&xy=bkkxysNcI20&C4IMkyfhAL#tv`V%TBtP@&VHI zUgscDmbPiPU(!%8FnbI7fbjbw(O`N)oz_ZAiLP>V2Vj&wfriP=FlvU-abl4Xj&PON zJ?T_j+N%Z4`IkcdWRt*!x;<+_f3I6UJIQ$P!Bk{&cztk(hYSFRwhrhDI%DqNP-y2s zsbi$sWn)nTAf`H5;yE;38n%z^iL%_C>Pt!MaDFGJyKe(ViL)640JXolU6kIjyC774 zg+V6ovQjXXMhH-j3hZR7&rc#y)CPW~be@+WC)7oHh zB<`t;rCPqq+9l@-h}>snB<7gBsXX#7hM|qt`_OOpB=0FJxK=_+A9J%YBH+O$);5X4 zb6l5>@7)9ueJ7&W=s-MM@$2r;1Dm${4?HT~6NrsEcb?e+r-E`I?uys!U^Z~yK0yN`Qj=-+j*7?1~1=mgNN$NKn7IL-{ zHB*lJ`G0{MyS%I3F_LM7H*wWB3K<0so_Bk?23rhjCS90hhXD-zBls?QT4nGNps%;D zp&-@?%osU@Y|(%Bf6dbvVdT}Ug6@M%Fs47roo*>OMh0Vt<$%6i{T^mM%%xum-Yy}o zd?l)_*B)Q&VlBd+;Tl!$Law=~lICMtZZ%Le*__8jh+q2 zDau4GX9*XFinX!77IbxkrC)Yo2Ldpbo^5NhH6LuuS-g){_Wa{ilL zqtcq$EMK08o;f8b2-F~D{vaJB))!AK3!NTW)Ygfae~X$=rCK*{iS#n9ZkjH!`HTce zSQcTv;GGI*=cl?_;N0sN%%LDK;GS9eg|zW|RI#?mwq;+5>`v{cmmY|fMccL1GH`;Qq04S$5U_x*df?~Y9H@s8C_ zd@pt4RGr;iUy|bg%_FM58Q9jPm!)62r8UZj9p5<_%6{yXt_4*#6gs<>#_zAa$=A%z zpW~azl9R1K$hJo4BE?80VWGDtFjt{m=1CJ(PuOw(eAX8>-1t+pvk zfB0VF70pwPBQqLDHEoJSBH)W)_e$0~5Af3x$-ZSHX&HKfRJ{h#uJD}e`I3PJOTd&s z>?IspwQJf$oMDD_AVWQ&SnV^!vWzW^|w&FPb(RS@h4oP!kUQm;j#qyKe8?uK?PxUs#hB$};{_gKfl}V-%gND?=~7 zXDXq1M)@J1V2{0G>4Z8kBkj5wnrkLQgJ-g0o6T0*k+jLtR?DZYtB8MN5`FV=e@D*j zn6d#PAtmdQgmyH+Ssis|AZ5D=>I{sU>myn9DIprR%Rrr0t&UKL4kvEY6S!plDs*2a zWWn+X!PQ$24s_@)RgIwUup%mU^wSB^_I0ty|;`2g#8!ge@cTU185T6W9H&{#$s>^IDe`FT2W8_53 z0H|wHj?MCy1+GQvw|`7S(q(*sYkE3s*Vkx4d#3BcRDKWJWRk$kh%9>~Mf9%EIjgj) zPTvSfsPlc-27S%J;EX`8eHgDcm7!!&V@$C@QLyL^l$PpcJQUsLA{OWlGwuX7yuxon z20^|5Rl9-pn|UxYQO;}ie>+wG#gn=7PVWgiMkFJ*pQ>GhnI3t>CJb{t`&&D7ouS@F z{HHK<7&k&ENAZSwb?y(P(zEtn7=P}xDqn=V&3_qLs?J?79K&1! z<;dG*T&jFa)X}$(E%P@I6cLJ?MPIHZzgQNr(s7HRM)~cZE1p-vf5!Y>h*NNEk78G# zh$=&pgsr0eXIww!NtTj=xm(PKBUPcMJ1AWmR2VS!YCT}DA?Fx*Mn=ubc)QdXl=nPz zrwz|JaE-cj8gyogA(k&>#Ac_oa3aPhW=b55J1--JAq~{jnu--^(|pkA6ZEg?2M;S^ zrFZcQ-f#zzA=7x@f6$0yzPTbUU8}BdgWvJ#>`4i)Hkau)Qr`b?WR9G6+OMmAOAcE` z=Nj4u5J(OlVhU=|QOYR13ZpiZ4s^^6v^7R|aaNaF-C@s^x3V2squ>fL(*jm3atZ9l zvPOOC@cC&BbJ$j)f2kc)iayut%<`N;yO*EE`Ke%S+l#5af1A4baT3aTM8OELLoCY{ z6D@EJT=tnoaGw498PTM#8hc%3kRUw;)Q@(<4~Gqh@eOSS%DYQcA@zCmKUkMjqFOro zcxJ?brMxjaY2J?^8~jn-+CCk6q7)JTDYgdOvX;RL1WgfSnv*gmr_NY-p^L`1QL!8gjW^hEeyvy^tf^J zu^$b3Z0*`Eit;`F=NVOY>T#@sq)YdPr{j#H=P#_N)z`5BAnKr&O)wm-nYd&G`>6x5 z@2rYV7SG+$^g{d{0=r>kYAETdr!iHM#taH4(4EU5-f~sVoNPR=p%ASY`_kR7V?!Oy zB8czaf5J#klZqd169xO~W42|*N`mNP%Jkm#?$h}T%XvNt5{%5@Dep2@%9Ct3ZR8Ov zKL)HWeQS~;x6W3IEIVlv2EH`6L#K8T$98c^h&#OA3di`B3i+d%C;Eyknz!XRD zlD4n{a^A2g-QRd_NPryBMxx@Y7op0H8Wt zVtnk!$@}`Qj;fmmVHv1jlvQs7>n|Z_FjoG?YshZpm_%J%t90XV5#pMTxgi8;sdh!IIb>Q|B>chjvh!z#9jBlFL=z#TSU ze;g?8EMoS+ODU?Vl*SGnsYW}{$R>?fpH?Ks%uBSv^ZKA{-XFA5f7$oQ zUE}D>hav4<1jhC_IEZyKunPM;i{8dDZ#omok<)PQQ2KW0&N0xtA1QZFV-d*`;u`8J zYoJ#YV6{bapTMPr2`=@Qe+?vUo&vi*$ihdHyc*BWQbg(AS?C{_Q9Y|@JPq=r6;%0t->eAd(VdQw>}8*3@>dsjb>RkD+KX{x+)0$$D&djsb=;K2 z**U8|Y-KtD*S7I!Wp?J|?Zi9cx=>{7YSR!w7!lbaJFxuitoqy2_5ijLIyYDEPE~qv z4hy{3%X{@}cSbg{Yu5rlU(d)~>v3(^vg3uRe+bfS{0

      QG@97+iUGpaJb=fuWTF zm8M7vP>4n}{giRwi;vtgK@POeLTY$#aF(T?7u2Hj;>G#v(ke9d#gCB13;qr8 zsePRAe83E}An7nce2FS-wugMBS%d92n`4sX-J&1+OPCTJmIKiX21sCJ*Y4W3L#6y* ze-il2NH<<3*kHRZi6ty}21g8x@S9HApJ}soP&%2a=Cb?ZNKy*R1VI)=RM#Dx6OwEb zh|3RjDI&j*4FvMBC3?UJlmA*#iMNYb9vZdK^Pntn1)XXcsAD4^=xZD&p*{VRJqwpt z07O8$zwN8tj>ic?dbh0B5h@inH*=JrVmNx+1%K)W6dTp>_HGL+&dE9LE4Y9&25Zd3 z$giES%{<+7{IgN1=rt3k2+PLwC7dhES|`fIq4Au!BbjS&B0oX$qWvC!ZEcWw=C1?bW?z44+(} z!e+aj{P$V(Na$vU59h6Y5R>NQ0w!_kh+az zfT6>I%4vc-1|i^}U7$_gn8uccW6Y_UR>4LI!+rmgE4rhI(3udkxjz9MyL) zE?%tzIP!lM|6-kH%dWq`JNQu=C1&OYGq|vpy5;L`7k1&)V)#PW6H^J}KbVSf;eSL{ zlBy_Cimz*(Fe>&YAYMhTyLlk$iUFdBbaz>FLHkI)$akaH~d;ZkZ;g1i$pcm}({=IW zwMSu?g&K+Vx{rWHq4vZC)qk8%Ad%IsAPWXw9^VoM3!jxqdg4sY#cz>HcK5OXr z1QVh&oU`Ab81H1JNaj;aEt(R8Pvqc{oXK@>AxmFqM^Sr z!GQ;~hL;KA-2lj-xX^KGqH)llks5)AWPjvaK1db$ye~KQ!LHttG{hp){JdV?AHTYzPDmT|6fc_ml z^esxtN`NttOkjW*Bo1jh_56Bc&3>s^X5Q*hMMJ9s`#~Ca6Mw76X1leewdJy2eiiKm zC)ZbLvKiYtJ+l4ilK~Scdtgdt_Ufb9>FjGRF>XX+{hh#?(37~7<)DmWucO8UWzAUcs*&P>wR>1aF#CeTVZw>K>g z43{Tkie#*a!v{z@OgSc@IRFPuUz z_iz2*9dFoZI}=ZxoeMd(Go7CB)HpI89*oSn{7NDO+rIkeJwvgS>+$({EUhZkn?~pA zlBd2L2ynJ*B3#Rc(9LShY?CQdLZ2AL9DVqCA~v0r*_jt(;S$f_ zen0gxtho~$9Z=BKK)b=nM2PyypBL7n0J^*RVt>32HRIz3rbLFy`+<;7Bg&{lAJ_o* z#Dy4G-|QE39Vi8Z(IrjhQtbQ5=T?^MT~Q&=)VRIH$|1vQ zJZd3v_JZXtJ4nT6eJPJY;M1gJBnHv!Lxnt3>E;w#_^lb5rrE$2$uogj5Da)L}0W&{fn?%@@oX-{hEU+lL>d(2)7N zBtFAv02g~9Un82_5IwbP{G_EM#aI^TF4WmaO=_4)cq-X(Cn<%ZZi$hv8g17+1sFEx z^M#D$zNJVuUyCG%=Xx#hC-{DG8 zeHkr=rI@ZwxmHd0FlK0X*5Z;Mav6HE*?Q2io(d70P6W)(o@{nNi8Q&pj0E3IzQ6jk zQMQekTmxn6Yd`fTB&Rd+mUqFLvXb!|wUByYJM(yNWN^JZx@fNmAfxq6BP)D>_kZ9K zf7+aeG?i2I#VN85#06E$tYz*F1IsW2ZDXk@vfXWdgqinbz?k9tq}bHc)QMa#MzO&o zC67Oa(F6Rv0KZ^DEj^*ZwD=w+Mt$Hd4deG$tgXI_iGL&K;h&{_?;row_SZQeA~CNO{3&!i%@peNyTA_Z z5}lu-IwV)=cG_5P=32X7Xh9D^ZW!(=_QAfQc_4m!#MEzbd_q#;lv10MT3zuGZ22o9 z01G1=`_skp#sEZ=EWO#%sDE}TvTrAv88J}td3$p#wfHyBk*l=Oo`KMaYS z>0jcQBkuv|mB8JjosLc3Ynu1@Yd#QLsJ4a`4{SCzpmx67x%Zvpr;z|ATC;~M_iS%B za2L}!FtAtn#DX2X7pu_?(`C-g{cQIiElihyXEn!L{syj8@jDs0Ie!LuP=dCE^r{1I zVN&=*FS=h8X%31#{Zg})P|?6+ujMe;W{iqusicqXQiX;0Z>eAvMWY?9`^?no`eEej z9f3s^yV207ePBt@{tyTk2vygBOYGsxoyB|Y`M?xbO$30j9LNj@cJPcBo7JKSVIF2t zL|JJgl{sB}YOUCtV1L4M?R=52de`H4DwT+!hdX3VWPV?cuSpu$;U61hZYZ@0V8n+3 zE`vp&$HAF|xFF1MX+eAD(p%e}H`|bLGA8$x~XV;@RyZPvuT_&N!Y3n1j zz&oN<2+I1)avYKfbC46d_d$^Axi+%C(xDb&BT$qdrGY!zZT*pF|6|FjGGbn~9&BO+B}?GjHkpD8!** zi{igQgSlMzC4Z>b&?7KMmQ+aDI<$jYGmRAv(fmCs4&nx0Vir*P*C8@!7dMzVfYS|( zC*HpN^~99++Qy~g=ji{%3Q9odgvW~4>;WX$D;51IH0F1K{E1@^To@>x%`HILEE|mF zz#}#7yl2}9)m4FJPsAF`Cz^o>c-mmOVhPl2=V5lEB!B3Sh;(Ur_ty8AHt(MIGOpwi z?4=+yqtC&trCBvD+fF9hLqnwQ5;OEJ})M;Br=f#3$8$ zB|WdyDRb}7x@I6+f+kOshDwd3BIu*Hi4+j(s_yXVkCw7b`dnA!2IeQz7(Z0Eff5-RWtPOz+wq~BaK z>Xxa*IcWN_Bbi&T2;_k9BD2|Sg;ozB#sgXWDS!RMiulKgB&_>t1*o-@VXBguLpWTc zkJQQ~Q2s+7U##=Ld&wY02;M&NklH1?hiACGgo_YiEq`(p;^~Bhj`pI@{G$kQ7bNUv zQEb1uE@trVz?(x4o|MLB$$-Jb5Sp3mn>=TW^9OxouoMIuMI7~4m=}<5;u%sRi?FFQv_XbOyQ43)NZAKayfl5|IN#!+KN`y5UA061QkK|_ie@B_a@Nm&EYGAg` z5^yJ@iKFIe(AZIZ!&$E!qVOB;jusJ{h<}$mt`&_1VXVTh+nkZE{3012D33HNpil^H zv0W0g?$az{f~H-zEfP6B9WfzEPbX_-^&u5)fwf!5>{D~#M{_x;yJKaNm)l65rM ze&^cp)yZ;LVGXUU5tk8{YV&f|h8HA0I{7J^R15PQn-nzL-H%yODwJ$aE_T%R$tkfq z6zN^$t*Iakcu@kiWv;K-UEL4EYt~QOzl*}4uhpSOW!Fnw*v*!3Kx7gYuXNk9d`sLB z4yRjZYo++=g7D{(ZfW-K!T1)4 zF3%0hnnfTa@Nc*sr%zpjz$)Nt$BD*qpX49|6hHmz=4AIH6p1%#;zguk2Y-E93mWDN zBJ^9~P$4r1V@lL+M?xSygQ4%IC_~npc;T50f(~bGW)y+nbd*LyE~ZZSMwTekutRn` z<)+Rz2i$*CP1$$zw3TeZKv|Bi4~+n7ijhMnuBCA(Gidu?V6=|j;w%`eOy6VQTgn8c zFE^Ht9u9Eft~A)(f`pEATHRxAc$#>SdnsI_jxyQSvXxRk!1mXkvHxLq|I9mY2y z+(iBmyY~F;$8OGcV}D&%>Z2j91PSs$0JG7!*+75`e4hbf{T@kPUd&c(Th~64W$!?z z0z~POf;@7$>3E?5l8px{5JkVXu|c#mJ7XUtV_#m;F1PGYbkW$Z$>%H1_-FCZj4jr% z#(6}_CxL@WSzct88pIsai$f9nVEHz{7nm^HkOD0c4B#Ot-GA>vIir_0B3_(NO{w7z z2^(?^%P*d2Slbg_EkzMczt$N>RMv#((i`k)t2qHu%2VUQUw^_u3~r2nAu)zg{7PqT zc<(`N5C5A++Ct$7+4s4|Rc-PaD{94af0loS$BZKtKzp}Bo+rl!AG4M#Ye(A9pw$)m z8IW}LJRiw9H-8o)!-)H`Lc!&tz$AZ`BJkTHq68{njb`kc2k%Oc%;Y`Y{dD%RUn9VW zg7sX;3k)*a-wC~*>>dC{NFE$z9=70K<=x5`3Tj(^vTxbiVnmE?I^W+mB1?kW3&7u- z#0V@fv-P-TuvppQX!l~sQ$9^O#?!7Rmzk&%Ogu}Ht$#A@Vh}gdwVuvHD>{;VDm-BY zmg@ddF%y+GH;xuMSFYb4;5Tu~8pn!z=o|iz2>jYitCT_A5e&u%Zu)Pe$v~P4IbfvE z`5kVwC$U(2L;O0+}Tj|xcr1ZQdsM`9!wfV zZM$7&NIFP01w#hAg_K}FEwzT1Z-ikg@|cfKr_B~)!$eN2oAMZ{Sx{siK~M4GggG#@c%6y2IY-CdrN?U! zEq`O;N+N~oIt`{700(pJ*0e#CCBrV00&$l#=@H9)`*Y)If`40w%}y^uzwR> z8^R{Yv{qsDYaddQ3cF=k{fq>t8Wp(5iH~C^_+Lm_Lq!GOevV>c>5?OZFrt^S7X#&e zR4Ko+r<2T0%!yF8nLux7+H>Pwkh1mngpvy#DKxg7tWu_T6|w`Go8O2$awUDHBHw0!APss<8)8+;Ho3D*?CyB;&rFvY34 z450%3Wqu6^gnZdN>MCvuW`CZFTI+ilybLPizv1JV{Wvm)bsOvZ1hH9u0ih~)g)SJt zNH3N|8v{rr&;C~!S@J_0?K-)UZe=JOmzXSMz<+e*f?nk?-atVIuXW^~=+L&@JpCQJKcy$lLS5BYQB|0#5_HeXogn?nx?IIH?< zEeB&Sz9W4st3)}~b6uSsD&OLF>01x7?_TALDepZkc$bdq^yRxPA_iqapkRvtvM%6l zk<^mSKgAd}^_@!`Qzk-jv~G4sQ!!~m7>#L;)JNcuwM#NuKYz*}f16d)j5jy!0*2D{ zzPD^1anEc~888nc1y&(sMA2|cQfcf}efek%ds6rhB9{jm6;AVVna#w*#Y3|YI79Po zCiL5fWBDm+9E}s7a9Aoro5(5(-$4g#ed|2X4}aIe_pF@I@uXF;_a;7_d%i`QMaQ`_ zY5kx_8c#cebAQ4$y#F9AXzMwxnf}?=Al5oW6ahjEN5dSNhyexIxO@XTpAnQVUA7n^ z@^*o@__B<=uv8-!&y5HAnO~!%iPlY5g%yGwoF4(r{G#Tttq1Mxz0E&MC7O!Ma0xR#l$BtBhmvqjd|)QHtW;LQ|HOwC`eM`N3P6lxwT45K}rqj_`u zob?42tN8xhNO^TK;)5wO`%27dNLez4+#! zPpyc-fYr&HTkQg}KFB$uKrSXAK^DCo4Kamp)qe|*LyTr}kZNrBqc^SJQ3D{F56utG zMSPlSJ2}jY9fcARi0XMLH^c}b;V17vqAx4me#L7!!R(zhHVA4}8Zn)+iA*bJ+sw9g z>_$@hAqSIgYKiOep=JLO0saKbr<;r0SS`t|W4dV+Yp`A9!^fYw7u#h1-GHxD59fy~ zUw^1$sv@~zRc%;?l~8LY4aHU-bgr@v0cc$)6{7zCfy;LXYc;K`#yb#JpNOc?P<=IC z-b7jQCC$yRtu)>lhQ*F;dG3(Bp!mdg?*BYJr@(^`$`G+u90LtK+8#?LryD*(*>kaa(DKkdVfuPLQKIShHYHT`kE;ODV1+YpW2oooo#$& zQ|+$HLH99)Uk%3*wB-FS%G`R74?BxY`v0uU$2y3;RS_1>=#>d?+=bR|zhuE6^?W`i zQkNhIV%QbhMgWT}zUo41iw*+JyMn}o0896TLWiV&S>ZX`Y^)UZRh=nKYTXo9qJJVx zK@-p1Y!l{7l22A-(M*|N-D$3JDzS2x(I(_yM%9WU$!kvi>4a#BJZ#9QzU&dy)>cgs z=ofI#FCIw=1uPqoN~6RZ%&OsD^vWa{J>mSg50-hg(3fY@BTX9p$)_ZExy26BOA~Vr zYk||g3M>Z_WhLWr&>RTV?v&6DI)9Bl9r}U*c&x;-yCTeob#1)<_G^jm;uY3K568 zaMA2U&Yr`-!u;<}Z?N3Osi%6JhT)Oku~K?#ICptMXHIrcyC#K|H}?zPs(;E`(9Qnn zth~O zrz7em&eP^@GR{m6P;L@d$;^TeW;>;%u(V~*`%Ln%-m7`j;}RqWXAka`m7TUUkrZQ3 z>eG9Dj6z2cUp5ynt5GIWe}B_;goBXFk^K=^Ni>03a3^5x8=iPjSZYX&S&kl#d|Za1 zC<=C5fV(j$;PK&_NUOjxMf3rGU-~^y6|V)J$b2k#)TST@yW`C2ia@62+!fD?`mC01 zjO+ATN}BbPBU-jH=44-*!A$UjD+Zu`rBDEp-vyT(FBmA=^!ZRyQGeT_Um=G%HAb); z4ksSG`lpc2VSzsqdjG2gi4`GkjkTn!NrC404EM3kW73~Pl#LVt&mxw)2`Fl4d-0Q% zAber{?Mi|>d!fgo5PHx4VnTs*v$Cc{kq&S&-I(X62{L*)uT=9n0X#rRLD@$uH3KIq zCo21C@}vg})ywO&TYp>8!bijWjc4m!$f^pV5CM+oEeVdGA1)!{aLNoyjOcmD#G|o3 zlz}V3i$^t?7*uf-DlvS9X5X@6xo$XIt_JCAXEWeTfb;M>J@VRA#eQd++B>ylnE76! zDf)1h*pYa5S*PW|JCZhZ zyviVb20D;MTvd88NoJ=MqDbUCA5J=HMIVM8V^W3L%dC>NR~}_Fw$%Sdvc*yBEJCN? zBy9N(>*aMZi+w&w@4&dZYod>FqOuP`JHDZTrF_^OeI`8b5d7X9psRp=o++b?J&fc9 zrV(!{;)1?+7k_W^S}_3kV9Gu82~qbV@R5)u4apz|KyBuhg649~JgP@>xK^N=23=&Kxaslp7JQOr8gk5cr()OL zdBmVmQ`g@(3aa%^9QY-3Bj{tH^&*ky!3_77dKSHiv$Jgq zgr>vna1rOgnG~ThV-2;vZ5H&J`)tX*1r}0Aj9AdpG>X%Ai|AHRUb1se?xNe&&V1!a zd&R}oqdm61Aw*O|t+^;r#0^sBGy+t#HGhZCfXm;_zTuihz z^^>oyRUbK|ngBI?krniWj4Gbgfz zlhEMAR48cL^+#4>NrAat>;qn^EM1}eZh!pkwBNbcxd^uJhOQ6YLk#~()e6?>Iw?6Q zE0QX*S!UfAbd@>k(|hVJ3Mp(jH`?j0=QO8dD)FAVJnn^Li0|`(zRc!AH_ak}#*?ER zMtEKHEWhQBIFm6+H~^h;|YQ9IOU&g zyaGJcAmMPwQylSp-6D(9aofs?yJkH;t% zT>-ZP9^gMh^Zb!}G_0CJxiy#xDnmD-M$Ph1gd&b zi8r$t$;DHFwLV;cbGILilg$)e<|Be%2Dj+jO@z&CpeK=N;SlqfivnX7iGK|cM8j!8 zPA*0x{59+Gu)j)CHX)c{pO12%jhjPup79F6lGHTVKl((7_TkMjx;3qi#LQ)LMOFm?ogln;O!b>>hK#6hJ8h$&o(Iyi-k1AiHQTy+H}q~2}A{?*zyKGO?gQQab_*@2G!Xx2O&(#9?C zi?B?X`;p?f&10j#QBM4LqtD>|BCe(4=+Qw-=7v{pBheQO>gi_u`6^=aj za?N^Rg2jEY*Vi0z4)BN@*yNdACu#!gDv2hx!4RFC+ADtNP7x%q(tqpF%V+JU_R50B zZy^;yNDPa|l51$q^-F(cnNX0Xp(o*-3gJrJAXUg4Asn#%Ex{x+l^^iQmNQ-bcyk#N zcUOND+I@h@oPl?Epv?y{JxX}FeQ_7Bi4Fnv#8#gPO7OSCOGX!wv1zlu1%ZB0y#)YP zrwc{NYAfQAk><%9(0>c4NHf3v#b#l|vST=(QQSp%sa8Uy1MW0 zM8^T)R&%8FhP((d>4u5?*)O)PN1;NnB5Q{TA7GCt09QB+9V4$88a2|`p3a_ZFqaT} zf*_rAyXezJ2FZSzz6`kJa$xV!EsHRnnn7I}L91h3Q4$^#vVQ}Ss<;r3KjY!xc8p^+ zfz6x*NzA)+zZ)*@cF#j4p7c)2(R+DXB&*PErcjBb(So@USgf$i(ko!Z$WT67N)p5R z3u1&O4TNl2(a4`t{^OtMR}-;ocG_!{vig22jJ!|I0NwAvp6UpC7ztcm*pKrZz;4L~ zEGa4(IV6ze;eT~BUZcwJtO)kB<&^my$SE<}z18|=+|2Z4%>xTRyE3mpL#zlCZLb9)YK7X(qHf)KJ63MYDHc`6^`HUEh zVY3QXm^){|Rtkt)Yc;N=HEjvV(apZ(U#`d@p|~(3JG`w!y9~|t(!?;nT=X;)=`<#| zNYQ8Z@Hcu6G6_nEHlN6jDQ@uc8RYU7Mwsscb_iV$?i4V-lp;;g!*~_q6sm4(+#`1U zLnH(6p+g^eRG4LoNPUjc`9giiCa$;VBDKK3H@WHazp#r}P~t{T{i!pFEaXnk;%0e3 z({SOR~hA3CQ zeW^J*RyjfI+CSnx>I91p-U11dU_JRB+0Mv`CP%@FCo%qzg-A@lKuSj8!JpRp_3MAU z%XX`6TsV$ipz7-wUKMpHk>6F@xFaqOas2sD1%KW}y%W{y$1(gINzTP9-*3*mpxYg$ zc%vpKI1Ko>-7)N`3<6abT4AYE*HlpN-x{V%ZDVp?p2R9RfQ-1LGM65z#jE&nAR6}3 zm3u8)n6W_D79QG|DX2U&z*MN(ft!DX=@O>V>Xrk!dqm`&;Kq2dtymQYEVh5`F+Q; zJG*n^Dv-3+M{9=<=Tu#pU&#DrwEL4Tl2+iHK$qZRbnajB;Eje+0Ry}UMxKojYPR_Z zoSZU^9&=~rMQ?-AM+g?Nj#e=?a2cp?oeo6lI&e77+$0kM`+x7mZ>s)#gKkvUI5sj*rcFdla7Ce(ms^WFF*5} zC}F!xJzxy4kn;(FNp7ebwq_05a|GOY*p_sdqk=N6e|aU#WJk~sEzwWKg)3!>OjAgG!?Kir!Ua zrE&K{0whQ#{^GiHa-Z}nx74*}#+>QE>hun-h)oqU86V}fX zgE@RbtDM1{dqjUGOVhR%Pl9$E=bFMSS)*>e+1X3O9DLta*J?MBkxcx4we@t{psBl$ z^&czIc5j~pdk2V%nT!?sP+7h4Q|qnq`ZVzQA9t>~5#>vwkZ%WxW?}Qk5F>u|SwmHv zENhFBjkts(T@mm>Ye^yh{6ZcP31J4K`1tK-`BJ+BQQLpPn*|asi6PF?4tKGC`YvFH}J5Uo^OaY1jxJ+5l6VtV^e7u1^!4%@$=~DbpR0w09X5JK!Ez z(&pG|>Ps3zY$a`<=x_VV0>0WB={evgxm;a6EgEU54pfCxUXLH9xrSh5F7=g|pU_y{ z2LAAwaSMNo6G=>h$d}t#UqV`%=ZlJTNXJAOsA&KOGkSQ`*0y%W~okX7p`jBfKoWm|QKe*kGco?KFv4eY9Nr#Os^sd>7Z z!hwHCdx6d*JgC_xgSIP_nv9S5NV>g-7vM~`O<74z=8KI!n#xu`~Zxk;bm#!Q@0Q((zOQc(;OY#?d9tG2;k4=H5B_eU*j%d$%Nu*xm> z7zQe0Mm4bH3jLfad7;fBw6#5+F#$%k<2-^dS7NER3iU{u&xui46<07Cdk2R45V zDE?}af3r5i0qrbFeyEoHO&Tj;m!XbkRji9|%*rHtv#2pj6abs^*!AAbs5-qGMd7kL zLKaNGQswD-T&+A<9A44TCPhudXVQNgT9#brkZ1MDB&lVZ!|ed*OQ_vrTFWDs^fftj zlKJQThhNXC^@M#gPP*{n%Lk>&95a7hNBZ=Z{kCv>ITQdNepwrIdKv=@yzEmc0QE&0 zunzd*8Ui`qMusnb_+&7nmh{l+izr9KU)_N}+P)JVrSh1?YXEt8c8)6T&EsW;8O5=L0 z=TDxz?hA9(A%=k_UN{z^;j@2<*E9i_m%=OEYnkmpN>)BUo5Dr5$bLf%@Eurzn9A(7 z+IU4fhfUUKJU&=t4&EdV#xBwDS;n0bxCvr}ghKeIlCNrF&Yg?Sgzln{HTfFuZ2x+H z2thtNnb{KtCL8H{cF!fIrz8OuQ?Z*i%5lt?>q%tzGmo-!%Ih_t{I`Eoss`ZXPMD<* z;;JWh79lCqmW~8kU`%k5FeRE!uHK*#sS%%CoB;?hym=vRssyz$5)&iT9kguoEij#I ztZpK(Cxc^QQ}mL}Fn&&otd9~9XEw!(LHPS2yiZQp{vm3*;@t=@>W)HJRR+WpmHNt_ z$Hpm0k%|Wj((QcrS=?FPuLy{sT1mNSf?+p)q3=>qILGAh7O210jUBp3G_`5gt)| zp;zjOyoa9~XQ_X+te$fOwr{Bu!PTE8Rp2`!XpejaU(ds<^%XL&X7v)*Y-uozXo63n zop5DkQ`EWTNw840E7^Ytu&wMGiL{hxBX6<}Q%2^fUvmPmWBf)KJZxGoikOVF*%}=G z?w8DJfJ|en^L>DEsqXZ(GpMTAr*#EtX|tz^@9DpxIy8Tx;t2+){3yrxJMlb!D(-C7&aiud*?QpJ7034s zIqK4V5PN@-RfjpXjy(zbYcf>71MIMon-$N$nS{PH?m8)17!iY@FxwqBQC1_n*k)eA zdaFhLhgEtR1G_nkQIU37UJP%3jJ7|ePSBZkrUFXXmy<9z1_Y|X#XJX25BnzTo777I6s8W{*NVZvoF?h8q~Hv9r_PW40Rmnr}|V$U5yLuLwbc!ugkZPUNV8>I75<`*-=vh zu3EMfuqv+4R~yGD3gy=bLB}b#FgikwR1Pi-EtR^#8x0^zi?f4GQ~bpzQHL>1@R-eg zr(<3mpXZ)(l4EfOQ8`|Zb%oo@CKu|+cJF`SKs2AMj63ZB+;Ke4T0PdNBuP&O;kWEg zXQ-XfK(5-$&|kxanqPeK50ln*i#9;n41QS{;s%Bsq0iOBs?E5*{q7K#m_<(c82Nhg z8xvzH=nJfnu8I18&9W^4!N$w@Sb@JA$dydON78T%lbamvGYTv}$GvBcK&qG5bU%M- zUdAUjV1KAQk(TU@>TWb*Z!;H%-6$PK#lP(C@VatonIku_ljed#7o{#qH5hcqW41e{+fwz9ysx3%mvGkUd z6Uz@7j_{A|D=d)gihUxR-Y^`*(c z2tlSr{La~{w?TY$mY`GjpAF;QS2olt+(nOruH=7U+pq8zHX?^%3HRegkl9_Zn|rdN zpTeuS^JBw3q38dnfups@%c_csHQdepR?M0q0}S})J5pPOqyCH>OXB8s`)h-LNI0cV zr-Bftd3Lmj&@B1<&CbK2Zu)-%Et@vk^KZbZCE>trQ-fvrP;E6T^4&Ern|@WNN`uWI zI{vMI8ajEs8!@dySTVdu3-2nocLf$>4pk%_ph!L$>Msjs%kzSFh-6*V)gpr*-e=>9i&b^Vt@hu(tF1Z~dj(L{6h2FLrhHso;yJeN2$b zTFCc9I-*qUqT40OYO^Kfj9xvY7DV=|RN-Nt{Dpm9LBZd2{r)aFw2Q6XLtN+lYX8BJ zUAWZ1Ja3GrDnWyj7@qzP`!j$OWlmJQ5Xk-5tYo9myCP1ON#1``^zS(cVBTS3X~-L8 zFdk{LD1pL5;&7b_s0U~$3i7I6eks=DI9b*gU2I)O0oz`mW(P$vBO*D~y9|Y74^hWm z*iYo)*Wc@&ay1lxmTw=S`9A*Y@sZl1mux`8BN5aPDXw8rHR|anN_F!{us0>p+v;TW z#YziC3d5FTi6(#GOn+bPl=`Filvd_K<;#Ht*eUB!%SI`-d+qaAu`lL1oyU!_Q)7^d z)?S%If5pjTw4KIg8DhtPK#*nH-z34E?4{*xF4wVd^7d0m@;+HV8?LX+yHRb@OSJ$h zgVd1~hGPkdv~(r0JI#~Dx|S{_=NZNWZ5$|pcU&ReE+Kz1B(HoGFMt6)YPe-U=(>(Z z#cHw>^(j?Vm_!SGKD(9MQOIxQO@h_&U>V4k$HFB!9&Ph_kl3BA3BYP^L;?O$`Z>y! zEY5mWNT2FDl}?V86GRIC6#)0)H_>MlITjWJBSsV`DQatt38P)C!yECFto1f{ZJS(f z&SrgCrGbA1!I}UGPT+cC{R*A#5jZ_!fJ*VviKT~2#J}}cRjegn%+#U6;X`O9sx#}X z{HE_MVyLh-LjO_ENv#8OwQEXj@(3VWdGF_Wp&o6|Jv}c5F@YARZ!fgwZ&Zx?7jFwj z!Wmc8wq}z^HE3H%igVZRko#FevQvakVuQUHMbdvlBjoP1nhS&f@$^TrHH)Dx?Ixer zDNA0G-`=nj*&%xB52el1eQT@5OWJ#swX>$kZ?AP6f{*ZkDxD zIjcJ}VfHIt`te)ENy|LK{egTrzM>AH6Ddjr{&*7`Kl-R}(^L}cU&Uh{mrFa`v)Y^M zNY8(XaR}<~z=~c`nDSkgsB`VT#)9PKYl0dTYED3H&SQ|%SnO4o;=6tpD}Bz=pnMjU z0>y0x^jgt8F`Z^#LPzM`rYP@J?y!BOy*HO%H-#@IboLj9QIXVrax61u$v}Cr=)Oz- zr9SyJ+8Yc)VHQd-6m-G5h^8AlA0d8o1;c-p5cV5xTtU;U^V<*!mDkF2k&X7?i%lG( zJXhG*!z>??>d=5!j;#VOK4hQqlD7V&HoWwDQ&f8OMEF0KHb{sge3ms&3a|Oc$Ipe! zk&fmSH27cTbSuCd)~t5g3XTA90eJZ4M&?9HvNZVLt*ur^`h#L<+YV8$y&p%UPkPcO0^3idknAYAr$p1B~jd@RDZDTZdzP@!;gN%LBFfQ+3%Vl;mXXNs@a z1ZBJu7W0^X+L`q80;0e_-p(<$_x3R`9?JM94LPOp<2Rr*v{ExA2{*|YP~K|nSoFvh z`ba;T=gwveMqH4@Uxo|UDU8NpV_$4&3vrHMqjm3x?B+dyYtBO=pUG?@>eb&B{lmdT zCA2fyJ9cIpSS%C9@>H*@*MWaig>^apZRe}auun-oeGijR#Z1q2HFLJp$2y&4`fe%( zj`~vA$qX!BjL}E6D9UQ*>WaN1%SWzcUlD;;-PNT&|ii zdu46UAeiBwI!d5gO?>xQ{PcAeUpPVi#RlnXABQ`Rw%eJWL1Bn5@7Sr^@|^$Sz}k<#-l6%80DcskV!GvOG zfi+Zsc6FmlAR0ef{`dK_nKO&#l}#Whl>vRfe{SC8RkMjzsG|wG_RuJzGVQtc(6^hO ztvXpYV?KzU2A#w}jW}b?htjdp_)>d$-H6CTOR5e$3_*!U*Whiw#1XOui}YHZnNB|4 zT9=&MjK$TueOP}SfH|wvvP$+}d>Ze-b3#6Me&WSja+Y4Rycv2hzVmspkvL$a3_gR~ zPa!UwLwmP;GEOyY;nDLUes2L^?uavSFn%|^Ty{Yk3S6e(s%KPg;q<)@sc^3!I5$Pw zj|NF_{J(k#uH#z-)FQ(!E+aC~vJihZ>ufm8)goUoLw0|=9G`hvvb?O;Zq_x@;eVdn zX`1dG&q3~T6>ct5V7h;k_W#B&F>wrbaqyx)nRwIw%HrpXvbz4A#HU6;I?V?Gu<@a9 z58NN-sd-==)eqMB{&IF9_q$G(nY}DnPdMRlS$bc}TW2ZBXM`sN!XMF^+z!~4<^mF1 z^z*0LcW-~eV?1)zAPQpS{r}oI$xG1+l5NR`++TfjpM)NP{Wz1H%yP2xc6bsLXWPB7 z$C6G~KAAhz+l>&pcNJe+TYOz28C5mzkm8`N5-}bbxW?npH?2BRl_Ym`sAa zlO<#}Bfwgk_U+M}=k~k?yDAoT8#WgG>G~Ajd7ppk_qWERP|7=kC0^*1!k5Yx0$7`)g~e>$euFJmhc5sKUr=gd_3_E{rsTQDt&QYyNNjw z?zp@$CCP22S7INwbuyvtGaxGf(E&+IKLz`<+9)P}X01fZAxRoA(O@XUL4?Z_1i&Nx z0x)>7wvnk4#6jgCqu->5i7aJ1^eQr*{7-Eq0z+3I=sW)1JiTXJuI+&uD?zQu?mQPB zlqaFIEu{2TyMFI9YE}*)<5hQUZ_J{|w@@>pO7e3BtO8IE4d2f$+D8c)|30E?7+xr= zZWL61G~tqsvu~;FW{4Kd@FN8vPqh(MR@GWJ0U0)PJaynzQK0U%`cYY9qeK7b$#^w9FjXI`KaG&|U||SZN;hbHI-|7}i||5UEU*lgqu2$D}H~nfsI! z@?CY7XNAd@*@DRt+ap3}u)E{Rv_kIA)fwM^Afg*Zcm0Oi3jvSulHf;Bg?LmRIp#*n zK?7lmuM=F~Y+PV9Ec86Lw^bE_`h08EKP?hS6P3f~85EohX>^KNvg#j=mh?+(>`S%G z?%!$c)^Ow4tY|k{nT!#_g6%V8YYh`o%PqYVZaU38-Y;-!XSukiTQ`px&D8Csnw9o{ zEd6Seh7^@L8t{FvN)_;~Eg8NN^D_7cTX(V|>Jx(f$_;i0j8L(~KFA_kG2{lEiM5)k zC9&a-%P<8Zy6SavR;+KtB&bb+=`4aE@nD?s@h5?B49myOb|BLpRHKl_gP_Dcs& zbND)UGVV1bw<9rjpM_Ii+~}J5PWX?1Al_J|Fwqpdu#&#jh(}QqGsK5CRK;!@;^LZ3 za0(~Lpgv!vUA|$g87b9RPXH+ie4@5li4bl$!i=7gn}d@Q>8!K?;LVZ!C}GR%U=A$K zTyP3_!v=&6rW8Wkx~)@w=t)H;VI8oFXdQYmDmZHtmx@#NH=9~Pthf`;m{YKSn=AN- z#XU4fuyO2`d7$(A)$Q?KXFlK`RcKjH?fxck09Zu{;rBh_O{75Hd=^}(U+#etx4qfc z4fUMK#JeSt`HgUeh{Cm#KJ zJ1|+E=k^C2T80co5}88aSZxP?%g(&7YH7Q-b|Xj&drnJJnDh<=*`HX zo8rrmi#o4q9se3phZvp>vLMQ_^jc5>15Qo+uMtZ=RT=(PvK71DBi`0Wbs^>FMD#N4 zBLCl9-|i9;u1>;fkgYCB)bN;=XY$E94ZKK@*0kTvt;!4p5s|1%wqF!~FQSd&#p)Gd zokM;!{x{L#QQLbN2rV6iTVFe!{9(Z6Nb+_{vP1w~D35+mld`m~Y>T*E9ck+Qe@);@ zrWJV?YVIc;);6ZS=)gs0fOl8Y=BB^HrVb zNPHWgMUu(8gXpAS!J$=uTNU$v6yrtArE`z=S>XDNNMYZA9FvT__N+Db3<{Yp`UhIyQTC3%#+ z3HEDBwhYQ7VKSs$MZbha`;y%PBY7CLbxI>?FF5h{3vC~+Dx%SUFl=)9QRUA_Awx}= zQIstZ1XQ4-k*+9gB|xE2^e!^1=^6gqW3=)=UP*7l4ocBOxzi%~n&;J5<|NtmJSn7z z5ApB9y~7$J?TjFQo&NcrC%D7}!MFvWRR8>w593WqO{rsE(+5t~7&N7es5%du(mxvc zmrTOq?+^}9a^j5jcGc>6mQS|H!n$eyDy>0D8j-41x9^1YTb{ln*XBKWX1_BAd&r|O zdFtLP*#zkiEa5MBgOo&^x2?k9-5bP4+M8D2glrAQ*QUaEw1My=Sa z_b(JQ$jAq`;>W$t)5iM3?}?ROisu8gF$#vYWgoG!-Ig`me`FTM1r2&t`mAHLhqcLG z>6c|8(eB588tB@8Dc`T2;WX>}bZP#07yNmC`n(viX?X)9K;QvOJ6eOyak#yl!BED$ zB;Zh=FDG%`4K4oyaKMXtDAz|?$=Yv+sWpkP)8-P*dOg>f7D^6FkFOQ98}kk?(d8AT zfnE-jGs+>m7tQ58vIGw7D09z!SA2Fjm78?%7+I& zWLiaO)lnY3GqL-#^5HP+q@441*e*;vUWdQ?2ZFf9ZfJOaBlcXB6BTmOJhr`~&{(FL zBy!^9R6b`3)j83AyjYfmEsn0$uG{u=AX58+ffr;mhE#k)-?enBoOE%bp(`q@!#3@6 z1ndug_0!RxZQEpJ96U~KB$@tt8LK7|Et&P|{)u@i-BoOG^!`Ta zFaiQLjiFSC_NrE~p(EG5(p8Xg;R*oQt)lO`l4In?D&kbKyEA3HT0hkTk*g;s@fT0$ z%!oX)vHSZF(87O5Dm&cerYi-R-A@9#s=6_MPmi`dk%H0H&LGQ`=U)2=E~sdL#O>Og zustxV4yzi8?Cl;7y(@is<3;)!WQi8x_tyDcxtPedfjwr9OU-e3{q3WU{iTEd&)DTo zB0ikyx=Wep7Es-`+mR5y+A3@4b#VeiEp|MG<9cijwWlj)5qM;eZBoqCbnOH z1(@$xt$F{?MRfU2B}~Fx(BW|il3lOKQ&JIRUbi6;kZ)|i27EFaesMt17gB0oOZ2m= z_;3Q#rzA#zn=IPqsG}LC6GCrYLODJSI@O(riMAKRfkDvf$ADA^hRp=YIuM0<TCLMWC);Cb3R} zcy3PtEvd<}p)WxKH_<-q>3Klx>*nnv#XIFpZZ@y+dW>-1DrbL3})4 z0egwXo;)#6VS(Zr_eE7(Md~3Zg(j!s747=b?i~7|UY~?=SZ)aAw9L$-Lvyr$uBR2M zW%|F|Nq`p6&OK^;ZixAZpm2_RbOVl_h(My`Z9h!?F=TXU{ufScs?6&ag@2?61v!oB3E_V z`wi4`1A`_+kuXde6viYk<77sE40YRY z-c+pD?Ub0@Qh~y2jg;~7GYBFK7ba;V8cZ0w#-ZJ`y`nw#%e8E59y|Ge8k2A$5>xuY zT%n}FwiTr@<=A%YE6?Za4AD6G~FFZcUh#)ux0VoI5fwzvCY(188z}~4R)?*`SuuJnoWfrD=x@C}SnVdLTIJIJ!60dc7*vH5_OPi#FQV0(k42gRO z`^1TO6f&+;(bt82E>hMIgz_-wgM0O)WbnNbB|o!kRIZR6$&B@Xd0~DeY7~eCI;(_t zzOUhOw{ln+3_`YqS?nkm=p;nScsK9QoC1xK1!}!PbgR|qA^G>M`+bZypiC-Kn(pF@ z+!IOLLKyc}D8FM^2iRo@#bFLfrm2qNh}aq(|El@ra2P81{N4nCT5p1o5%oBmU$cSr z0#bXq!%@z2Cux*_|875oJz70G>AUkAmly@d4(7SJ@^=kDdFl6;599-%VRm&BQ#$&2 zjWlH+&S>g-qS6lmC}%w$DDu(ZR?0gA9?Yv9bRcS>uSb)j0pwCBBBX@9-3zuo>XrZv z^z(Tumyn|6bW`epi1ybn(!=bp^8vtCHekVr?Ug$N5ENX0WSkse$l1J;Hi1PK;U??w z=?1MFyrr!R+%`N;+Ek`@nvt#tOe{hV19>tVw76JCcOuY{^TTUE68j=XRGOaH)S32s zt(bu*CFx|Fi&BsNsh$mGTO`=I?Va^XCbg=xtvz_LC9_fK&}ACZRu$^ECI}R_fo?Dh zE!I(Vpz;}ixs^y*0;JwGR04(fIbsIls`+ z&pLMH^&Uv=B!xVFSUs$e4C?g9{#DZTtRD0=% zdG3i?lCdE-I&hVf&_X2)!Vs5{7!nkJF=S&fmQR$3Yo!0B(WL7lC(G{y4_UH1GGSfg z3yv^%a#_XJ`*S_GWIu4kxdP_MeYocwK-MHUWEMaapBpN1 zPhoxhTT}J*7k0M`cJ{4Oh3Oe`wgxJx0K6=8^_kv5jrwW%3K56I{Xn5}4i|HOb<)g4 zNjHm?`xnp8Xc~ZJN^d!nJ5DIT%R@tfNmJ%P32k&Z4DVeC4-b36N$LIE>ub@#n3Rmq zx-MOUiQ-mjR{x1^A0o;Ya~|VtM038?>|{+V(3cZK%JUpy@C3}=kAQMiZV?sS4>O>R z^YU4?D*~v(HS|fC_w?<42o7L>mWb8M-zdf(8rB+tZEX2y3KqsaOS1ZYzi5lV9UUt4 zQxOJqpBy7T(L9 zyPF?7mjwmqAuCR_W>&t>0JMwT8iK|MFL&u!Q_pzD#cybmFIEU@I z1_wizlfeQHUiQ$Afg#^wv8c9DcQ(icL9E(>4gr5@=K`d4=knaa$F1=W?R~G%?X3|W zxEE}8n)pbktdLeYqfGLDpgZaEQ#uJk>RMj~DT>#jyMIsA>Ri(^&XemxtoMuJ9AxT2=>bKXYV?H$ zFxW}%2~E;|QLD(ru-BYcaFMB#C%%Y4hgCCmDn4K67%bp*tU7(vyYzajzDoQKSnp1+WdNol` zENqxeuny7SN1I81im>=le`|jZ-Cl}3^`Cdidm{H9*}zg(8(Bd3u`@B#)S|!Wk)zdI z=-V;FGbe;iUjDo7ZPoH1fm0aW8|ufSJ8V=rj-lIp)0WwNLtsI@Pvp2UuMdQn>blSL zx|zqDFvb<7csF6quvrSX3Trb8hP$3)KwiDAL7dBC_SQ9jpHd{nHt-Wjt{9BQ^p}6Y z@gU}LXC(lAxb&8s8XN`L0TK+$8u44kj2x4k9({k@Fj!b$VfhR25IG^X>s-bQ40%K3t>;SsxpmydZJrE>2BFoS5O) z7{C4^J(p&gutk_AiC4HXweszY1A$eu8k6YqFZZ@8S%XRVCa700mJ5<9cj7VHg0h!( zey`qt&0DhrC7$`JDlrV;Qk z8A@@KGIRyQtv_|ArHCv`HF|uKz?oVt z9}0^SVq$h5b?mscBE8>PAkm338%#&-fx3FpTvjTO?{{b^x=WSUHvn|i$?`{H!kRz2 zuv^W+gn`fkamhL08L678m@QddIg{mo@EVF6C8_YN+vB5W-R#o$Sa+KHxvLzvcP91_ zH~3(&gQZUkRZb{~f3zwF($L;F2PAcZjq&e-bgsiMC=gLy#~uQVojjxTfldPo5OY>- zoCNu_$_39FI%qP_YAH;)C)!f&FLdIisneuASo1Sj2AmkKy!yY}S{nyCA<46Up_@?+ zMQPj*8f{OB%)V@R=ccosj^%a-GH)i*VUV{(qol>Aii&0%K3;6H2d;DP4Fy9YpOBt=!4(EiBwI0QCnYriovB$6y^8jVF0+sdzUHvh41)>>DH1We_k9n z08w)oYy=bx#K_EnCGmcnw`my3$L(9_;2mb;&kot~oLsy4P8u-zfsB*}gqXk9Q<59r zo2#6ojF$`01_qu@QIhazBE4PHP`O{~y*+;Voh+5PsCCV4AOVBM;A^9QoLRY)Gf#jY z5l*U_BE-)36+#){FU+sxO;H#wJ~Q^5oYETG6jt&=OC>1v1jnjFSx}o6h`+D8J*lPm zUQh8ZiUUl|W4l*k@>mDr2+pY2#d#JFi{;*Htl~fE@g*Uv>`75LKUh0_K!B%&NPadr zF08Bn70!!L_Ind9v@5ND9aW_V@4DJ>871pEO``QQ9RezY`(db&)~0>FOfoU=-5~}H ztZZo8{Z?5i>+5Z+ zCcz^PW^t0LG%@)DNLl6Fb2Wv;C)ZGh6f(E4j#P{=0zO&gXWLHJ0ucsD37Ni&g}Dv-E?Ubl(*G*?df506W35+euS zM9T{_K}H<(!#9F|OAd5*{r?Or0;kuj0gXHID%52U-GrG6=tQGq|Ic-w{0_9dS#hic z`>7|n6odnGu@#5LTwX0$EywdbjQVsuOzRKt&z=8J(YGd0>PWIpNrt|fpUM9&M8?ua zAk}2dAW@J7PSEfyJ1?7k9S7Zr;;9;#WMbPw?(WC7QUbfnJGDldeN zbVV%skUzRCgHO7^#zQ1vTvv#8tg%kaY>{kKoWuu^S9D{hE=%4%m0^E$vTHzlqoBf2 z(=psZ;6R@3Xs0THS7>Z zd0J`2rLJ8_eeN8*$dodPv1kCDaGvO;r1=^-qdqwiIs8)P`YmsdoCqV1FGcU=dYPyxGT!dRQ_I`{`MCJV*-DF z<7<<@tZRJT2q9f zhR?bXDZEw(z-@jSMep>LfZ1}nJKpn~m}<Cblys{$>)H+hgNVSj&ANpXs{fwQV1!}bM6&@*T@hQ_29eQ_wRvVm)~0> ze$!NuSk7ZSzHJr=mlZ0*_Y0SQe`x$E9!#<>BDtKmE zm*ZPLs3Ql?W`|(5%6(~9_sN`;9D-EuC2pok4Me{$unDt44P;gKRMq+ICEgQtWmvT< zhNh!NvIk!7b{-HD@*a}$e@Bt$)F3ly@?HG0D}%U`bAh_}fA2@dh%jt_t`3DxW!Zn) z8Hisr>FIA{4sp8#>>JpVh?!-x^E;d@yb3>iK%7`9UUY>3t+uOxRjsDeA|I5V9$e{5 z>x4VnxjLLSmMv`2isB#g4%B+oe9;Tf(2X|q*)v``kHE*Fe&T5t7I18}tt=la9i9sG zLnbn%w;aXbr}#8h#s3I@)%O-d{pdblmTT#miZA1rRUPhUr1gqFbn0;v;9lW-=T-D=IdM;4KyU6S&%dpJ^P2%78m z$eD-WOukDOBL38wir$+xL4og|(Lt>%OqQfOo&Hz(EAe6o5L)zquPFZ4uePjsh8Xu^ zN4&&y^bOHR*GwZ3CJAAWn~I#$+I$~L3kd&LGmrBa$LJvF?w2AWgRz}^O1!{fJVw4Q zcNSlLH1jgyAJ(Ig?}ncf&gPMA2FucaPOhy*8!~3JZ+j# z8Psgk@BiV@B7fpgK&Nor!1iCKx5V>`EvYULD6NYL*>hokVpc1e2}?CNP;K?u=^N&& z)zT55*B+8~5O!G~s)I&CC2u50ITN11g`d(I;j^H;O$Ya6iT7U^b)KIsRhf+h#W7iX zsO@YnI*ya9Tu%Nmt&2I6q?blV4dz*KLgxsk zk#hF8gUW_~x1Qt!F#9@r?V9vl;Z4EbUvONsc6=^Vc_E2z3`S;YnJ=N`8S@o@dCWD@WzfE>Jb+0)xq(mX$%l=14 zw$b*gaFnopJ3Yx(f?^~SWS584CGx$B;z+om=Ay*>02(C+uxWG%S(jm4lQZHj*4MMM zz+OD%2mi80x-@BJaWp;WpVe0BekIF=$XY(l=l+yi*S*$I+%9l#LLMJtoLCjg4mD~a zIg1{DXKEn4s^7~}4Jw3~#!+xRY0dela?`T;7qr_Lyd}gpOP2Z6PRnv-0SF1!xiPn6XN~65kWD146~fcjW@`OFa%t z-_rEAraEr%B4ao<(_DTfP;Q4*;eaq6yeE^6OPv6Wb8JoWcIxQlsr}1*6v~?uY{hDl zX0~sqLXIYfjLKkpd0HEoV*p?Z%F=K|=wqL z?4R#1Fa*0D7PUaGJ-GDK5H}Fn+;nPD=j8;eAEaZ4firw2!_MzVY`HCOAC2I}u=VmY zbAQ9tnS3aXmqI*&BU#8?5nn93#Dev*>ygCKB%lPxUFvKF=uwJy^FOX zeLmnlKgGCKz% z#PbsY6G9)E8^!(P_z3`i+y}cQMT-m?H(mRgZ8SJP7a^9t6DsiZ=hos3>u8k~GOETV zAbUU+k{Nz?lKteImhF!-Y87@9cBG$Lh;)uf?>70y5k{rp51{Z4(W=xhqYTvrQP~rp zC6o4vp59qSHfW1FFjI{n*^1Cw3KRx)19jpBHW(-3twv=dDVxxLW=j)DAc*Vf-yFX) zuOi8La^EdHLjIg0A&!!uq*87KpQb@i;dj}y1*G#{f*@n+7B-02vXR+U+g_Lv__!`) zSyViZkxF~ZNI}#N6IeVz&1ICm@|ay6r+g&Jr39U=A~_hDzgMRmP(Vw1io>dU9Xnh* zY*H(u5=9t21{jlnHFSt^l~Mfv%q1U*#l8~|$+nkPOkth{E^u`XlU_8}WR zucA!0>nOf_+Pq8ygV&?- zh;pd;#&Tl5B4Vvdmv)W)O5@9R6Bt|Pvk2s^ndeq;(4pX1u$%`tN(3rF&}o?l58cO@GJSQJ-4@XzxeN;b)&;BPF0 zbHvlwpDK@_@J=$#H+`5DvrG-6_aBN+sP_fgSU|DP7wOYUnK{l6hlb0W?C_SU7K)rX zT`=HbW@Q+EE9|Wg3py`O18aABtwBWHgzLfZ@;8!;{bk6#T&E~+$o-O5v25l9vkUuX z=hk8s9xlFO1!+^XzR3b*Hfo!QBu>>(qr~ko>}%P6c9n2;Fgp$AHoKrgf=KHEqjwoD zvR6|>xtHh65>z&_j}lnS>e)RNu3np2-;@@%(4sTLZSDer#`KI8LSCX7&0uP4 zL(sjSVqBjxRXQ4jH%rH$!#`9=#GcsJu`Q8k-$;s-{I~_T6`1Pk>+mI+dV>bA@1VcGjS3oekg$YD|JRVl|wn111HoTR}mJsmTbK&4y-zqQA^ZCEl6g(09b$HUW`z(+^JNguW z9%z)_+WYX^KA)mg8{;m$zXi?@JrXgyq&VusNf;3h;#cHgy$2;ex$le|8s$OAaM}HT zjkZx%7ACo|AxBSL5c^#*oW!45KcU7lVKWHiFAiahWj?Xn788d@&h`UsvL+1x zl(cmKKXEa|RKuI*1oG{bN~Tp-$6335ZvFc4FEQ)Xt(9yKBC*jX!G>1w^v2K6tJ`R+ zfcV289l2`G*H+O6Zd}+iJ~n6M6o_qq!pmy6uWiPIT8$c|M~3P~8E$^y|J(~zbTD8V z?PfSVDe<9FO82VZdsbaPv@;@How=6nd;SG|7c!ORn;Rr8T~p>fUX91HhbFN^z&wir z3(*;KyQi#WnHG=aUK|xqD)4NSzcSrL_#M>=0kGhyP-lAm1C<;EdwR5o`5O;^^Q+$% z+_YUV7IzQ$!!=uGjSfKRF84zHJcZQCJJ|;NBY3tkb~? zD7H-#%Dr>Uu?GvU&ntYfZmw;tCnoH8Qe$21=r+ekedoXb;RLu#(OmTZw zb^g7VCQu6>io*sp*;SR)4+OCFY&LG+57|Qgu8!`rg)~7Oi5edpe4~sZfxZf^y{RmJlUmO*qRIxP*!$$Q z{UiUT7WVg2d~^+7S4qZ{%XZdxBP-r$o24XC_wZ4@p%PlH7lR#nBV%?=uk+m8G)W+l z$N;pdmOdt%l0|9!C`uDxY4}8q7Ta7}bK;ng$;R5PO`vlWbtd08#eh6pCKuIX+V#_J z5`uY@-+=uG>sI?(mS1RRFs;a+rM-Cpf<4ZC@K> zw9@|3C4+)`8PkIgp3XA8<#k36)8*Zb7}+{&lk(cV(NsD;V7c%2E;qV~Nh=uQSVWF) z0R6_-<_|kFN?!dtpes2FkhEgsy@WwT#ZDkAFrwm7;)j-hA-XI}r_G+mNLIx)rJk{U&@NzHX4(e1z|$q>!^BDbanS{kk8R%x*-#D_K-`k?$ z$Fd;(0243lgiORYl4a0di4WbBi4eC@t-WBs$2eEDBzw#8?>Z2|AoXkBh@Hl7LQ>Wm zu6yd|PAnmYfENcN5%|d{;bx%mgrN#tA%m6jwA>?wBCs%Pe{M3gR4}Wbd-*EO#iI)xN<7vP%|$>-C-e`sIx%2G!(HsWx)&edzgGv{o$ z1o(gU8btn%Wvs(fgl-{Z;B~^w8iOP%i_7Ez_SbL0k0&;?2r+tlQ;(T$>s|uTTHkQ7 z5N(`aF=7;_^MD9EJGG{2e2GK^v^Zzyq}n~b#HsRlCo-(C;^^(y{dpCmLg&utm=X#$ zvfu_Re+(}wKp*|mzsvIL@b#r)?xVe0BD0ZXHmo$#!Trmej0ZPF!@B{E& z%r}R=#*C@X%i|{ULe0@PO+97;6PBZ~coh(xufH?p;B{6BciA+EBX5SCmDkm_ck#FV z0%B+NngeKaMtfVbVtWbk;bLxjXK5~PU$9dtf5Jf8gCU4rHQrzdTuRfb@uclWFe4gk z1UPBbzrXoYJr8&E)99$mDXJ~~t=yD6x6-z`bi6*?FHgq_b-)nQEC{?Wac8ZDRr~I8 zrNY+e=D~L^J9>1ZYxV{;%E8)X$BOLTwCx+f@7F^-rgi|`DJldPT;6c>hywA=LwRDs zf1L`g?88zyw7=q46G0jj#9+p@$oR>xq-zh3X`R5{-aSRv`wS63v78jeZ&*yv=C8+b z(P_Kp)oT_U*H8-cK5WW2Ur4BoUG74^lhSY$3xyIM%!M53{0!mtqnnqt_k z@*vEAF(js%z5>@|w_A8Z6~mrEGa_jcfAki3+g*vC2Z4uotZP*9#~U*T2fB9HoeLBE zD$_+7g$+nSMS*}xwJU%C=X^+8K&eeLut+Q09QUPY)s$FQR%p*2HnJQBjh3_aDZ$wH zX~+ogz&4Nod@O(C{ud6)f}m)zd#5nu;+^Zk=f+EmZbAsw!VXdOmf7xXn z%^fJC%O5i|43r+SA9)?<6-39puwsO}+xJd|*8A5NIw4wlk@vXe4Ybs4E8{$)vorGl zth`9JF$`H#-2}3hG=!HtwN*;P#>y()Y~0Z%@)`n`Z1fA47AqXOx35lHK=`o+@8rml znz}X_{iW{vLgFA4=Vq;U!O4{$e^Dgx_82u?TKZIRD6GRRVgEwwTm|>#b2U_=5e=(+ z$eqYj62a^tIp7Bb%t_A@M;%`^s8WN%8#nq-#&TtvXPj-#mXaeB1u zS-kF7=orITPtJk^h6=xMe|Yldbl|1EJE&;3l4Rpp|4Z>Q0(z6B$$TLY_h^hnOH$q| zqUo6Ya9%gl?^wt)y5V~q2QU2^B;u5P+@?rkr9|i>6gxJ~4ttrp^5-u-m?0NU4KqVZ z8k{*4kc5SC^1MB=JjZpF(H-}g7NJ_1J=pmZCMes+&}tH(Ew^Hhe^V3sOO!l72AIWZ zD>2$(PKpsT8St)meHDlGmH^x99D%3tV~v`K8JEJittjXm|vDfbbCy{x;!BIl>b~ zKV0K1-*~n(i*iuZuNAEdm8h}yHi#JyfTED#U5xL2seY;nsfm_p!GC;#u}6vNlrSD^f+4r%YE1_z*Y|f0DHLdKh|9TX)H-ih+AK z)P#bgA(8`V3IRq6WW%p7TY~@dW}L^CBu+&XYV79VgQg6&CQl0Z)b~7+L3PJ2o(;o; z;1qW`7%sla5b71**o0%WyVlusCE<;jcvyM@Uw%1 z$O_J>x3DJve;4*-2?khMse4_&WN&}sM)fqQmg9qcqyMs(1%Kwwv(b#Z(MNqA&7cH>uO)|FeSlUN1Ph_NM7X30u;Kf(UN?lAeI@S&r~ zXZGYBH1;K>LAVZdm(LE2dSy2<%^*nqx>9viIrq%be~VEC9wr9y-cL77?>2fm-LiCf zzI$PG8_Qg3Iv8<|EVaaXY+!$tZq*GBT-1W)<)b+%t_{eD?+W~6@kC{!)6mul+CNk5H<$P-CVoh=DZF9VeFz2j! zY~wote~kT=@kvKeLqrHJhSe%)sNJ>s-H9w64sb^99JL!LH#VySsNo!ClCXkVZ?ITq zGls_cU5sx1X8Kb|E~3DKofFMca)J+qbDVNw`>v(`Zm4OgI~6_)x8*FpqMbAL7+DBq z4Gw$B40br%EpiG*cZ_jfb-Q&Y=Gys1qncCPfBCgq@m3)1bRYAO?vUHTunCtDYL;aY z-iEm$P6XVetuPH9U6gQhUDSJ=o)XY`v|DyJi70l)xjO*vzb4A30SjFkOpG3Ru{aGy zHta^-2&f!!GES!LEN>4l92Nb~*dtN4g}1XzwROpP=9gmvcS%&^{!KQ)D8x%Pdy-CX zSFTN&JJ4b3Ltm%@Oh9(xEWo+!%f%yDL!-|{`!tOsgMmuTnpeXQhceRk34^vyG%dvd zF3p$_kIdvF*lTKW_zexvzvEdxGOp?le-Yvak!_y>{Qe<%I%Dh%I6 zHME}e%_B*pS9!!BFIPz5QdQQy2O&6jF_e&-e|e?`en*^?+In3|BWdAUfHq!xe`?f` zxJkqG08mHl;sEoz8{DOt6h#OBU{7rA51NLXB@p6f3Og6wEi+1su{btiMir5 zJ|dYAGM1KX)?|+DgV3jv(Qd*ae{#!e5lGqQk?1`y4c_B;Ks?7n7yDvGq#;SnOyP;|6*!Op(dE1LxkW+*RO$r$TuOO8Vhr(rH>d9VV1U`!2v{)r>K zFtSxpc60LB;W8V zZ~*AC_K#6zF%TZB5G)Lg0OkI#u@uEus=pDcI863p2qc$!J%df)bIby~-yq1*l=KOH zE$Er}L%9QoY^+y4OMwjbs-=YsS*s< zH=kT|*nGI!V?y|?IWHDK{xP+8!J?k=?Z{{9qWGjVz@dzvMW~T53~#iHx9dP|!KCXV zQaV%{vYAVL)0kuSe{BCr&C3iGE?s8ReCcs^Rm2^yW@xw{X9=rxp8FL6yQ@mR%gQKS z^%Na-ht4SXj2D28URUd)PBuDb4TbjBspR^(X3BoO0faDV^OWU)F_Z624!jd4HFJTU z`ggWkE);}v}2ycbS|{9+7w*bA>zMGZCK zzU3!#Rfrg0K3c2!xzYqOBjGw&5XioqOuEq&zZSbpz_$SY zI5wRPe~pi>u4k!QD9PdvD03l&ENLv+sY@ghyE3T!@ z6~fe86{ZckY?A_gcvmkhm2l0Iwq_Ku{26y+s}_x6i^$= zh3NQGiXy9uBAIg)^illJmz|M&=ryBa*sh)IN9#5TK1GTua(@Q zr8<9-6fsbD=b;ki{QaKKp(DDoCdC}gu2 zTmc81>%up2^mNm_kHoP$gD2pI#LDIR9aAd|HUA5i zAWAZ(VUgsN5&TUhwVR;e9oII1qm#n0O!5mmEcU>3V-TZGjUQCd)-4y4&~rVje?cWS zaRKXuKn1zOodmhjROWePz~ox2BrTy;E@zk49is!-uh^{AcXghcJU=g^h^$f&6 zkL)bjh5`-Q;3`s_4yk!PHrY&af1A!oC`@?-uDfa+N=O}Xna>tsDB-`O29LkgA^o+Z zaKBDlO7uEFfD)G_{YnEnUrFqSUn$qYFw^=i9aAOo;^nZzaHhPD#9=Xif+1I?5PgR0 z_codL404_k^WK$isg|ShX;_M{I&}xd$+ki}W6fI_?ZZ?@YD$)-QEn`VHHd-0$scfgAlW&MSfZAp z9vv#^_74*2JV7F~bbwFydL`?t`jO4&)6}UfN@xs(NO4m`{v^=uoq`e?2oXWR|2A4U zhLc6!Rp0GIlcX!9!{D4Bf8#eWRb-$@DBNG4C`YQxyQte0fRF-b(P8(aHa8M zNm6C{eXBS!%ZvU|f6x%1LTqcoOU5CXAjW35*!G?w%XHGT4@ww>`3J=5PSj|xc8yh- z*z-BVqK>FKta^*YjPO9>#V8zf5NEK!s+!z)+%x68p};5$$~m;%*)Ug|icVc1(x_>A zEI2SvA%uVx5xAF|)uTn|OP6e-ugSdN#&36M)Zyv&?;Qm{e~lN-&;i3_?z|iXVIU{H z*L%w$S%1RoX{FJQuh@@JI#VX${M4Xx$?zQ_Z7mS!07j{NQSpFavxgML8_BD(@r_G% z%JM#;^ie(?`8v4Q-lds527xBc*n(uz{|e!ET?b=3?m6d@@EEAwu}tm%C(BW-u~_<4 zJl=p_yB!W>e*_!g3zk@Bi+^fZ)&@&x?-K7v0sv1yu)jj#4IgO`5n;{;4D^rx-D7nI zZ)yrUI}7e*XOmzhAX7&waDn5!eF}wQIyE#{kXri$PGkfHsfgz<@parygi)e8ZSGUr zP>*{-=!S`T+WWY-%5}?Ch5NvLgliZDk?}Hs0o}wcjeJ(^LVr62htC8leFLWB!sF>6i1`J|VH9_{KID{!HZreGV2AlQ2H zcn@jX2a)svPC06S#DP@ZA@V-|MsiF_Vb=}P*%Bve^l3CJAjr^yFiS0QFxEY&`ni#^ zd+u&fnjwZctAB(d*LcpdwG9uTKEM^IwWnkU$YywA>p4=rP5@z}B7U*od8L%va=aNB zX7LLS>IC+JTq17!sHLI>o|vAHnn_wc!D&!?KT{|U#{vZ42>$eYlVqB0SVGL@ImZvR zJIg^}?XCJTSI!0v*pV16TS;ArRrab$ByzNF?`u=Z1%K@!`v>$`YsCAI*M_IRYnO-V zQVftfQFv(uN|m0R+KagkKAB6N*Gj98_mnemO;XmV@}+*6VUm}@^-Bv#dxV>F%#BZx2Nu;H#Z;A~~d^2oAEgw=4ZTIJ2T4>q(Yy|ogalsH_ zO`1uAuja{}!{pnE1vek&y^>*zvu(~Z~|9^RwMnNhx!4%@y?NLMf z5TH&RGX-(VdFX1vC58!8o($zkrccwc#kGBqM{F3h;?oy z^=6?_J&US)&!l0}g@KQ6`!t9=+kM#pS z5;yImddk}ERtulpaO9QwE1Kjq6Y3Sysy@NYfmQa?D!a;(MLBi6sE13Ui;uQqmT$fY%Yl&jn%Olzejg zqQ9G%TP#lH@3|6ts2a9CPkJw-&;D~qSYe;r_PtDW@wd}MJ8F=jo(cHJfCP{buR>W#zsNS zxH(`dM=FS!iy)1R;h_6kjbkyzZZ|?rS2=9sFkWaf3?vQ3`3(~Jf`tX6|f9=yCoD1G` z@94N(?8Fyprv`tc-8^OEL=o2U|C^&1ic#AO4yWKSI0C!(@i51K%iJC}%XN^j#)5NX ziEV}f8Im0=?DM1jEPF_gKCGm-8~#d7hfDq#Lo^sFsb@f6+f@!sN`LB%74c|_=Sx1@ zg(}`|1tJql^bs5x7(*6}CAPA+=%jFi>sfl5j zMKzwfl%mK>|DF?45ge_~;HJHft6b{a5IhWX6MLS7=vSOJoo*pl{-(!=k#>6+oQ+a@ zoH3|ofuywnWo}g}=zm+H1YyZ#D%cDn^EN`~L}Q?5w6E4t+BnD+x}9V8c_usWcdLEW zJYPVP>8r`(ajjpt8f?mlIW_S~7_-LGVT!3d(sewAW?u5usUm1ObCRG(L^DnFvWOLJ zsTPVrUl9BXxnnBkO#i_t29ioxt^GAZ80C$e(*FLDhq2gcP=8w2$Ge5f=xd$U&ObwD z+C3AnfVVgk>O2=VCmK~vnjVlhUWFJ>@OKnF@~f5IfKKkvNl)A7{*<+{rp#Iyl3od) zxgU6a?8yUqGc)+CCn2KUKCQhp+>)--S3x_tZv1~mjXyzpvPIQ>H97sHPLp*^dFa@; ze520VOgP^L6MqCmfwtzp+8kmpQWK|Qm>2eC&?I#gqHz7Wa0{LosJ!x5^f?9k2a}}% zjoJ)h*Az$DO63R~92tQ{e1@s_^o*PJmEZci*sfcm)HlaUZfd$<1|oVz4VzNRdcZuA z39Khz&*hN=9Kd2#<#Bb}>%%%~y~i#XH|P{VfnpsP9DfpYReLwc7YC}_Yh88)QLdPAm2=jKE(~LBG2?{LwKj(>Ep1w!E~ZXJ!<)7eo1Gi^ewTjaV_4r7q64nOmo$T;9=l(V#-6IMp&RMt|7(HR7jkhg zOqhfhtBz8as@BQt4e=L8T$~_qYasf}rdAe_&A~wkq1)x(Hl(>Qz%f=~BykKNbNj@M zo>5vb7zS2QSu{P1TOW`Q4CKIuXLGtXLVqz5MI>V+PE;t^^Ds~94b4e!EU!1}j>+7T z9KU@DApi1Z;=cVjX8yT1+zledj5SWHVtZ^^3~IaI^vI4_xbVlCXXt416ROx z%jER{wB#1HRp1}}%k>}=1OYP}nKT=QImzcETUEi?Rs6;)3ge*;B)rsw0lPII>4Jn# zxgS^{4t~p+MyqNCMdZZghw#<9W;qTvCp<1z#_Fy~ikE@G9Tk5wFff;{Qhg5Toy7|I zu{@VWCEE5~5O5EEMvUhhMw9pD&!%d)YK@f%T6I6|TZC7LjOg@^)%y}pMk9DWto|hQ zuuMa#vL}xhCT)`-k?H&CR%cj<{4GF>9Nd`*_WEg`8&mHb^3OJ)9b4e71=iK|gAA{O zde5o?+fp%~Er5S6v_d~9Ixll^_ovYq!CYjR8DNhCopR}pge*(uh91jf55uSQeoBrs zNQQ`O-!WLqS9&l*NmstPcILMvfm;Oi4P6atJVnEW2<_~aP|ZmgjLYvu!P5+eD}K%^ zSu;KSilwIJ+&o}B&qqeXfE1}2BC{Rqu@u92T{^QpfZ~4(0AiZWZY-c;)Xtyr2O<0Q zh6G<#Mr8YI)VG;#!R~!~nXJrwnX!)bEwk+N6PsX8Rhn;N-W$axl$o>9w1h#(L)w}B zYXW+>_l;B`^Smy^+$?%4yl)1Cl;`7=V8f~*i*uGdF&2nY#TvcatKb-ho|gq>RwC}$ zd`E)J;{Sgj&l40J!W`^$HG}UZdEMyK0}2opnm|9$u+Kd7Qp?zjp(%rs8J~#`tZ>QI z?|2Y*WtBKiPs^XP_A7Op9L(C(L%zsR`fi6{K&Y?$*QQ;)@rKst;CD}PC^R%MU<>^o zPB#I|<_dKTb?Q-nes8$rwP0ucyZHtL_*HLG&5eJTo7k-iqYVhMGf4-#ieewm0mAv8 zeTnc)B;Sz@PC83?Hjf#coDe9nC}tN%3ztL_WE{B!u!nX-ZIq2BpBy9HZN6~nqeqgh zakIprNdg(?#+Z~y(tRdttUS?S7ZxWnT(xlnn+v*)F8Ryz2 zjQxLX;B*x5HG4cM(F1txOC~eO5Gm2?T$a_1>i3rF z!G)aif__bS&&jL1N*Nu(wskn{v&F&|GCF_US2Uyu|6>i5W~YnnWn1ejE+T^B&DnaZ zgZM2Fqh-2gDAt=VtzSh2RP8rd&K=>+D+3G;OlYq{BoY7@;sut7Jt?P|plEt3K!tC<3}5m$C<8ThXW{E&_k` z=9%M|fUz~jpA3hP@0+vy^%)mMjQlZHj6dbdf;Mvh@J$D*>g#|N-qGU|GjIR*WLT!@ zR!>TlLe#j}7o%Q0@%ns~Ch7o%uED-NS@L}G%YdW%SG~KK(w<)4#SAHIkEJ=xWz?xYOy>BGyut**uu*l>jVu@q<{ zEcCW-okyhy3;JA*8uIgN4K$EVQSy@W4Ff{Uk!Zty@}zsrYd#Hye}&lsIs`-{yqKsd zXyO>X@$=(OhK;bek~!mUlLLRPz=4J?uh`_9U;&D|?}gAkEk|QMq&vOYg8QPfjVGlc z;l2A1p=mX_Rwd?OA-|%aDu&XoA?z*dgJ`6cWjoS19&%gNq|35U1q+wVQbZh5*NKDs z*jQ$qS1#3wVQ&UBq2ke=4*#{43~SDTrurnpoprvZ)0nCxwK)LzOLBh%uG%a5Os(6t z5ya1>0sc*x4nWmCf%`HqM_P>YQ1ZKA3=60|O#b`rc6L4a*X`E|>>4*{ll(f`=#k2{ z=L*qZ^w!J@_-E?`(scU4%}q(-0|kwA|2mc{Rp{+^S^+{j99T)E#(w}OzT~x$-Hjb9 z?Uq*_jg=MwTm9pH7_EPTXqWLeQA5i$~xWN z=jdlydiPHKuBIO?HFRHRryw`yC((HYV6Bjcrpn|SrIo!?2q_6(@D$MUYiPT6-Y*3U z2gU@iXu%wHxxTb3KU}icE3v{w#waxaBfC&#ia!I z_X&jv72HCb9`g*|c}UG}??H9;{(Gf%vMhc?Q)D4$57SA{@n0Jkav7+42B3*apD!Un%*Q7T zXMN8A<^;YnLU(`h^xC@>4~A(Nxphp`1%dTf)STUu^7FSZ#eValI@Mn(W<;53rZ)4~ zMJGEkFCEcbLj+t7OKss*!Omn=oVfQAz)m3hkIVm%45v@O@_RV!JtEJl9tj)jgpB@x z5-~&Gh|Hm_obj&uD67OfVamaRqXIO20N%4uh!)bh*M@(+^LqelK`gyJtJ4^OfX_av zv%0O^GnNL?m5t>&1#B<9ocipnQ*UlVCP-`-S}A*4!fSpiyOc&FnZEIse} z0NwO8bGd&^KxoM-*r+v$nEQg9fi!;>ziksq@L;kSd*j`*N*K=eeemfP(lg94ljmYP|etLSWFPG1ih5rU-{Jg}YCb|jD${OKQ3H%UGI@#vuls$Au( zJ(xT3C~X)M$e>HiT|f#O)@Gpq-;;E$HJ~m8uS{oiKp~$DvEpK`G9lA^m;a@=0F8I( z+ZYLoq>KuEbAajElvd&b9K!!E(MbtOq?+>ek>)9p;#BeUTR)DVqU7_5dgEg_EP4L4@MeHXr+-PJNgw3mGG|}V zlgC7-q@BGtP_b!WX}&sG!cQEoofjJzU}Iu#Z~CIFMh>2{G!bYq=8{3KM>Za_6({@0 z8bxj5oDG+dvX*cp;tjRPf55?~XKX~Rlypq$!$13s79FW}ERd>i=P%pVuX9ZHk-{4T^V>OEp zc7o5&@)QoXLkFCaBdU+#DWxBRY6JJ5Ty9paN`Nus(zTYc`*(mmh+JOa-!czf4)OI19!0Xww!`_ z7?u8O5}Ml0y@`EpdczJU2>pLYr*Foqmd9G!r^Sp4&DsK|C^tDr%L)$*mi#2r@lh~) zh8gU(lL2gMVh2q0*#0rt{quSrHvCh3@0;SNG*V#i_077~D>?#MmSM;C{d4skZXM7J z5Goe%&39H6$~&Y&S#Hw0iLe!*r+#(=(A&sNq~=TnD2G8{&L?{e zx5RaoYRT&1lW1AMW;m9;Gn`$tC?x)x2c5V72GjJJCto7OpnO!gYle^`tu2hqK`bVP ziK@ehUR`?12QfC2H)f?Hnv~+)b60_b_0eC}##8j)`*~)5>F&BYIfTfPyo6s!sM)b-yRpAk>4jBlD+U)*^7T?h3FTf4nWrSuSzB`rkgNHx`Dzg4I4`9zXOA1Af0Bi6^-y_zn+TCfai(-PWwutI zPl>}@b@RjZ1|OQ;^w<@O;--G<7HtcbMjBPB?tFg7cTWqJn&2h`w~+zyX?lbUKiY&k z#hxUt*CHIVv#ftbVn*?2vT4FT$@4YiU-sA#2+|ZR_lQ7v2Up-ZKmm~Lzwp)gG?ZTC zszba15vbtRJX7#zn8&v!g!}P>YftWMQiTAO_>Ms!>STQhHz)TSYiIkua5bdh2vkUN z^ET8`FkZ;xsi+oNuZJjzacy#N%Pe=NE^}fGud^y^6gq#^bk9l|p5`u=dr-1j{EXFj zr!^0pw)X?Za*lDO4wBZ0?ppR&BRsk%rQ_~ONa}wz*Zo!WZ}HjEIPKJTM-^&OktD_T z$zbI*Msg3pBe5iYtIGh#32Dj%9qHi@9@S4?Ryj-A3)Wa;UKO`Lt!*RSZ_+dY23NEI zU7lKlAV7Z?s0h7~Y#lQw(`Dg7cQV&X$QCl?PTxs~{Q^yxL{M(^R}=04tLNGcRIMZzMRw%MTpQ;ssM6q)_Jwh)M)^L65${?-ZyP7HU7UJYG&+UIzskUjj*0^UZ?D?-{MDu4?dO^y3 zDIwUE%*9B{P$fJOAjqM*)=t-@?38Bn!w)K^4c z6$XD~NI3ND++gR-s;}pz>5XeZqv{HO+5)_LqPH<)Yc?j*O-P6C?dcb&KE08e-18PF zO4HgB{~h0|fy&YaUp+cy;YhVxo#&ZK%;*F&b3m6{j%_+2(!Ku^*~YUO{ALn=Pd@0Op8W2&B56dgudggVRsMFVCP zYdS;Fy-w`NLz|_uOwve6MG%bLuW545cf2hDzhOnPrIIY4ttS`M1vDR9?$e-oSw+;} zFlJ!TW#~p-7I|~QkOOA`d8AN``?)xnO!z~NW3cF;nO$zZm}FL`mw(yjSOdIA!;&l54Wp+|Vn?qSm*28zR)H z^}qF8waPVC`znFkvS&DC7Qoj=mQe{5pE4xUMGC*Dx6hIQ#!B*m=c>;|KS_q>@1`v9 zr9%oQM)YrOx0}+O!x~j8PuLa%1}G;vMaOY~GN)A=qZx9+KvP+Jrqx1z@4kPzG4~I9 zcVc)KL(%U0X?1SOaIL=-_?8%k{~io-k9^V`m*^|_%Y~WdHY|Jf($m{XLe*A`uFR+G z++dz%vtE-O@JhBaVNiC{q!~qe+`Dw;w7aUMUjO_Mond2(z%4~oU0(ty-MD}}h(I@W z7i7^p^XFQEj8U9EjiUCOv2A~d?M3_IVV$3&pT!i8-ff1%$Sg@=@{#5ky31_y?IIlM zm%97U1oiE1_p2lgY`s+l+xR29LmK`6$*j8v0ao2MM<>YOV~sA|jnILnKzL>txp-~2 z!dn^~YC0y2eDl~+G7t)?Dp%_Z~s zwI4D{81Jc0X$b)RmnyPY?}N1;pzWGGeUx~O%n`ic6IrM*BfSUlo4dpQ{H^zs)4bek zF&Mk*!mH5lqR&bdq@6KJWwRnmssI`jlW^>nNCq2K8p3+uw#r|eZof+2sl~6LE0H8k zv0`wcYF(fVE6$HhYh1^Y2``sILQLkCc&+A6+4WD*B9kz+tcxV-EzX~PY}(6A|fw#}Gk21pN4pUYhr1v)du&EDxy~KK+Z_>!qF#|G0c1>~qlTm$tevjvGdLM54A>))#|W-$ zsdbn@eSst9-`Bgl>Y%s*q<=nQ)|L&0FxDdzik5#aBE%eqc0}K76;R@4ZTAZp(YV+N;!CBjJnmk zf3|<;I7W6FzhU1qqN|Q$)x4M%7^SASH{8-4tG4>mb4XY#3VB6ona5iMj6+(>9B1Iw zp4;49i)>O=Zgc3@@WlsW!R4PGRtMc*2XwyJsEALbvm#g=%@c|7&Lh4aVOJXbCO9b& z@;9^h#AOdo^;7})HY*1mU$99}%`sai0jhr@*}2Ke&zb*+E!q&mE2V^4C8oF>xR)Es zBiRKZ;%b8&_7z07mkWmY+jmYm03P4s!}}rS=v0iI&~LEeFbXUgu>&dFOC`9%)0iJd zkaA_h(8X$ChR)J5S;@21+NEVdvZ6XH?QTqMdo2hJV;bm5HPFX@(G1U_H1vNy zUqrvkcpE%Z%l@QXSkV0%hVuwRf%e#)s?uK?J^ z7pnL1FYq~xn4O#q#lr}(iH+>1Rtww=+~jqXc(dIDI&%80@+eTcyQx$`IzBuPBy$ts z&3md33wUIh-Bt7{)t6I&O2B`-QvIL1e~5v@Gqb?p;CB?>HC%&A$db z4SRH}Z#@-EBE37d&%H_{E-;tnIxh0V5+95B5BTt@vJ211->lD3bAx}2@CIEo1DFvN z*jJ;_yKg6a>&-@YT{u~8L@f+d72XkQ z>r%559I9=8gTcO1+}(eG)ptJ~ock6q{3)wI?(H%;wwuRhe{pAXHhxIUll6-A*fxly z42J11kgGKn_N3F8F8uCLF2}t+gtvrGYvuLe7(`ZEu!h#~i z4I&8gj)TvhUdkS0P((>jG)#>D{G#w_s_O+WH_AJJupVg)kO+U<-!#Iqmwc~H)h&j- z5D38(fdwNTR0x+OLhp6GrQ9?I0E&<>%Z?98fZ*7K!7)v~1kcnJSHpt2stCiw2a?53 zjh^X0MF+&K`VUXJyu6HrhtLXlz51{S7EXY+$BjTWV?hliZ0{Fac>c$-zJ3t~U*$G5 zp(yP+0+s*sJ7Irc6`)~7{`Tm?2ZDcwRD$K#cQ*EArL2^|s2*MJRQ&Zy=0vQmhWsS9Ddl5B(V0&Cc=_vwERncPirzkAYvW{L<;H`g^DMw zt5Oq6EGciUm(v(3QAt5)vqGX~S?y50S~icXj=!NjpuO|&CD21xpO&>9b!UMie47m>+tA7$25T$J8~kV=YcL>11r#Z=>P-ihSPr#nz*+r>~GV$ls-ct`#yYp6~0 z)Vh!3Uo5ueC@M~A=;pJnf_`KgoeN%7m3M!-qI<_uCeY1oBV#2{$AdG@fvE4+v@Rek zC2?0QydEEkf2@{qMY;22{p@A*>U8B6a^R9qPW|n{3+A>9z2dZ4lnI*W_5E3Spw!p5 zQ={dM%G;N(DUuF~3a<&3m3}fGQ)Lc6Q>qb0NXQj-dyJZqfy%}9N<=z6wux2C8pnT` zv)9_Cg56z_?c=%O&DnLsA#~BIhuPf;O%9F+*ca%cOb6349_hS!q% zt`8iSu>;+sL@VVz-~UW~m&H|#G`ujft7R6P zQ&q{Ay8eowm-v+GPkT%){_@+qK?o%}&TvpnET&%o3stPPt-lcMQ8%^EE&d9@PI5(M z_eCf>uPE`?S1)e)&M=3!FqUau7Iy16rRGV;;xbcynV<$HWmX(e5NZ zBeKnDFi3D3XZoV(tIL&X?aQ*&Bb~h9oi{Gq3gy zbT3uLmbKMT#O7rV&TK8t{QDVB~q&7#{8p{y*hW}sh0(w%AZz6u}8aQtiht~pBv9t zUQW10zDcDH^U^z!Tk?OXkHp1^J`j!};UKxy+FNuPMU_X6G&zp$;Jt7}14;n_kJ&mX zMk;L}x}t9X%p4=>DMP3?5)=U_ewMfzP)x{NmFB3#&4^7{BZ^q?%Hr;q3ImrBS4(^&eRr@!kU*g$`R{6th9*{HN|1U?a=Qn}~NdNX_hFEkS z2H4?ZE0YA)V84ItNSe^tiMz=l%j)tm@;*0K`3+52rDL5{Tudyxzhs8rL1k{p#d9|j zxmrTf!n8r?CC)_LbrF4ze@13Q6_D$?#DBB1T6mm3pH1t)BL;jnH>abB=q$D4sYWrp92S@&7FffeitDZ+;VyS0BS#Qee7Tu}4k&yMPo07q>; zd5S>LG~ZG3+n3KI^CBP0i89zp@`)`(xTJsqR z&W@L~PJ&?pRmaDCm}WC()5`3*5ut*|6?q)to761~T(X48iawF!H5qOr@EBe@2m`Y# zkh{i))PH{iyj0yUVm%zeA=WN9ML2?yDSQjD-<#v^k~*KJ!Hna-$Yr|aN)7=(qCr5p z`L9PYae6e@=>}_FoA6!E2(rD7MZ0Yp`)CSCI9)0jzj^3~23EsCsehcZ2 z60^#ZEu`{4Sk)Y=ri+iTAV4 zhM-AEzOHJHc(&4-Iv{KOV~LcwDhqm5kh93RjxSazs8WgFJ!K&r9Mk0QHY}85 z`MDd-sGZQ{8@s*P?vmbkGK8kU;yc*w&8a&|uBm2o%8HcaBRzqDfn>a;ANUN1XN48m zntyc!z1}pYPk#YvSU3S?iDG?_ETZ{09n)iEW(17M*(=kD!V4fHQeabMI?8w6>+})9 zR$`_5y*PGud%2GBu5MB8cAbr@pDAmNq3jm%g)L;*m^MmoJJU7x@lF2*f-RXJW&;#4 zETR`=W2@-tJYa+jaAQ#kW^;ii(a*upzkfu@Zo{YooM1(I|1$V0JoyGueCmb3M*D-9 zhI~tM{d#NM%*o~+E_Y5gQ(nr=e7Aam+MFrsAp(m8B%QrCm47PYf2*vIq1qd1A84YNOviE*{=$lT%g2fj=t^D{lM*^@4H#FG4d8UrSFD zF@)XvDnM6NKkN*U&#lVf5al>N;gQ|e>J@v~;**i z@{sA&R45KyABv!#6)+VPX<>DfN`Jm5(~J?8qAyG!bxqDIt8ZTlT4EnlLEAu5T$gn@ zU#}BZ_~&O=wbD-d@C;}VR5S_Te~RK;0wdK448d$yy;IWJ*=%;aMBGVV;H- z%R_b6*tpO?iE&KTKsPOYIRr{0ALO(a-DoI}QjU@62O)4kPPt!Mc8Au#`hRw<$nsKb z9mFa^dk$ICK9Rt4u4RW-iq|$KX*q+34jKA18&o6zd$SGJ)tO8~V+3IW+1^x<8qRcM zwR9Ji?B!|lrR6#jeE%q>O|LoJPwwm5*q;b8FFDS^AgU9)rdPbXN<(;g5CYWDwj86A8yUie{#ov)w+f*UV8>{&A(!jtS#jZ+SUXia1O1eiB zCIO`-e~bc(`i%_yr++V_!xkS6QQY)H5?0y|+)-j8JvdlUk<}-ZQ`*+-KUo?8nE5Dx zz~Gb|cup3iz=mP-S6mX>C!z}O^?$~X^!Q7jmK|kEdN=z?6bJ;DbwMD7j?shc=G%F1iHVWU=4_;7lj2XP z`7k|_%GUcE{_RqJ`F<9A>eb!!^-fPS^Q9I;mV4`GU)LfGc!Lv_NRb&covr1$jfA&Z zDHzoZ|I;W?_l0B;fZ;a%ejIf8zpM{`-^)HCWGpSXvwz)`$7M+dJE&EFnlnev9K1rP zG{$CTWeiL!k3R{NA+aRKT&#fmlbY0<{*RjeueI_7opq>3xZ^_SPq{x~A35oD^h<9) z>nS{Z)MIyTNu>llv6=bN_q02B-cMx;W9_de{@o|y;pc;_(wn}) zHa&Js4S&tT=KAj{-)*KPZru0ezelTdM&#Y!^|Qoh=PvU4Iql@i@kz>6`6Vl9t24dKlZ0TB-V7 z7C<=H(b3n9ggMiX(E~BUHah@<3LL}|ez3n5OJ+`rIgt0r1ijTVv#gUOXM28EA?Vn; zO=-Pg)A-INJ*bovUMhpALv^E~+&;GlhTr?t_~o^4LPG7751nI{3<+|!~?!3=k;!Qb|$ zVsgT@cR_xTY2fl;{%&&%&Xx0#?`bgfKcEZ$C<#(;m5z;vBrutimDR!3F1mKBlFzsq zqju3MIfMWjK;^$!Qh|iXcG@{s5K}m@Ie&{zr@$soWx$4TwJ5=bs@6%4R%=Osq|Fcv z#RgLNMVUxN@oYY<~h;*pi#|^(`(%nRszj{@TGIQmMEqofM#%;|#dm zhYIQIleu`Cc#z9*B(~RD-U!K7%ojsb@Gg|I1e?9FqvnhAhG3rFa&hfW04PLX;!1@^ z1%0(%k`o9^Bj^mN?1Eq+Y?SV^0*zNGOIYyU3H0Ss=sDFn9`P)k4?SgR)qk?p;R$Ot z_gf!W+~ov=K>^JGa}#EL?fQd8Yx2x2VoaASRqjcoXaam}0tIy!$+Xam zAa@qTMpOxG|0Q7Nv7T%w(n)5=kBQhDN#99qiAIttX<~UTY|Q`%u>Y6wmJ1!2yQ*bD zkK#1W%Tg3^?u7a=dNDv@vShA|w03OC@^6@_GNMQ0s@|JG?2|tCgYyY%5KX+xAn>e*+X!NO zv-epJBMzQW)Ahh($$v9`3IH_cP1o)jzBSsNT zW~N=^fJ0_5Ba46GC2^#0voDIJA5>p(^F`NB8F;`2FW{i~B&SBXv~^2!1Qr**B30YQ zG|G4e$2aH0l(6p2?=`eq&$YGzDH_$PFoZ z{U#&FoLop=to3IkhJTyWM`QpQJcpJEyOMvBJCXofE^secwbokxu*8S`(Sbz)JIJ_I zt`wlINSBJK11%Qh1X>P@G?SiZcpV`N!`RZv>7G|k)H}sk8kaMWDwG1G{x)b5QzGEo z|ED6*aSqjlynpaIg(>T~_xdRnaGhk)q7z*efNZGH8(7uJnek^dx>Lbpf^x0P8fD#~ zY3zlC8@O?wj$sq-mmo2Ti+x=Vm-6(Fcyg={^b49HHVnqCk~@}W4cir`u?sxtK^X%m zBri#wzgvG6Av~yT$={3Ilct);B7rfXryisjh|9qS9)BZy8J8QML9G`laRir;7r9Z= z21SLzl3Am7PCkA1RA}9$$(0)oUp2IO(?2LojMh8;meXvuN}f!J9rZl+YZN+&`Z18# z?vq53xZre2BBQS=*|WkfXa^pbv7`}_fJB0T?JJ9KQ1}6D^XY%DhTFrNd7rljM)?r@ z1rGj5gnx}Ob%Oh~+d?J`2=YL0h4LH}!^GoxNGA`S=%M=2G67<>rf*OPwvc*Go42$9 zaK_B~7q`{-vd*3}T_UP0(GoZ58T;MX69oLkgn{%JA?|A(H6OEFthJRC^CAyMGNnMC16Wen~+M#<~X_h-? zD=GLW=Jt+zz+tqY`(Iabbg?b)f}Yhcjyev1+;Mw|U46UK@~kkLm06W{iFq;$qL{{D zB=1YY<6~x#>5g4o_+v1##k2g;VxPy%^vZs!jJ!B3wqpSsh*H5bof@p?iG2E?QW|TM zwSTPj-0x+xdvJpZ)Z;D%QR{`2GP^#vATk3dF8qKN;*3A+Qg@p1i>YkQVw8ljf%29M z7S;wdU^1xr!jyH=W__d_C)*k(<}TtVfQHM@kgyC80V<6)&apok9bv+#GueMAl&3aV zJ(@K5dx4mYkXDL1`dfC!`;&DiM#CMBPJi;^`7yRuN7kLyFm9wu#4eoU_@ohe4BSP*9KAAq@$%Y@+$|a7}F>kosiqvum5dL~fqAUto{Z^Bri9{G~v^nQRq7;VA!fl`X%vio2?|&DBdq*Ve;aMlV|B^7Hgz1QnoDME&8KTlgx#*4p%Y1xdx6XW+; zMFaS;G5KB7Mkr~1H-sMqwTQ&;T?$MyD%fPtqY2s8SWXjv+S>-h2{ z24G-)88rW<`68+@JSFz_Ehc9ZP9^yyztAmU+}IXymUeJ}ZOII=S{ogJPwL1<^Tgwd!Pnurb>?-&*E5q~OYN*-&|JsmMc zvP%a2#FXWxK1T&e1N@lBQ}dkVb0u$T^$9$&>xf(IL7jXfz=m;|xsY@DdWO!&^F;G& zu&mtk6$AJC7mOw0R_Q=G)zbP1^+Wx)qjqNvo&;Fc#QOHGx_ z`(6QgunUcUX7rJx;a!p3+l8nbjv%`!to-wj84Io z6O@M^EPqNf!OSe|b5>VhR$v3j)lZ~%y_$1#@Dw*s>2UK=VP8J;Q z`8+g zvpfZZ$z27Au^2|g#K@9tKL5ejyF!w{_W`@!&kTa~WSSAZUKoFL$wDq`ofMOvx)vow zl3w015O~gCrPzH~dTYHh9q-ydk*AojV2L_z5xg4RkT4K<0O&gIWJikYug7JpQ>p_3 z3ETwHXF2iY)K>`1*c(i;V(m{;vbJpE3xG_dN#cCrDybG%-!4UoY7_S^vc6h%Da#kw z+!iXsw8l_B&2oQ6l&&w{7Rr#j8g-;#}&SPE_Xt@0I?sbg^WWCbH-T3amYH3jFfHNO2F$k(0!zMx1o$ z%`5#A0yO~LO7&$P0h!Ktm#)s9EIS-;cDDA#p)_nBZi;`ptyj#<{oF$5IyRhyG^e7GZG zF0&>$_#T^w#z{&hO2U*?#9y+96To50jy?GYO~ai{;|#Qk_MOC7v>Qe_OMFLty7i7U zE;dsBvR;46sBeFh7hD^ku&yNkN2&gpUU;087P!NJ z=PrL@8B!vf<_TM$>O5jWB)tZM#J&s~98EL=Um_h3uOL(5FcNrsoaO6Z_)l9D& zsdM6f{tjFJCNZ>@w>M9>f1ID0d^=Cj0yv#4IH~AxmN4}%#=;}j1k#CLJ!nm#Juxp1 z>S%K}Jbh7i(7s$X5G^q}-I`|Hb0rqZuC>bd+Mvs<41{G&kX-bpK1}vL3`tm2oJ@aV zL;i1T5!H=;l$t)P7NfXrn4E&^##FuZ?(Wq^WDGXYgsXL$l?}`Uv*+Ruk|}FU@J!8w zA&%VOHIN1E#F;YpXh?%=W%yW;n|4WB=Ot|kclwIL`Xx21@ZLe)XNBsW*>m44=UDnb zBB(HRdT7-iOg1o;Y-w4I-*c~>JTHIn=mCRMB*ex!fp_J;BdOD^82rccecVT4INAxD z2IO+>l7C{4{A`vPHbrk>Gg&GGZl&9tgnQWab&rTRH+UqHp&_zYX}Rlr$~TU|kGI;c zCCrmG&CW0?+LsrKr5+nrEf>7k;>mn+Iy{3{7i9>ogZvhgE|qvd7g&e_s$PGeD>jdd z)Wo;k@^y1r9puRTV2LkSIzF1M#~Y&!#v2t^7ncmC?#*CeF=lWW$3(YI&q33>(445N z%%6y!=GwuOZE<#^ih;4M+=7vWKd6<|HRt314A9)OZ(EeXO89n%&FUU@^Se<}YG+5_ zlXt7#l41^vAS4GD$~5(ZwrOJ7q{&-?N(o z**$o&;{aFB_xz<>BLelsDV6pM3OtZu53<8oMeYLCx3Z))vBenvK2Dgi77$N@kK^{= zQT>l0^~S-yO`)uBF-eBp^0QcXdsJWu z5X$6rRCyBD`p>AMLi4G7cCF^zdcQyD%v_SJVF^Ky5I7O*^ACkDkj+)KaCQLqcji*e6+|HrcX+?bBM7#@0;s(q?t=zq_T_ z(Uj%_uH`L?*sms-`K6Q!P>m(23o<2@+A(ZsyLEMTpu+qBfuVna>E_?Ib}Oh@x)CpX z#}^(q<~K~KQ4a3pJmWrrAPKBMpz6q>zs_5?Wz_@9QmqivF~e^-PxQxqZ=Kf5o%XY5 z2C4IyY0V|ktN7~^PYtz#;j+L|f$SWj4Xe}ywsmyAk)doERL`@>I|_;@0!X1(})1_j@Enjjm&ZDX-LaiP(=<+ zRAFtFs@PTh!@o{xKxpPVycUOTf#B4?{up@jy4&7MnCgWMTC&ORp$;z+BEo3nW@)%^ zOGzHKw2;ZIhhVOb7^n~BoU|^26Rn^Gx6d1jt<;urn81Gw#-I1yai$Ln&QQ6ejgYAMX{#zV0-+WM$fP7pk8X+uKV7}sKrd(gpi3%+HM=m_P*ZpgW z{RZJoL@$3PGQNUKLy_xiI7IU~F1_J-FXQPsWV4)?)!(6IWLQ-UxU`;xqmzd7QR_56 z+@kA~A%YvWL*?qhOcHjjXv!FvDN;DJT3T#R^Hs;QlGe`5v~6feBZ`pOevrG~Y3-V( zcgmK%RIE3K5MdIObN7Yt1&F$qg*!FjrmOUR-bQ~;s5Nx|*duaa{UmAWvBwCxY$J#9 zBKHexqU;qkC+*n2^rURgy4-qQ8>?;FTaXbk3RreI$J!B& zS@?g`G|3o}7E!BODJU~mlxD^VkRv*6U*(u`z(NeCy_Y{7h${$ZFAv;wEI`QuQmfS> zqQ@j;Ea&jh-&?Yha2jp#cZqcUP9Cx{!A3@MDfzdCp=va}AFIW`2@ejyq2Exc2uF}$ zUz3bK7vs?H0gfc;lI{(aBIvs;&G983$c}$39`vPv0GuNO$cdw^Vp%oVNQ?v8EdfV@ z!MjP&%)JK(J(nF*IiODR!)$?{Ko$v2DYlPZ;uMD)M|=4B0pI3%b0plJZpnXwNP)Iy zGzdAVLzz4I8t4C#dno5C1#&f2zffDzpa(#XrTbU3lDMVlos-hCpYE5V6lDDLn<_4*jA`r;8b3YOJPie~p=_E|(GUxLaW2d6zA*kN zU_l(_s^ZDUREV-o_#?n>gAmQVn2*u}7dEzPKSwzhcukP9lXv5wyU2^cp{jE^OnS)8P#@NP@ zR_*PKgj)$)NP~yJJoU`?U!TFhespCZd#DlzMEoH8JCGHPl@(UZbK$_vEQ-nvQxJ|x zm{*92y`4l<*0+Fnx&6CQ(m92Ru~Ip*c0!-I?OF&a!t$FrwRLe}5YeVYx0HX2lvW-& zXbABsPEZ3Np}(OaOZvwhYrX4uh4|mWB%r8w!A{1o#Uqd&FD2qpV9~L5&Z3)|p>{Mx z=Uh7jPi~a0Ubk)J$`|=PTJ#!Qvad>2`9Yd<;+j_hQnj5AwU(;{t3)6Ie)iTk8`R(a z_e5tj(?hq9Sj04QhNf6=6uo~1&erjFRBnCWLL%sYxSfoAVq61E(`Sj|;l&0BP^|vm zDo2Vg%c_A~z_uws}9nfsjtK_&SCVIZH7Ga|0`L2$2 z7OREwFn*1<#%;~)5`a1G#!pSkRfTDQ(M3;BUZ^zym$V+Jwcz0IKofr$KBVMMu?9Gd zgWVQS>qKvNfeKw{;c-}hsC=w2vzJ)+^&{Q+cRS=SW91DknaPMZC#@A*vH2z{2b=w- zF7_kg^H3)^-pV%#r1ldD^i{Ns7&((p0`)Dh4)hcoW~)TdFKX{uC;@pwy{Z;i0A;8a z#agtzm2NFbQ;D&;P_}!5TRz#E$O;{J2rNR5KKa2_69ip(ljHTgF{|T2f#R3L ztK&rv#!*`5?GWWeTa&DQ^x$kU;JHA*YM?fLqSD3gNDfP3yxE;wrjzHX|a@a@IKPWcOGkYb@qSZu*J^E|DN_@y(BIy<|y0| zT^**T4BS+nr|YR%s=?oHphe}aCCF=fP@Opi<-4#fu=-8!GZz%bb`c+1I?P4=upeCS+}lFx(*S-HEKf>s`Uu_ljS8|UIM{Fm5m$kvhUIk-S|<|r+&oONiTD|AW*yL*3`VJ{fvC8IJaiEnam?U86VW9QhI^8ZwxzkuT&FYe4wq zvIc*;{Lh(M`F04Sy3CZmkGHYw3x02Q8#sTQjA5pmI>WZYNq^5~pevD<*&I(y1PD}F za(~rwl03qvfl%}KtL_^v5eiYrPa?4bfJ|q!cOV~YWX4E1Zf?SIX>Ml@J2(r zR3SQ=Fn0jNOlYJC-+)~D+L^=_P4##EiTQ&1z}48RFo%|H`!8Xy8dKedM+^>pnI!)Uj>~ySBL7X-@4JejB7SfBiQ-`X0l)>l&6=4SR0!$S z_EAu8U5C(8QWYC=Vixkg-T{+)=C*&J4KYE#r7a2y4DlG7bN_*v6_G(5N&2t>2WKOy z3A)?O{vO+||6oOGTz;1BsE(nJ(yIyG78fYdGTba3aN5Eu>u(^A_^k|4`L*S=;h75j z3+H@hVy>p~mz|-6_o>2maXLO6`-b7g5~cJK{>v$^n0m&CWz8*9)VQiQ9bJ(05Tl%(K6h_9<@{o4@I7u*Uvz00(y)}6mzYW> z&7ac=yo`=bqOd zIjQLxRCA<(SPoFqZwJz}l0az%s!k4XY>GvE5fTc`E51h+T@Rb=CdWpmu8p=Ngd(z% zj56nRN{PqO@>cXmHz&kf#Hn)sZg=wt|^MomP6|3uLJ;TvJ-#BTr( zF@kJ8l_ynMb9=~id{z9w^7$j!q-I>uD}F4tssk;^Rwu6$w3^MsZPlILrnH(QyTH${kiKZoq14C3_ zaun#^y5c$wpkVRj`p121hJ3}U$jR(Q7jZ!>^q{*AG;6BNp$As(s?;}dN2`QUq{~+) zir-4{?hY7HJU7QF%OVKpt?nE#O?U$vKEVw+XZDmSoZui6xq^Q$C8~YO5%j6A4bc=F z-Hwqhed^WmQFBs>3IO_5>|TJf_bMgFnY&6~-TZ5k#1+l9W+W2Pe4J1%-#YD#Zz#0o zIv2?`FgUq8tV$qC@2In4_<>O}JO%>$NL~W4vsnrgndpk&iD7z2TBh2y2M8Fz&)P+V`1q?D>!nc_$W8_=?4_> zVNJquLUi>0fLuyuj$;#&4ck(SDOF4J!kq(UJP zsA-f5lTd%-Oa2Y!YjAAd4Zg&F`I7RgQXmroO+xI&Gr;2AxE1KSm*A;xebOH2+{tsp z!qm|kA#Q)2%z<%O={8in40*Fwp-rg|g7|XR*dYYlH!!o>_=M)}rUu}&?Sls1ln!80 zx^zRdT8%YxsOKqrJ2V7Ia&?UvkFoGbKL+ANWgBxjJLwrwqCvxe_zg(;uZ`3CQEcEV zfkkOKny2Vdk1hMb_*_T-W5`Bd+5b#A=~4#Mp1ptL&Es>Rb3OoOc`u0x;2dk4$!wj= z6`**Pb<^OPDX@+z%pYmbFxCx@+zWPByDh-HH{j65M|Tzw(yAYfWee^sK5=q;si7-G%2mq+;$3-x~FsVy;nX9*}&<3 z>ok9E>pC$%2!`gl3C_5R34nzu2I5gQ$O(9jN8}IN0UnRgHpRNWLo85-D{GE8;@@WJ z!y0G zu(r}bRlW2o@kkt^m2^fAD>!S8=`2pAD#5^u&|6qST=iZkUOp)wf!sTsg$+%;g4*|z z0$7R;^6mD$iyM}0knSm4l3}eD7UWQtWU|_Fw;e7ZCG;+D{o~a#(;{bZ79E_G-s*p; zLI4>PXwFO=iV~pqIFPS2uSz5cdJmXHUOpMurzUK%1#!F;ut~mhxQ&ub8UA`qK1Fe6K9c% z8R98*2{S7qDzT2@-DG7wk*u$L^kJsrN;qZC;5bQ087HBXrtJciv10!V*=;*Q+cEc_ zOH5B=iBJ(zz%)#DWrQDc6hb`URk+HtJa=G#g#0f*(a*2-OlV~{#XbP4R-*HyzUT+= zexubrNe3bVRJBzam;+QvrzuBRIqp` za_g3l-*fk^x79I$e^os#K=|20*0p1yR{BZFdk zT^0k4#VS@-cX&-D9Tka8jS=Bp^*sa>3-x@nYs8+(KrBSV#_ zSFV06)+{uynOu61(Wrm4_i>{+|QQSr&ih*R-fPrl4ea`0wgD zOXs~>HC8xwQ@6d7v%aBEt+45aq&_2{O!j2Fs$~C+t+{Vsz zNu@aipbXFH0V)NfWq%B>STL zNPwP6^5Op9IN!2Vb5VUbx+aHG1gsC(z}S}|WjtQNP>+8H_omFQvr1^v9ZPv$AC!H; z7N?_ddVF-A22jUr)xz~uH`@hs&k;LK3&GF$tjJMz=M!NMLegv^6p?lL)B$-&0G-E? zl{`nC8*>u=V0)K_#C~V%MM-lbY?H_jXbjMY=Q|Sl=WP_ZmbOY09d-6Hhg65>d@qDe zhHCXjh&g|h6(eV(l**dutgpv=zR)RRI53QwT*X04vq(}37fjq{uSRK_?O4X_o+6)xjQnfjbhc{zcFuW+T*Eqmds){7?BEqM#&3 z13fERtlf2A7+h~d!(SE`7I;a-u&@_e<#M$XmUQ`S&gz~+;*^l}?s21ZlB5zsWAfu# zT5x~8ATJ45YQgx|h>7@Mq&#DxT`gOrhP_sc%Jvk_;0M6U^WNXG{!bw&izy=0J9{x2 zgQdX?kyxKnXsxDt8p(J*L%*=*Phm&ROmY%6A0}p3n z-Q-PyIt$n^U*s1zbgz1^$Bur`0IFzzp(lSxE*oW6WRm3U)_il+hgw>M&QhhD*Y2t65Cfr=|h(A6$9365;8|yJ>JHOy7}K zZRAj6aD84bqf4_Ooqw1oKG4iTRo0Diw%jcaXr_fi@kQ`QC=T!T!KF(fNT;IU1hju0 zKUSplh|YQbx+7Ei+S`wrJ5gTR!w#-FDvHx;AfoQn`f?Iq)qnncy)|>ag8s z2b?bV+Tm}V^1JF}+MMU7QDUm`rdNI936adY% zw(#@w1Jed)N6nhw`k%ipHj1~PIU0h1ZX-Htkzq*j2-jos1E8qWslZpZ0!E|8Z(oH& z7RDXmV25MmW=wyM83KK?MAXEM!;(nzv8iomW4ScX$DuG|!F#4@c^TA$t^a?DJJ)vU z#c&BdQv2SW$prczM^(w?*N@DGkN!V1Hu!`;;N8+?pO0|-Lyp|=3@>-JPP@#b%~D`5 z{@0%CqJf0}y@r{q6GV%Ff+Wqr4k6bg5*jlqfg8~vS{GG$RNJXx{FS@$Lv#j%Ip$Ka zJbmi?ntdQD-QJSeV~`k|qe&@6#nU z;g}&|KOp9l6+Tqab{_m`ntuAFy0ksU&sngiBB9okCahC3MzUcl(HVdIIq=s%mpKyb z(c`j_R?XD5d(uoYh8ptCjDw4Ws-pU>IR+gy^R+VFJvnMBj!aP6b_AFOS50pM98p;0 zU>yRyf!V%a#5VirnTqu;BsWgUak)C3?ee`t2>USVqO&2G^>FmysW&oubL+k|EwlFH zfPhLPyTDw4U1=-}8wP*go-^@8qKX-R{rgL-ctBTDsSC#MG~H$;s|*}9K{Z)-_z~$s zxeNZ&6Yik3HK%EC1-JSSLw~Dhk}}>OA#pkvr{zkpBi&=#hhHFTteVhK`XcVJ(=)ps zIunLS$rqY5Hj3K{S9V1o8?xMgQ=EQt^ZT4038TB+K|=hXV~~H*=aSLV-<@R75$p_H z>G$q|H6biwY5BAshSt7r0fOV8H~%0JZs`G+uPGHwqG4$S1>Ecs=^<29Od!>quoP{j z-~W?RYH6C0W`f?WF6u{=98SXMZ+J@*kKJbjzuISq0GUj7J?9Y00u#=j=*S_kr)yfe-!ikw)u9-SyBxd383Qx389ar;H<95MrC%R?IfS8+ ze}#=&_|)mBRQVDQamDF{>G=rkMvYM4_M>}YP=ddO*te23qrzEIzgX@d6!(c|Dwu2|Xt*&)GWhieQ=NGiTtC}gFrk}}sh;1$%0KW_hodCTbW z+*=KEe(lm=Jg>-Y?e)V&+PhE9>7zPl%fon%AxQgyOc>`J?DKl01@-@OA>YY-e_B%c zsE@zKmu@6kG-J#k8yCJTOM#}qSD($Sw$#=KC=|A?+_me9!SLNdi8{{SXA2x+J1S|0 zTQq4`$LcJi@p$lJMv&bd=x|Rj_$mGWs0x!@uHRj!euX*mcS2HZ96o@yNMn z*3W32A{58yKQ%}5Zxb)YCNis!f7-DWoS2nMuKpz(9F6Jt@?!Rrp+1rGOTo+&KtBCK zJNm@#|BL>1Yq3ODO5E6!LLh6j&+aJI(NrCq4VsB(#HSonXpWA&o2~SS|2~jcMN%$} zE8p7d+iN#o@B02iqv@jb2w8YCy8AU?6qJF-U}knuj*18YM1Z_ML4W9_e-;YSNJbgf z{(vE>%72pCF4HuNtKz4@j*|SF5PQ)qsoJR{77=l}$K~nwn}^+*zj&R26~y1}QS;?4 ztO{6n;-;D-k2#w-72wsn@75kN-sv2_xKn&TEXHk?V%w5tOat|%u@_PQ z+xQVRXN<8(hwWa4Vux~xe;T19da_XNkYcE0=+jbcvgQ2RG8UYH7kjJ6tk>vK7J-%W zO%ed4c{RWyJzU2muk4MKz|)-P0;a`ZH=EXi|9zCe;N}3J?#G@z=!AJY;)q7o-FSy` z^{Sr5G-`iont3ru=Rl!SM)(vf`jsJ20FH_^IpGwmJY)W1LRnfhf5~u!E+_r_^2z97 zv1VvXI;zX%jPB+s@|6-nPYEdclkxCr*Mob>m2rl(V5b1!Bd<+}hOKXSX(%uDRr%AX z7N>(L>D^>S2t8jtXLQVqi{3EWl)0l8Oia4cL=G^rHmzP{vza9ri6Yne*TbQU6nstw{d zk+oxqm;nT>Wvo8NoU+|vmyXM0+K4Hv2!zzm$gJ|PU^WQ7A6kXi=4M9|&U@+z>6Y_o zT2Sse(SXsEAOE_v^)R#J2FrHj`CnkzpTxrvoPSbxVoYnxe^&>)$8sK8g=&+Cv)+2m za+o(|c>T4!+!{~MiJoJ7EUqKLv4#j`V14&T>QtRH_=MQ>QRY1~Q#QU2Z6{JNOY)E? zcKv|~p=iXYvhm;ySGW7*6aLy!;0y?y4cO*A$y~44MCwMd*xj@OHw)%hDjAX~tH0w^ zpK^T=-~Bi!f4l)Yz(r}9IXx#%VpbiCc|>8U=LQ%3M)G*#LmcuK^*ti$`RHl(fmraR zAMMAn<0T2{RhGLR#J%r%f;tf@0cDoHol24|l`DV&Y4_%XE=a4_cUo7*;?j(u)xs*Cl=z|D(cn_VFNn#DG$)TkcIZ8;y2qm;f1klBlnetp;&K3nHMJT+C|?eh z?;Zj65cLYUeb>HNouHn4qeVAG_Mc*(vQ!MkKSJwi_`TxA_A1z!{Y%%ekp1APV6e-u z+`|Me6t%_Tvpv1aLQpZOR^dDAvFyC{qbBAmd)6fwLKM8ZE324%fmW{wQT>L{q7U;Y z9sYp7e+CG-b6-jR$hqp8-iBm0k=TI>-h?%-mLe9*3|O+1@f4wSoiKOzi*x~b>UlzSf3EpG8?Ce)SDzfd8zxc;uczpmLGopI1DDACC@FOsksr; zqx5{1Qz9Is63!H5`8YbEh$?;`BX%|1hNd>de|*(*(;Pe5)fA9D=>LD0qR1Ouwa24f zaVyUkhyeljj)Y@>ynK`pg#tXHos3P3lWtn>>_u$V&bC6vy2uXQiOGWkaCqZZP-|z) zq2;Ed2LIM|Q%{k8!O%0mg%B zf3s4L==%gk!XBw#jisFq@Wl`f4)C@Ez*3`7p zV9gS3FbZYQ{V(_jh?&TOMK&gjnAUk#wWX)x51JzY+RH z)Q1zg@8t|N$}?<~V+vXfEA8_&VjN-UIvIg~$p&o}0rE)Qq4%?01EFFf!srE5QA>%EZ)4{gTCoOFLPTUCrLY3X5x$A#vx{1)rTM0IB9@KHjV#$?h%Fxy@c-+O0YD zxk@W^2U|$WD{O9t66H>CFytCAN%>>5Nr-~%U5oc_yvhl-)l#l}@B!(baA46@e#|EM&Vw+KJ$|G-R< zHv@bZBK13Xu9uxGx*v+KOf&n1qIR>k;PNh-PGV!KHF4brGC|cB=}9 z+Bami=Ch7G8NA>pf0Hx^K#W=4gDf$xHjE9D^4XS+5Cfsm{`ubA^)${3turnBXuHXL z_hlrdyA=x2Llf_aO{{T)j-Jqz<^eFMZE7$%SI)wJTQxu1XkSih_nKGXJJ^`Y6PoK? zogI}l^pBO8`igj6Byhj11dbF5CVuPWkw^BW$TuJpq!U+Uf5a#qwG`JkMCqu>KPamI z!V(sAR^#?$d%mP?v)w*-E_$l3pLyu0>%aKatbsx7HlXdYvsR-^Qd9fl>r7&2f5rQo z&5!?B0t3j^`beAydr|vyKkv~Lc#7DWg3fb#fr}`Y9>h(jnJ(Ub_GcUc&R_ z%GUk@-DxNRiB*8&B9A_@qvxWf%ZCAJjC64-8&brou_6s|atpa(7ZOD>`)RcMA!<%r-+0b%ucsEqG@6pZffVS|75viZETCTg*7=Uvx!3+6O-mrnaBH`0uu6=HIfy}ita&EA=;7w!%b?IuxwRas%zA}5+$1b z{Dwoqo?1oMt+3R05z_v*+_i^-H*nA0qd=@i3!X@%hgFIK^5!??AOOUtmLfece>rrD z89}#YmG`A~fDxZOs22zZjV=YPxF z^@hlnARV0#%jv^g0QfO=VBN+(X$hsdnc2zp?7O(W*)1s;NH?s!?NkyD+VyV1zV-=m&sMpc2}D6`0}4f1`_Q_{0Xw$DJ%xk=BB%?1C3J2V$z%Fi5h?0dxeF zdBWB~y6ee@hV;%Xz70@DM<^ZpB3kpZamyxoI>hDHfL(XosB%qpi7UudbypmzR>^&6 zxu=d=WGTct$W=8(N1Kf^i?qZ;a!y@7#z?#upd9g|;f|@1c@KW}oe(H!nj7G_x*!Rb45()qTwK)OZhI9Z0&VTp;Q7^8k6oj<;E;a&+@#IF?3 zOw?s1KAz8b#7#^IJkzSMnm9*2_d5`Ugi!BJi}Lt?(I!^#EZ ze{Zm*Qwl9mzah2~sJ2PHe^`zg5qB@|iae8@-tW+rS=N?aU~|fpF=@(O6KHdf>_z}m zhEZHZY9W+m+H)?MY-T>{x=|ajRZ$r0W=i^e(+kzOpq0J zi-6cmD2N0pn|2sQvRIm{iqh3CV0Mq&2mao7eG#P`bvR<1VV!K=e|&k6db{ancP}03 zGu?7Ajjgi_GmLte_}n6gTQWT%s_a-PehZRjvF$jIWKH4~xS$tu1Y|763>?fFlI$R!p2I>> zcKsE4Io^FEPs}Juog>C zi9{a-rp7@{i|=I2w(U3VZb3_g(-hZY<@dO0aq`pC=v=kf4-R~1G%%sZZz#OR4dG#> z(pelh6)d*WMVfeeo!$?`$iz{8_;d!cVafPV~sTRmv{ z^HC@xGa{gxe_5w93$?RA4t zB~U<8C06(N+K~YnAF5d7vH669@e@P^*1g~I`4MR^e;&TV495bPY!D!P$^>n4|3F2? zmALG!)(LISwc&!ul*Lz^Hnc-iTM{Rf5skz8W>MN4UiVUFh~yOBLBhA`fhQ}zs3sbg zM;tG~v?GtDSDyq{?@Yklr$Qhf(`BugWrj2R`%-&BZx-pFkmIyjXeYitI$;rTn^4%0SM0VDDnu^` zTM*SDKpin=*DqkVd8qt8HhkIYn})HFuBSh)*`!`z2*{|6n3Ct6#s%(fXgNxa>fb7V45W}!#MrCIe57?TQ1*cC}KsFT$h3KNvgA zON$Qv)BxEa52^^RJUBBP9W^Ujfi}BBf7oeBw!7oga@W6f;vYl16Ho1wDIu~Sg%s#3 z+S$!~rgKUCcG8fn#<9xAsi-R#w!;v@4*MsSLWlw99ec{^Wo5r2QSfe8%*9l_5ap`Ax#?if2sTb zVRJTr@1d+0FZA6Xf6PO_55%F~!X+5c*n3F*4h6=t$tRk(2J-HqrMI6m1LUMFcM7py z7(+PL!9`$oRntQulCVnTRXlPcGs_i~RBB{%wYs9xN*(=ZaUpw5AScf`cN5melI&6g zm(FAr&u-(8lot2wIY8m{88L)Df8q#)&$T1jbI!)vzE3XhHP6vlk#FZkHtawqXjAt+kGLw~>AS!DP-e@mD%FmYq$ zR88nf8Qo3^RjX}rpK!=+$%c*`u294}OyTj{dYE6vWQgRt0fNajv5L_YPkHsO5eRv_ zyTNb`Ip!pI`Xsx=5)Qh;tFr`cZY_{;6ItOF(VpRIDxmMm+A!S5pqFEq{LC>l9eGCA zgpF9r99qoJ2cW;I{fgMte`|Pi3Bc75VyX^N@&A}$_>#RVZKwYxvLe%Qtr9q01Nhh}Al`G;X z|6QNbZ)k4p={ZeSqECd223)&Vt_%ziDWd%#AD?wUaI+nqNx9c8e{KdrrLX_I4>;V0 zYLwb-3V-<*Fn_=M^3+Ao&Zvo;_{M$504Z;PC(m6k!g(}z2<@IMZT7^mOG#0`keYKX;DOLa24-0=7=KnRmBUf902Z?OJc zfl1RXGtU2zCB_P3e+2R{LQ1s%39eNZSvQ4ir8$39`*&)*S)wt{Im4~MBf}(ngjke* zh;*=*TIsKbAvv@^dhoS}fdHVm_8Y3V&`;j;ZbVii*b*n zF6_D=#X)>A+B%vS%n&zyGYqu?x6Z~@!ND9tj&sgj_3HLJf2;aE<$@*m7u5#dOt??U z{c2jVEYd4cb%?A5B|fBB8>KugQ)j=#;x^tGDhSl5=#2-Zesg?s z1}hsS-`Q4TjS7>{Vno@T7FBkDy7%SOUXOM0Hl?~DxOJCl#k4BzZa{LB+qT6mQ;f1h0FRA8ZYLb0amT@zu@Cy8^~ zMRzrmqtBY8I%Yta$cV@+_FXsPK6N>7H?c^tLmk`v;$8CFwj0E!uE8*Pb1f;3V8y#?@8J2A-Y}InPfdy)xOR{yW7HwdX!C2mf6rZj#irfKR=vAp!V4rB^#r9uV_dL{HINv&2tt=Ui%+$v+52S@NliFy75P|R zxu_0D@hXRu$az?ToVNTYS(|YN-5_PXLFp3pR$X3Ur=NYD-k>JplY3)@ULwfPJTvQV zbnnE%_6!KVhxL3j=Uv5UX&tByMM#$x@h~Vwe^&FKCP4;{n6Igib|P9?sErpp#;;ao zSS@tnj!F)x+ZFaQZ%>dV6^vuyRBf91-`$`k2Gaxqmm=LZ%?*2Z#5JTqgrXo{Z5 zpi|{nHTg5#e{rwc$ah9h>xI~sQK2?e>Oi^E7r6CFz8u|dp2BujL=>TpP zG+nFx9q+GC;B~x6T9s^5etyVDFljmIAYV8Vqrt$xIKr1zhdNxdZUEq7>d<3Gf6r7? z6fTve>&9KKNHmABz)hp_B$-xrvnp4adI~f){FC*Hb6JWqTMJ`e{h)~xBpjWAr}KRq z?3E~3`570P0P36A1<-mZhe(yJ*r5nsid;WLeyLi{D0*bWX`8xqKK+x`2ZxKe`Z*y<7uanR?0hHI0H7Ocv(~AcsQ!1nUNCm(xO5K zozBz%epKLbrQ5D-^;%={5Nl1%#|wwCCSQY?t6nC=Pm{>x~|qkLFx=TW&@X z+%0M7;P0$0vqO6xUxc4zzJwP-*#1F~2zlL@pv_?1*KLtA=)fwHNLhTS1E_po(+B#w2qAuFUyw zeZg65(B$TJ$@BQVu8LWxfAMnEFDL~*JbA}7V*CIlRx^ffOdv-xvOJ=RBC<{bVu(e_>t?(1+KhFjm}pBuH$^+hMGgf3bV1Uw%Se9H`qr zku&Qy3i1^26f?O)1Yo~$)F>OJ7mYaty}hF6VEO?3it1)N)HN0{E>JWLc&BY1MGR0Q z?oVFT$#n$@e)nPKOy=isZr|e|S5lX`#;8#mGk;DP*bhE^-~Au)J%Y7L*_r?hG$-(1 zFP_#JDdY9U-Qph}e~i069C96$FRruU-C8b51j6npSQi0Sx^I!^Sw_rl5Y%7Cx-hHVezWh(2>IflY={cW8vEZ6=B}3+^}2KNkThsB zq+OSz+?2i3QOl{S%00IvxhhMwYZYs=4o$y+s&;qU3x*aqtbg7=1w|&Xj13Yd6qzV>d*3M~jZ$}LBt@sB;1}9M88HwF^ z@CPx$c-&uu?i?#>Cd^vvOR$f6%S?GCZ^yq!9QaJ1RWX~tF4Ne0 zpN|R{Fn_McfVp8Zq^9w8)hml3F3Oc88*Wq;OY>{Yw3#j!Vvj_56BMdU7c3l22OqXH>u+b(~>et6EI1WaAetQ5WWl%qdtJ z)_?Urpu@|2NXEuPsi;M5j#2$){8t2A_c^O`&okf6pY#bwA>LddTG|sr#}y9MpfWze z6099lc>`_cz!S6>IZ&g*s=xaqit~SZQak{u`H{e(+`2;>tbh*UMPlgQfea=Rc7GdpT;?LdL{)w9JWn?Tyg9BOM22u*6r7x@ zf;5xTrukB;N~hG9-1$>d4v=6|*gxcwkZ4pEW$XBE;IFjYvbuLNvH3Pm?LyQy92_7V zt%g8-l?Or^%L|Z!1jpe57Qp7t)w0io4c99UvwV>f^0JA2j2thq@!32hy$&=KILTF{D-UGSe4r|56g-41%GqeHGJU!L6zrzG-hTTU8k?FP35B?GbmCftkt%X zce2$Rdu0s`M|+8SOiHe^WH)a6uDopQ77p0#ABP5)$W1?_LTxACySR}^iPPDtHWv>T z`BbGA!Bsh0sl%?Q#hYe%HU+_s$}+w-;s^!(N3KwReY+m9!YpQ4rl(ec_jNsPoW&vH5Bfj zPeY5=b{zWktXqAfdiWx4I?h4X(m3tH8{TI)GN`RCsPJ#&lFFU)UntFJbbUdF$0qRQRJTIsyWk zNQM+!Z;;XzG)ipwA646wUN{Q*9h{B&}LnGDXMpzCYuCBN6>h#2bSCc0(LMl zLD&hlJ2tC|z4etfXq)2y@hRkFU^ehpl~I~0`P!V?VK9EvB)ZdUG8d!vBY+*vd}VAg zszx%zYh;H%u}`NdMbmzU39mvXDwt7j?nF9+RW_^kTGSFHhm0L;H9D@ z2qU^xnp+*MTsGt8jjBqEfCDdEgAc{ETY{stgJ;OVm%y2*?5& z@ z(#9AYVQDYlDp}%F1&SC=9aSjtJmWrJoPrH@Tw* zx#dYZn>Liu*ro!x3|K>9<6*KM64+v;T7q*h%<>p8Jw`!YDm%BHiRO1zgGbz$hdPz= zQ^&yqRn`p~_GNDPM5xIzjB&zQKNThYT^V4KL`cC@&H(g|+m5>`-Kpc!Ij{8fC~`WY zt?YhEuYbpn){cKY1I<=2kWu3TexGh?a?D}~FuPu|W=FUK|0>|&iN;O}93WqF9_Vjs z!qZ@S@VcBm>h|Dmlxz3yk5Q!;x2qk}tJAVfQEaj$I6>OPfLgqT~Mt>qs0v1)F2XqgX1W zGD|tbB0Rh~6KD;{K$CO2khUhxS36P4WNhJcb^YT*cKisrev!)29kBzP}i;EeA7+aqkh#2K;@N=OZ5e?y_N8(`Gc{o(?VR|puR%{<{)g8<akK*jZAW1l%^6Ax}@?PTYW&@#t&Z)lEgI^RcKSoUh&uLs!~NmIP+5b zft}>NcB5pOi@(DEA}B2Gyo{gty1)S;_(Fl<*(Cyte+H3UVpwUf2iZnAUO#e|gDM2DX%%?n5$9N;sM>V^Q`uE zIgb>_FuY^A^x(I8yPbi@T%3`2>u}Xdy8OckFXgMwJnu|DwL}7OIudC8;x1iSg%1wz zKj$2YEtwy`A$3NCn}q5joEbBT;XG1<;4@?yKr~)TV7VT)#rrcJqgN_|zh7AA|(7{Fm(G_U_fE%rW z;s-uR70%hq%MdGr?_Aq*AbgIylz)qqF1wpTg2TJM4!bmqfymsIH;T>~x?TV41H$+1 zB=Y$CURl9neHe5Zd@iMQd77^V=`sOgG2emzV=&>XQVE%gnwtL^Ciq@p#&+<03|(`4 zIgitN>`S;%n!gq&>A|X>wEYvdMRMcD`7)z;`x8d?^DPA6*E&3wyv1@Y6eM*tTousr}Ti zi>N9K7v*I#496FgVvvq9$~21JIe+J8tE1)u09E);Q2?ygmC1JBL>2KlP+As!!d<32)C z*p{r7sID`&nQ&$)ihr0{$cq7xEI?w#dm|nX&^3~M%1I#}Cm-8)vKr|D6FN6?IxnH| zRP$d7s-ev?fL31b-yp0F@A1JBD729zsroHn zi5lQf|4MQKcr+lea|A1B78q^JPtZTR<5%CX=&U(wR|c;@%P6s0IIwQ|2tYcEO7g%` zWi!*6U>2dg*P(PF-((1a<7bMBS_Y2xulsJd@I!64eIm=_sQ2JXU}<(~Km88cZdO}N z{F6z&bGG?#M1Q;bVCi8(^CBl$8)AXYdPj}YNReQ98r4U2VcY(s<@({y3xU%Qw7jSq zX=T#40D zCJ*7#Qh)Qv)|=(4l6`&a%@^?4p)WR<^Q5_F$azC65~vreLX`c>3pWsPuJ3Xgjrv@~ zO%dfZ`otx0lXfE82hl+Q6vF>-8bJLRo>MYz5^=sV9|^1R+*u6CPb(yOpa@CkQoK!G z9s1g>>0s!^Dm8I9?SyVFg^;sU(NP|9?p1taJ#f^~tSA>y4u?IXzCJ&9B&K z-K-d{9a_jAS%V9^aoD5lPZkxuuD&kgiXwhWLrBMR<;7&kKQh^|vOCM z7=%DOuB_o1=MnwTCGPzTy?M=uUhacbWunt%0fV|k~n^xKsfloqGr@y8UUzhJkuYH%w*P?&c zzp1%?ZoqOkdA!cS#EZ|lIZD&m!6igc zKsRl@XqId5qY^F*>M=YQP59n(+)MG*a(_lvWi|-cSHK0KsCgPCsuG8Nh=1jwhtYd= z`H6#21}www#~D!=gTd6_(x&O(fascau&GF7>I|gGQB`Aaf}Ta#1_$t328PNi&CgAt zI^s8uT!g%GjbZ0oV)IjEI^*Wh^>9fR%e!VJMZjUY%ltuwYfa&Lh+r>&EgcT!lYg5U zx!HJds1wrZ0lZs&E&6l6qb&PS*@&YU1f;!qdogiaLnO(Fvq*W>Sm5J$Jg#`@QJWn> z>JD%}3j>49iHyJACJRleks7p4B-QFpZN_Q*^vKlm?BC@emQg2dk~ZLH4;$5im#x4n zc>+uOi{EMyDSI$YpdsXaB}*zx{(neQ>zV;W+{40&sWuMuVV2FsJJ1`?j5g^pb7)7* z`50v*<$P45T0=(O+~pfwyY2*$^QhK9ejYza%tj6k)3DqdN)QCu4dHx=ADr+K;xZ7P zZs+}1(z~kcb{3SRXy2#ebmtPnDot)i#yX)lA(+N^xIM>z1%`-em2MCa_kV*#)D{ig zxkZd}Y;)hBRpvSQn0r>Q<@M^(^`~kc7#hrbRiKgAy@UJ}tYN)q=IolXi^ zn712!Cq^9%FHl?lv+{%^!oUdC0x-M#S)@=PKG#s8^Lgh#jXT3KujzX+RY8ZRDrG^@J8pie;3M{iJVIscbr9-wqdK4o$? zG#Vi3!SBTG3^+J9QQt4PNO=hlUt@erGeL=J1=esPpVKN^#(-sJjHBenO9(hmkb zEoUT_c&5c;^R95;5r474gJO48?^+XdbtnDxb4Nm-N5($L{o&SUBu0~SQ>1r>(uy7r zR-fw~Ds`6*?GVh1baH>#q;b)|*Wv0i#WGUaL04y?XF+jaekVbnOdEQFpt)kEeIoKb z&}M&@ajFe=D(laYOYyw$Vo>Wd`L_q-Lo%~~6!d4A*~EzCAAd&Zlf$TiSZ?~3hgrO- zmkdlg`L3WujE>r$=RF(csBneCg~5vP3#Rc^kQL`7*eshqNcQ3mA7>ke&im3~f6>_H zUxDuSddjY;(U&@CU2-J8+@Q#CI^La#$8=mC>om$;iU1Vps`4+4EDYy{>jct{sBX7w3XGzZ0x-10Gi=FQ+p7#t3y}B-iECN)V`s(-??1HjR2Ok^Zuj{yH9dh}f`RaQ~+PYN$lM+Q;(iKs9sYKyOuP-$4RL*8di zB9xS*T8f5?77!jxr&Hbg#|(`hl60Hi=P2pV9lfw@^%~lJF=H4igLi^#r0AWnRxG!P z8cACP9X7$$2h}l#bv~ouSI9lKYT;s3Hc*MH-hW3uic-@=zTf`D!UAy;e$^F-GJ@)w zQBP{=rCSS&LojQuRlv#>=7NtHb|83YCG~2eM~~*jkf6h;s`qh4+xF@Wj`n6fLTUQdS55PkVvPu- zG>_&F*8yd$BDXAoH)J~}Ipu=2g;!Nh)VU_}Bt1^Fe)PLt8 zlE1cp*pO>kbkR(oa>8J*SxBB!HnBam*1>Oag833be}e{pZZ!4k=Ks|q%RiJjH+_x( zY1pV{Vb`QV#*hn`W}x@W6>Vx_AvT8Xw(;}WY$#7nsJj*>)M^+i{aGyp2Q}zYUHe!m zmK?UR`@EsO+lt|3F6dv#Aq5))tAF4mgLlx`00Rcyu~sfWSvsWr&X$~EarwXacZ~d} zS|6IpqP3Y5;CwlodOZGj_VF&KK#UW;{2-Ct3GiAmh$LPkFtmR)q+jAOKR9dR8u2wVkB_htroQloy zv@baf*gz58-L$qki5m*DEm)GhTcf3f9L;u{;jVZB-a^`yd}Ytw@jN7n19Z?}(!RD% zkMG*Y{Lz34U#9rEQR5&~b$RWK7D4$31*TemU#) zt&w-3`sc&)q>Rkpuil^ItczWiYe#^3)~+?s-9{mL8S&${tVZS^(SP#rzmsSM>J}|! zp)5fE1OGS|?trk^p5*#E#_>DwTMm!oELZ^}F2{Ol08fDyCk_a_xydsk4W8m>BO$ZQE%ab4&$Y{%?|>o0`KTnQ;)sie zvVvbXsL4MN&_iaI)PLWFSfhq2a0Opanh*av7GSmEQj;*nsErJdrXu2+iHb5Fug8Gg z1{**Ga-u4|$NN23GvR=YWo_Mf!7jT>clp zOni;Rk#H3xmSYN&x5@)qj|=C&mfcT>D3j(S15P9iQ7An}{(o&_|3*9Qd9M|E5`3{- zLgukbi27N_=hHq7>Ka1EQ@WM{0oB*NU;iBK6N@o~^B2gQZHm zxxtMY=L^s}sF;W&srh0yYKM5`*gtgLznch!Z9J#Uf867B5RT7^5K_bNE|~HMPVv@> zQs8MUT~hD)E`Mg+aYA>b-xv6HEbKkVc{V_--Xk68Dt>mQ0h8jS-x0A`3Jul738V1O z6j*(FOSX^uf@N8a?xm#~49Ki>B;Mm=Z3ZBtDy?0IwpuG7tk~ynY4aXr5*lxX2c%Es zS8FCe@dRkp5w8s1&1tO!VpeX?9!9#R*ww+g@8*u z@;8`SU(btGgDq3f{iR%ypiXeqVFSRM4WJlsgb!=#I^28#ex~6zmuCpsksfI073nv0 z2r&5Dgri$W>{atdzDGb$+*Lh#oD{) zgeP71b7@Ktyh*T~X$4Rzc4w%iSljbse(J8^DLyN17WmC^I0dTms8HMgix6yPAdX97>Yut>J_@eqi>F?ZCc9Uf> zXo3@L;P$Ywt&e}D%|=Iw6?H0PFdDmY@e0@t^BDVco2q+TTs1P)o99W&U7xB7J9JrP z7k{hVJb{_!%5+BLJXYGbXH(LbROo(X& zNVP)_qy^Y;}{@=s{4AR#sT@4E_yy88+>CBinSwI77#GC8v<9~EMK;wycN|UpGum z3Z=c6AjwKPlKM<+y`n4T&%Rq%ZL?{^)3Rp)J>lLCpp_otHfz<+_UT!DPxgDsD1WT_ zTzb=BqFD+(I1A^B4laX-r$MNJNA*t|f07KoKwA|XMP|;6kO{^-LVrDG8?U%`KUGlJS5y!WB-F{ErX^*BBb5l0_wh=C zHG7oLeMF8%cw>bsqq&~vq^7BXF08^^Z!7gU(iKyRAZhHc#r( zi_JPkjOlD$vgz&E#FKj|P$HJQR^``8O_SL1;R%dxyBuPsERrrQ`Q|&qsecu1gdR$8 zJ*6W+JF>c)e|SV!TX%2DFmIl5QwF1dFFp(#E#7{BQSv()-&zhJP}V@B_U^ejQxs7h z(&spv4&NsXfvS*zNlFU!Rm3=tkT-slFkuRHzZ4dJW1xVm^S?JdFMbhD+wbFg3I+7D zUV7-X(mfBJ2r@a;nHtKjfPb_#_A18buSY-kBT^!vAq9BU26q_XZPceHplexozFMu^ zcIAI}4S0Na`fwRya$|wxRwA)dZO;FK6xJlgr6pTNS-9)}YS5>VV=fz#)(0Vgs`m9w zD8;qhE;m$m0gje2Cg~Y2qC8HDo&YHtWOJLZnRaX_*gG~fRv)R;jejL@JzNsp`3?Mb zd^a0#H&0ZW#hLEoUl~T*pHP^(;5y@2@lI3 z`A8P-oF1wQ%m8O#Y=0N^Wh)z3I}Z3$##gO7nT3IHwkcP}WHq_S#$~Dd-Mi{AnO1iz ziXo^^RSZ|qGWk@!r0+%SYm|;svs9$=E+3w2%|tl;;iq;0%HDdxcLZ|75GtONGKx}} z)-Vhp(ZI!gt#0kg{+epgKhwPIGRR_sgy-mbrLFUUf`{y&y?-d!CM^VhutilZ?-14n zE@ZDe!Ryb4Az@)SkEfBiA07JT!&J{2cQY(_Ck&mLQh3aB7?4~!g-e6a;ILFo{?OMa zq+{g9+mNh0pjZR%f8+%93PwK?X14sApeNS)nAwRH^D!eQG1W8U%Rlw96w{;onorZT zxaM6HxhdOgZ-45d0PZ|6csj+1`rAj?`mK;UJl=RF&A<3Y!^g@*0CjBQhB&A>aIv*n zg`;chjPioxy@n|ld_WLp>Y6uzWtXx?&tPP|rxJ^_!^b&Hw%W;VDN~+WB2_fGk02rz z6L6Z3cCI1+`fE-=Y^QD{hZf&-a?%|;KU$cj&`)sCh<_dv22+_oEJ)aj-{u22?$daKv5<<4R*D8~Pg zHUREMlrwOmq^)9bcD)Z~|GBup;oVtOz%(&{;aYRoQ`U!$vlJSh z7s{B2cYh}2kyFkSvDcaq!>)KJ(MJh>HDm%zIzSQ&W8*2FX@)9>THrYMB9jH0h2o?I zxE(5sYQCxW!er(Jj$`Dtz)e!ax5;I;RMgEQ^F+zwjiuy&5 zX<_w%#OTx-=Z!4Y0#hI)gRzIypUxgm6*UBg@tj1jiR!+1wwX)##L0ylF4&y&ht-ig z(e^d29r=^5GE4@rfXGpw zLQu7XYFsxtgs^rs?JbPwN6V6UBzx5^|LFv)dvUxy!ZH9!93V5ZhG7|RP6M&y5#!LZ zHYRZe5z)YJK;f~mfw$o*={h1qo$6mB$$vR>O$xvq=ZzY z>Jp797gDCwJXj3f+Q`ETM{gBm07RolN~_r2v2g&&i=HZY-B6)isJ2GssDL284;Z%zwss z7DXJaPQ`cQuc>Yd5=7_FV9!Q#7JH8DtGd!U`5)wry6mN_2O_yO$3?}3d&|l z#RY6>&{YF5j}3YkAuWMVu2r{}h6D`cV}5_*5M7iLr-~3Y&t!arlN>b9eaoF<@A&HK=me6?4v9NwI@5=(O#3P7k_8%K=!HK zpu)XRIhJ&O(lLlE#X_s(=+)nv6h`W&j;PDwn(P1eS0V)Gb}%&s}_mgeEO`OtX{)5rq|KIAY_FX zPiVuS(0kMqNw4{ux!du-8-KZ)ae2#pJ&q}+z$ng9aEUUXkWYM^+Pe0Ak!c(WNC4!? zw595%Dlf7Q`#Sn+rl2$KNkjE*69D8_kid@irY!1xR>ewR** z+x7gl=WAv87u<}0?tsoDU5U%D4S?o4BV175I{6u zmLvI#M+f;Mt=897r+E7gmAhq`{zd<-%8w($*HTpTLE+PcdJg?dRH@1%?V*eRfW{$i zSjyOO=HgW*u9}eS@`bHAHFJAxhvM(8LwChf61JLwPVyrGq<?P!WxJ`*x?KWD^3#)CTho-MhBW-vAP<9XWLPXf31ueQ^N!z z!(v>{jb>)G%>U)x8EO?c?qknZUoAbrvSgu3doi*rJtl<7E4eRR#iY2b2m-(Z z!n83JsjYV*p@Ki(T1lP-hDK*4k|mv8GAPhX4C3uNRz*h!Rw5cB&d<{VqqW9r(}M6Y z0*!)%4jz!OSUO!(Bu#T+a=#}j?fz)gF3e`d+9IAfKj1BWGy-6StBTx?s+@d1 z+@>+H{CsUt#WE#uXl5v7ZPR~i7^{Eb4aBoEWTfq5tgU)UFj~M5{^h=$)}=b@-ksL6 z;>KW6f^8u`{RP9y=P-I%Y$MV@DHEf(klEg%*pktK&12f=lX$Z+^SWaT2|$!h3G&@{ zEq~`V3zsO*RUp*`o(tW?U*F%%)$&ugZ$quP3#a*>l~3%EUFu;(vL0 z&tvzXAJ&iMs7k2)g;t^*Glq5z+rD?T@s++;f*B9Ry9u1f?0LGHGCuxdtA_yXLg%he zLu@#|EVTwp&?1u=aN0j(dR6wH!$|q>t8rq&mjO~;a;wv zZtG#x2uHb@h8JbJ4cm(0lZzzF)ql-R@kmA)?+X_j`$ck_LWr$f$HM>9&Kjx0@9H;uu!h*>d9N_Q_J-xp<&a`%w;D%hlsV>j%y@$q zumL<#Zd}(U<&i2g@!~ASq<4f8dBl=R|G+qZOiZPnu=i~mZ zIs&CZhlFHyJ4;@6V--c(xPpRihOS0nA|~2f;$GKC_E^Lxzn6cEQ2){NqeRw2_Ye?Q zrF+{V0bDnM+DDTuLdU4v%r;+01t!lzv;DY^yX?ltp^18@zzAtDcf=!-0?&b1Cx5&@0o#R}HO@>A!2StWSp+GcoVH5?GCEZ?K9oJ%2G_1;2p)`ywm{?O%xifWd6!V9^nmsB7bu@2 zvza~tP0F?Xs=%+BZ2L6VSCnwu_!CE3MjNolq75eR_|@<%I>8k90e@Ocm{9tBZi--( zED|bfwsqZk`H&?G&2V6yxb$D4iL0`-Qvchar2GfL!khf>1COFuM6k-GH2=(C`h?o< znpFid4r3a6NtPym>D5GkL^TgTkUgJ>m%KKh!4ofmJlVb*_r4TDL1!NX&Wi~@RfdBh zGkmS}yizlV%<1e7*3yVcf{w*?f7d(ueA`^VZnmAy z{W=vze3B1?aDQnjstrqfJn6%FafTdkg$J27np(T{dh8rdeyHqGw$8ByU=dxat~a#K z%Pk<*Lwa5b$yOWv5t`9U_oE8vPRskxg#)elITTdwkX^?E*3^~&I!-<0ww`d5{yHe8 z&c$%Lu(;ZQx{dM1jw%zFnY6?J%zl*BAN^S-txp8st$*fSazjE}U@BSCBEuTI)ep>5 zAI_zI+Aq-Tj#e5;GWs*p;xwibI*I0QfnOA})XZ@SW?4hNol#@ri0Ux!vfhU_u>_K~ z{K9}&&R^o-_TuYRVfW11W1d2gu+sbOjS^2f|joSNAdtA-Vh zilNq5e1DzIN|scEsqVU@;JIJ_s@3$}51M~D)KPO|bdr-jM*}jszHyFVo1YO(#wp$3 z{DIHoN^a*L5!SK8rdoMYpj(BUSC#qFNXDuf6i?HVC7{uf^s^mkFGT6g4md*?r=?Z0 z6***%X!?3G)YVA`UZiI}x+Qo~`H--!54LbjT7S~A5R+t4V150m;*U5NBY45y|KRV~ zR9Hb`_VddVJ-krH&2T{38^<@}13*o*K{;L4%JEZYb42G0?)j&O!R8r-P}EC~dK?ZS08B$$~9fxiF@+i5C5pYX0An{T($VMI&t zxPM8v8}1R{;c>VjrsqQ^E3RuMt6^rmldiV@E?6jIgd*I+7B|eFZaK^{ye{8lsE~e= z6h#C8q=Cq3KUsPgg=<`)jp7Z|F_~NAp8hNtE;}^QLA!V&qc?!V@>@dzj1r3EH5GD@ zFU!=67KC~WNyM<&3VY$dG|1Ur^0njJNq>@m!!_M-u&T~nHBRigpc}jh?3eRJ?6mzx zrDI5D*GX4V(DjHyD2`#C!8tCzE0xY6Q#)_89Cu)CcW>cLWFi%g7c$pJRa|85`O@xRcxcJfv-+gjKaBQyU0x>#%ggQczPJBI)tE5Uq)`M zon&b6;JViAev|E;!f&9$^TN>Qb@{QSrc=~=4piquot@chKa`0(Y7VrJob6{-n`%1X zZSYQgn;KxfVUpr&lUyrZ485ir(|`7j@NF@^{SI6PTg(xd5ae1%^?!$^If9yT5AAt~ zH;OZBq2z;)ARtF(@ras!w?%G62irHD6nc7N1$9&?4Gig^?6>Ng%6Jaq6`6TyySlp1?iQzWr!c{3)IthJz z)d{o)g;zs1>gu_?PXf%EO&I=sMba{O>CQq+nE86~=cZK)-Z8nE?_M|J4k`NxjmLDr{@A1o#k@8Zqk zX)W?*#~!g4jx(&%?9 z`pTVf$z6SR{D1gPPITX_Lsb>4if;HwR4-`wXJ&f(@Y45I{{uL&9rC$vM$gEP?k^;? zmtvZ#=1G}%&SCr#93nnnWCub_?Z2oSa;009qe7`wXjm%G6rgSYnV6U;M@;oV#xHqq zV-i}N`qi5X5{nx2r4tbXv_xJVjImyGeU=Tc+7HQQ$$x`$86C#%9GuMjc1*uUcxZZ@ zh{5{RmKUqYe>kyK%L^ZVaFQbLdsy_3kN4EbBLV!2pVV2OUpdcX0LCt*FBHBzgLG;D z96;m0Bn&;G@;feB@*IKP;Mp+omQ_q&>c^Xey0MN}%}o1<#vaK&R1UCslxK^x4?ldD z_8-9nIe+nQQ|DUs?t%B}cpV*FSpp03v0Y-@!xLQ0&wpJWfUXfPv4AP)-}R}>K^KfB;lsgQay7Pg|T^NoZM`N5*5d^d1+TWEuI zyFYhU{tFR8ux_eN?TJZ@11xmMn9dh7R9`+__hYYl0#<0qY!iR02>s#o@-$HM2>zx| zIe%QmYx3d@X%zVTPPj^df~@G}**(KTQ0g3PTQ3AqpVsL3mwz#upK z7?7r!05Va`jdZc#ZxwvY;Ci@m0=yk|Pk#zokS~+t*;ISV6PnaOrC(wJ#%~DIWJB`g z_Xz-0?Nwfeoh|1ZDNs084fKhJAbq*_ocwx!^{6T8$qqR$Ef?9vK21t@%1ox zHl_XDvncgBsetMO$Z$MA0pdRG0+L`(R~1R*cN#j7ajYdh`>?2|vlaN3Y9e@@Sx5-C zg-nYNN0Jf7_YpZe*ouK$ia@igss-mL&sa+*<$D(5*?aKUX71pHeAaDV;p_kT(@Abf^NtED^D#3b)OXod00?STSnWQau ze!XaKlUZS7^p)Fs#l3Sl{4^m(f0j6FkG@fDON1fZGnL3tStK94Wa_3pySk-+_;5qs z^7bs4lw$(q{?A z0Ow$y>?qZmn97d<9jC%pZSDkr6F12)m4)p`eh0^83NL!{^W=?9&riVy{-+$x__UX}kB@lhp;{V9 zh8xrZCr~HB8Se3ySPy(eh%2Q;HZ)ELeu_!CV47O+Fvj{SbVc1IsZB@ zT!c-bvx39%CdPrPi&-9jerX|KU}>%wyo9~h3}yk6$GZy3(u2tJ+}JV!3tqP zg{L7I-2^YHK%x?du;WnuODwG3Mu6!KyaLMvup0gDu_cWK)%N*+CAo}J3r9Iooc26d z1LNwT{$C{zuk3E}OtOqg&_%+krzMjQ#E|3}^6T!gO_T{?Q0FVKHBSiq$S-8)t-=QAA3)t+AE)v$yaZ7}DK-`8|!5_@zZOZ~2V2CFR zSZdYbrsdM`n@;e9_*_m9c?&;%{>oLr?^yKCu6;_~%=CBEaX~(}*U&Guoo0Yr02UDe z=_Fv)vXPkAfqSbRo#m-LFZb7$2QVL9zC(Tt(^URiU#&QQ?ZTG}Wig?A`(J5Pt9qlp z^Oojod8QYw*;0eXQL$K8_x`1(5q00*!f?VOI9xYZHYN0{#p>Bp`g#O*`K zH3q0o^~-?&Mv@8UCIY^DT0&!b0QnNq=c_A9fX?Cm&L4`XaWNfXSvsfCIFrPAaiYmb z3KllHa%Txb!3W&g(pms$#NQ;mP1%Upl}4aC$#gr5^4?rO;wJA(d~aEVu=9b(lP0~Y z>Wy-LPW@YYgqZ}_D%VOac7lE_0zp%*N2FntU~R<%X=NZB%i|uyz8b7iLX##rmPTYq zdCZU{{axzs5W$)I9!RQlh-lKyHvJT~7QojU{d@aR^)6^6bx$E&+{YY!+UMPr9JM#K z7z^p?Sy%I;r2WY9!QErx&p*#}fKjIH)^u5ac3KcDYNbt_gQzGR2}~w!q!jF_VoK_r zaj2wt&AON-9P2B= zE{-c=`3g#zk8>X+R9Nm9ZxF~*e-omEXerOP+%F6k?-&q9aylJ{{b-ImJx9$~{@2c0PvIX&K^@RmU z$4EDSxmxb;C>-OjOxsf{tgESi>%HOl!u#Wy*e3!hFU?gc;I78gys?#V`B++vR07oy zJfvm_u9GOi)KY;CA%VcQ?_o+xs1s`icb$h-^*4fV0&_Oznoa;eK)}DEPLhKNwIi)G zuCR|myYE6Co*YYZ();DYb9I+y>->=l2A5x3LH@RtON8)GZ58~cq;pgPXx(AAe_fCR zYGgea1nSjBV(tid^AQQ?igcU;$E)fYoVUx(4N6wBap z_3K&P_KP}lTAjG>p9!}~g@$87f6H5_tDMx}H6VSzJwt(5#s;sd{_H^iZe}?=qc$3_ znO*0rC2zJcM^(h0xrtO$@S^fHEbF`7sxRJrr?y=M6Kty}%e81gyPOOlVNsZ-@)sYVo??2b!8zHJ8coS~^ML|=!o?79l z&KCk;E~sA(8XrC2dK>@@1n| z%n{hHABZ{*NVi+1l|IAe?+L`<%Ct=Mrr`R#f{PwZAX;%`t7`ihlL*Gkq7lrGhgZT1 zzkui`W_C)<@j@CKj8Oi(38J9e2Tu{9yV0GGjRF1|T7JA^C*3ubf6ksup`hzTM^tjl zfrw%t)(SXgt|1aQ%~(K^5kn$5c~45RnhCh{7r#);Z@~_NUUp;YjIrdha4gq{Uws4> zBio=G`kj3UQ1lAn_4xC@nyHz5K#MMVV^)5l{c8-0*T_Ee+MU7Md@28(V_i_k?f`hYS*R~)pKZPa_&)a z^ANbB(@5kHlIIG6xhaPjR=;DAo<_G`g-mJn+Nnf9CfgHoeHwNNpuLN+*X9r_o2SHl ze*f(l^WCr!Lg!gVtP~aJn0_MkGLHGr{gRzthTNGn8R64 zFC-unCCKRn_an$sVLcq+x;w6uyL2}NUH|#R6}>T+>L?+C+U9a8k>}ntHR~Q=A%{;< zKCYYaIx#_de<3x`s($%ust~D4*`NL1CNgOuhp)LM7th={Mevo|GR~;*+~mk3cs4A% zu^6fGOlbp0=Pzg zE*0`X+Ea}S;Qlh1+#bdW85$r(U3WOkZZf6iN+{(rsh-^)tlUt1j+;C9vv@e7uGC}f~NC(gE9OXX2KN@qeq)H3(Dfi{4- z6vH>RMuYn}dP#RMFo4`lFcU{ZM@l0}+pgblBs0W)C~9mv68QTiAPLo`3@Ev)7CJ0j zYdv>Y;v$)z$=E1myr&e&Qs;-HE?NK@jMf8wywx10I)=YUB4CW{7}8DJ!Peh~m9 zh0UgSOphGg=Agdo2Rw)A?oOJp8bV^!?eFCHk8-0-P6@!7EPgHo?v+xL!CU)pCqm+? z77M?~5kPqmOj7hWQhm6v5{+!+6)hYlBKrYB3{8tUQE45WpLv z#Pd8{uaLhmWL!1Ni!h$E8{NVW&Z@&KJ%C%0f8yJ? zoGGSMB;Pmu@8@GEM-@QX!0uyV1^QAuvNi@7uXsn{^79o3!e?zin1;K(5q@}0_TU=_ zzD`dcHeI=Vg+uGoWzJtfT|RSc`6gy5>oaDG$*oK&VnY>NV(XVs4mBMfu@d1hKz)OK zMRJ{)*qXzm5Wt8|2UeI)7H;*p(YzJx^@B ztB7Nu-Iw?vU2T$nC68XJ2^Lwr)RCiufw(svS#WFJuW>vVrSO<2wAbQuXWWR8^((ps zI4$lz)4pk`DMAm9abo@ifOK%sPJ2IsU2>ZXmPK_Kn$`9h81>>37elBie=o8Qm8we2 zs-u%`5+pSMMDQKL)5LkWSkN>K3h(BTVSUj(h8Ws!V;jB+=JaEGr6_JbstJtdh+|mb zoB8%FX6_*6;Fl?;@65P1Ut|QIiBenkou_VS`U~BTEGkgJ{^|h`E;xfmlfOxDCZ0q@ zYoc!adD}jVVo_~B8`QQGe};*lExRp6V%NeVU@h?;huhIS- z=j_{=;E_3&`5e|Vu_(AIND@Zr50||$jZwiE;>&I1&0xt8)={qacz)BaX(1>lrFPg2`)^O@^{JEP+DQm>9x~&S# zcZmT4I9)O%TJAYbe<1OJ5?9)(~WFqvO#e@u5NXeBU&;|}xKEGu^cc$*CDRi)k5HLJdR~w|EZk-S| zXd4+N>_pj!f9_ZY8c;p}>$VgU;zMRwI#lS!G6N;U?W|5Z-m`Q4XRzJYy<2G4__gH! zV)v;J%@=&~%E~Y3Yn}WD6M#T%of4#q&H{L8GB?ThVa!T1%jw%fm>F)bX;AFUZir&Tx>3!)?qB=(kY>WDn{iDz7 zqAx3Z0n)~GGVXn9^RYJ1P|-U$*#{>r%l?ruS{8izs6&Oq{mf9jwWsUaACYEW=S5C$ zS3v=BHDh3^S`*ipcRdEVV*6>Anj(_8@QApNe@T(BVfXLE?!J{BUdQDm`&I~y3+op; zgLA>?F7=iDxt;&Q4An}nFOlB4NU-Fh?UxB}rW%OuZoQ3za~O^+F+i)%0O_E+ArQ{C za@(k8J}flaf=686hNIdG=Iv13x7i^`WFkE|ykm3y$*?VQB&F~9(e>2i;x-EQ4sURR ze>(-xN8mLb1?@8+J3Vlwv5Yk4D$>{@E^=HI>Z?tfkC6_uiZ2sQV2%TuFmTC-tMsiv zSQ|XO&lnS3(sAoUU{_l4#A_0&2n6CA*15w@Bvu;2`m0{)2w||(dxS2i5x?k)S~#z= z;BtxQpW))!uSY~hWwR>~nXFLe4Q#=@Z z{bfdufE1OK=l8TQOCMi~tKUV+M+I_+vbs6p1Wl6OK$1<&B%jMxH9_FRo!Y$Pe`iB{ zB%;s2l@8fmZ$IX3^JSvIjPAM;;hkO@iT@EBUe-`J%xPHT38=9nNI0`VD4}{ef7*sP-)Qki zq5k=92MN>|pX{`qG0y^6Pui3KFB-ZpS3}4TU5k-Q0~W5m$y1vcR39vD64^XAd_}ZW zPzSZU_rZ1D;Gu?o<=T6VTbQ6NMOZYRnJ?%VF9l-qWlamP|wqdh~r-Su&niJp$zxeOx{A!{#D= zG&=Mw^{|3dwwC7B3q#zm6*O0&o;00y9~XsIVvvncmuk5+bV`34a5lnAvYqp1ZC%oSx zd&)DujqcxIeH+n5b*=#oDBZ(_zbzOCl2V9PS{G>;f3N?0$lVNFNHF=P0D>HC4)Hrq zFBZ(lx+sSv;8o;?e+>tPzjl+yxX+f#DzGY2GU12QTR&DaP$-|26~j}Q+#B!MbfxIv z!Ts|T@N=gbhwEvKQAp~byaV?Pj*7U-XnZDDc3l)=ib-cWdmOVsuK%;*`WXG-~|?v=;tu$`qx8l%dEZr{F2slGm9tjC&0nW`Vf>GPx6x6K2^~+Z*D$R z5B*M?;X-Nd3f!}`r2uL6)jDWImAOd>a(Qo24FcF`!XROcDn6k?O%u~cI zZAv%mR`2p~YGR@lnccCS+ZTU$lObJF(X5RekgX4$f0QaN#g zY>`O`e_8PLC`XNO0b~Bj2(-MdnWtRJa~uVBd7to92aYFoFQNiEAAa7w3z2=@|JBxz z5T*YzIw86LzpuW&Yw#NCo~;s?&2_PkTI$}9Jd3G0Np&oN3ckgsX$N?y=o6X zFKznQ%hod_JZkPc1oEB^yVrzOsv`wzZxd3PFve8TqEaxD84y)$qdF`Y-PYYa$?kq0 z5O#pz7i<9?CHJ6xd-C;SM*)`uJ_jNXi3o$a4mZB14TB{NoL=+dr~RImRPlo2s&m_( zf86@ZZ(AAVXkDBtb0|<4hnBG9;No~H%i^D!+)RrE4|@acutmRwx&xpqI{7MOWvtaY zJ0Yl@O_*$Gh3+-#VoO(2P#iWDDhdCX233oM*l6zZXPzs{d1_mI)wjbtJ_iDIhi5{x zr19YgGY5%UmS zx>W5)96!G(RwPz3J5u7Dtw6R&@Tz0jkw;bor#;}~LF(hCzSYyNG?oP_u`*}?D9I?L zAgL8g^v{6wLOQd&AYoL&{o#?-A7unK&>jL{H;U0wjS6(cF=5ybDQ(X`E>hDNe-zbr z=|?B>O#*-&L2Co?=TtBk3e01i-B09ed%Ka!_1({Zb{w_l-ASPn`U5}>G8ak2a!X7G z4h_zyEPfEMh(V{h=_)VGFI~>WZ)1j-%CjR?f(;NhMS8@=ICD6+X6gTTlZ!!Dymp6E z8HKz~Az%ge{r7_!R&i{{f2-?kWnHIH*FF@EgmB*FW0r-*#NnU|7D->ji4_#& z#ZQ@t0cdCW(t1J3=uhbRl8(6HQvojNM{vwmmrbnNzsT2EaJ<|pk+Sj$L>j<^ooPc& zAZa$5(~Vq9;8p~1|0l5z%_yIKd9@-^n?-+lUug2QGAl)b5w8N2DFrZte=-=L-9P`p1w!1crVYdFjF zp47DT=*vuL+8~@y5}pb!G-qwR1=(1}2>T`VJnyJaL237IB<02cSNzlUBP+TM%g!VS zbi;3$zIzuds`kfeQ0M1Jf8Hh0?&kf-U>+y?=y(tYgnjG-hv?r@4Fo6@v z>cT$?8bI{4*w)*tT&nE1WGPo6Pee7-;-i~!Tkw5?a2nl>QfRAk(eYW_Y;uO{szxFB zC{nrCvSKk^a&q3pu(Uwmm}HfwYqfjOwh701v&D0m^3IWyqhtTSe^H|`{vGBJVrw*+ zuBo+}1&E{e7z3UTV_LXo5F!;%QIZaty2BDMzD3X*a|MrT^WGx8jzRWKB%j-;@_n$XKQn z>zfO!5#%)&l>91Te@W@Jxg;X7qE4uWsu7wjfcuO5-S$GYIA=YN!D zkr*8r+u?&-ud5{#iS&Z7CQ5o7)cRuVw1_|ZRc&*s4aH$0e`CKpufxm@&d?pq;cQvG zjZCFrqC_&YS-w!WB(WKLVM9Cj(-P`GTn}+D2`;ydiDcW7PIhw; zsQ<)FN=)I}1Ft-^#;3JP&)<-&;!)GsEP2)sOuV1&ztXg ztpK5<5^$ccgYy;E)E-1(SQb2R;h=tTp;0*4-c6~pSx-7HSI+@E$Tr0of{RY{e31mQ z?yLfd>DZM0+1%$qZ@o7%L0gydbhBE1zn*YGgw z6&bbbfABMgQbl>JYAT)mjgNjEr86(m9Xdy&7E)5qR^3;?eNjm$iuaDzu%~K8eLuedk03gwK`fJC1un45)dvRG=mB0INoPkC`0g&bB2b&1;e)vi}Wk zJY2u#cdG@qc5K5k>}-fR@b{V)=MV}Sk?MtXRpS4YjA;D9O-ce*MDm!4gSaR!j8)Wr ze__uurSVyTUnFe3;sR6*+k;fLA>rBDc#jkpG__d}@n+Z7Jiyj^kiCx(KFuS8GidoH z2Y0S7jjhSb@a|Tw#?8BmGUKPGt-<*srD_uM+d_LUM~$5)atlU1h7G;~g{_kyL7NRf0Aj8oEIRL(72Xq)z%@};b8H$5&rPYuuxo;U;mk) zP9z;%iKAn+g?!XK7Mx#XICTw}?y&WkYy)tgh1+$re!#oz9Q_6X+>w#gCh*#vlCWb% zCK?sr#{K##4bgWUB`LL)lzw#19O8XJliSclia@ZjO3EIZ1DG*oiM2`ye^WSh0wM{g zug&P_j@~S<8;#!zn(8f3r0wX@K0o5%)rL>V-p|~S#-q6Wt4S^9Ldr_osujRv6g*h# z05Y|x_jcu)f`uh!Ncu6A-yd)L%-OJG*~4tnNjutzQcSplRIFT?midnx^Y2I~mk4q_ z&LfGn5?ujoV|pfnsLD0_f1g#vUZx@eTF#CtS1=@!;H?a!o8j<9$~IS`EfY1pb}d?& zF$Og1F0*mNIO)22R#Wfq1bV^;FDZ57PwyL$wst0FZmXSE0v-Cdb0a; z!wceJXX>FT$(%3kA+jp?POio|S?!%NTWpGKcVEw@sv*d1hFc+H;4??I``KKr(@08qYXD7h$I1jUvmgEXqjfns&>2a6e! z;*-TE;qWA`a(i}+?Fw#Gsi^kJov|w3VeztUKqub9f1s1M(S1=6O^-4h|H1WWFhg|k zwZ?SqjA&uUsi&R#?N@O3r-zIlNrcX7nw!o{EV;)ooEtLT6*Mv{z5a{(#x8>vIcW!p!{Fr zHQ~RFuNBl^=Ba@CBmi(=B%H8MtSEw;Rl0;KtxyM~ZFPMwV3sgQmcl|D&a%y5Om11Q#vIlQp|8TrJjOR&(VREW70LfUe60Z~2|9QM)Il`3o z>CawKEy>8?Z!Z;E{|zkYrLs75EB}%p7tJJn0 zHTF$g(CDsM0u3vhvZtwcvBi`J<<|=HXNUXBm=GXq!YsnSh1 z>hes%e;YX#l7(SO>?I}OCn}~r<7y zSba6U3@brRGSE|R=Cd}Ti@OI^wTLM|#*^fdCFvl)1=m~y0#sj$#3gTZk zxJ}?x<(ORMke|r2Qw!6CZKiw(klvM70^+xfEgHzjD z3F+OWB-hCccwuQIBCO+pddJM|s2wI{edA@N-Y|pNHAzt|19sgItZ68k)N_=;v@2}Z zy8CqnpYBu4rG?rdLCSDQTXvt2x=*MMmxedEsHu3bIhZM3ze;~<^7qJ=8aPHXBfV|z;^9>aTHC~D8`Z5)r za`S}d1U2pF9BT0nJ)Wf>9}^-n^5`yN1b`rQeMvogL{>Z?c!m^zSG)Cc;Krl}4X2Q| z_xH0Jo->6qNR#V081GU9G=E_%lLe_v0UXci3|o~J_l(acKmsNd2@R)Aq!5c8fBD?e zbQ5xXYMe5V_&zuoRsQ&Q=4Cg!8~l+YAxtP8u|u}KOWNj7i`%GWo_rFojF@){y2k_{ zgIn?=&7ue9t;DXVUu6aN8XB$fZ6Xf+U|3kLjGAKSpu*qzh{ z!xn=vsKLQB&zL&Px2l?h(-!85jh4@?Nmos782*T1{qkJUPqj%4f6i~WjO7=hovdj8 zYV=Wvl&`tWq1Kg!omfR@+2=#|{f{c{@GNcZ47&wzbE7Du8XiR9k5mgf%ynUTVAzTJ zGEn!vJDpt*QL@iN4I35ux?Ww7fSvna#`aTfUQ?ZX0C&SM7>K zWdbe=8Ej%tWt(;wf2-YDgjsP9_MpKW2-T4lcItIw3a@MC(2i-e}%G~lrI8^s|_%c$*Vvh zPZr3d|Kc}*cm#g6GsTDsZ+R=pAcNiQAUmno&vpt2;mq*E!gP$&fpL{%uyZr9<|CGi zyL9U$EKql|r0Obur4+F1fNt<%(OtE%cC96T{BYbnVhQ)mRGO5c6(ZiGIN@Eh1mhK| z`1B*nI!o{8e;cnQJ^h^d;V})ImQ=3x{*jsEFmdNmslkR(=$K9eUt*GAwR5Ie&+1#T!VP&}TV3U#fB_eUJuHuKR zxSkj7@+vLCT!kyewpJ0sYuk!9nV^pQE~nF<27X}`c#4YU08oKl^QTepc{02sZqfRq z{`<)Ze`R8`Cbr0Xw_MH6N{nM-Y1XwBU-;D;5W^x8M5sis(c8u4rF)YPQTcT5uNbq1 zLe4C&I39S5Mpgz`4>%9jyUqjIicxevxP?Oi$j45MI}Zae-~=l>;zR4Q27zJUoBK$x zD3Q7$@6z9267>=H{mjUr-40+`M zg#hKur#$1E=Q@ih<2S6d zxYJI2!3ca399TETeNWhm8c!-UUx|Oc#@ctXCacthy_W@arW@;}p7rlLYYQ=X*rYbb zb*#UY<7gpM#>`DARbP3hDbOoARMTARg0PF=OdkdmjE5m+vP*peW*qD;(sBb-rolWF&UY3&t z%QofPdnzVOF^YooKlT@l_y#T?Z|~n(pdj!pDP&F$XlH|;fTn$Gjz@JUI$qUNe^Dxy zFosXL^RpE_nH>x>zy8$RWQnE>8=KR!^eRpcU@mv~)UJ0f-}AII_{;kVcix&8I0b+J zU@|I0E>w*zdNvcG1pTp5ky&;uG<_-)6>s}9W_GIV>GrM2ai~dP*!{OZa-ebJ-EcW` zJ*p0!ES&nasB*?`=39M{Ic%xhe-n;5^3?+I#|ER#`QRa-#4$dS7NN}S3!xX~;nN0}hbu#!V99yRsj#oMHG?qC2CrKjktW+0s*+tEmH-U4#(-c6wP;sy`nZvNZ&*4c=Z9TJ1J|tvYzLm2>hD z5!Feag;mQCOHH5x&M&(b2QNEDC1ylXu|Ydhr8hZ4#44yvCwadmP|ItlfN;Z?4*D0R zXcMtt4f8M{r$E1Fprx+je-l8Yf9TOz1%|b2BE~BnyRF+%Big-j*$`%K<-iDjAUeC= z1w&1a^QrG{x+x1P!7K{X4LiN4Y(PWpy_s-u8Iz{e2kc~DR0KwnLM+x0cByB9+t^Q@ zy-{d%MjhQ!lj^2GlDReG4zX?Wpp6?^;MD9V=@>hO)#+CfGGMsle;unq9ZE$Gl{R!n zRd6;Ime7t%nYeLXAWV#TK&SF0f>V}H*qh&s?!~+LN14OhMR%%WThwteILsmlHy zy$FbI^BB)gZbmj@f104+H8#(QR(*_`30wt$HzZ{4V1J2k29yUsC*bJgL)S3-PS#=9 z>(E6;g$R2pVlCkRhw}C9KwL}z=sw^y7&`;#*Nu1&$c8R!ME9Oa!iIkfcqhKv{-xA6 z{32yzy0%e476V-Ad88Wwst1eD*@@YzEazmIE|z37j~d%Cf7>?NB^ne@E;f+-hlx5N zN5%{wI(5eBt^ErzZmi2=FqeuOUz_IqX$kAHF02PtlHP!h zV`9|QqGgeWxIS*K^Oo9!1Oqy2_9!i?d#Bcp9ryWLK2FZ2k+BtP_f!{Ly;3xNlXir( zOkRatfdZCFf5zJxxNs~EOSSzCSv(CQ%Kk5X~g2pfRfA?`^Dm1?w>7Q1z0I=zQP*BeP!v@2#3KeVt>GAqBt)j^Iu zWiVOY#8`1WnBFi_L*~JB&Lcd?N=HfSc+F@11AS@X`pi8MSMuaW@=n5ovvDzn^;ahf zj{l2Be;Qgyep4Q?bzlF`Fab)tmP5ZJUK~p+mZjwF#KT%T3Cv`M72?c}_|AJYTw7bS z*{A5!rMrp}G(V{*{J<|0S%k=Sl~(xhG2f-TvM~X+k9kYnCB7>ctMdquNyx!XCfW}y z#H7>a*XjI?_XB=+V1<;5HR_6C@zQT_EeWnMf7_w|pi~SAeDP4Sgt_|%L_YW2XPe6W zhx@^q-=u$MEPJv+A%MQVfS1Y0)!a~$S5-5VvqpCvwvTgwUVY!tS0E>LYUqlR-V;qz zASzfveG50J*B}^Wbskn!&O=UjbcN@Y?5lbc(^K$#D=sH^;v*mOo&r}qElw9WiG#Z` zf2=C!=2}IkqExtc0K)j_;#MFVvPV)dE$+|fgj@!DMREl?bwcxX$46)MPtTLsL4*C+ zC&j9m(17}}gm?vqCUvoq_KS^?!SURZk#(~K#$bFn9YKDr#If2W?88mn8mQS`c2!>O za^xunfmS{%uDA|KkJxFyLxE@zU{iBOe^rwVnaH+B+@`KVnY@F^F-M^dmoHsnhHb1GNeMM7Em(EZ5H?qqLwgom0zv!w4jSLLKll~Q zV0|ac)?4}h0c%IVXN>(4mf_`5;C1f|TkgBCoE`20_-Thby6GeiNG3L-hm&@Rf8Ep& zG4(2;bZ4~@>TF{UM&Jt(aM-zlovVwGl-3=A;eC%YbD|gV?e-{CFr^s|yVL&%zVv~K z=#_|lr6JZc2=iF%2T%SZ@)utv>lP_~_F|PUXryX(U5X+$EO~i(ldbDF(kCX~_MB#Y zfHLhYd6sXi~fga8Ehe{Jg~d#o~mi%h^L?J~zCq~8z2_ZRjC(zOI{{DCx1D3%QQ_{DtvEa0qiw6?pa@8B-SQyDjmK_$U{4Ba79e{;x$ea*BV*kV}s@XVGx`@Y&g?U>KU0pjV%mtNnaQ{4*$D|KPK3hF5iqHd~kNiZMs0K z>6W4!(pW~DC1U~+k+WSlQl}!v!W$xzc5co^EY9|k!};E$5$^0wY>cWFa>9uik{C}H zfzO;fQM#SOwjZ(YfBo$qKdROx*uKj*E)mC`=ZmNZsi6LRNE#}sFzg<|qYci=6kNG) zSF@U~(a=>z#nxC0mpod*i#R6}wFN?~eG^e-w&e7OB1+r$-^Vqt>7( zmQIB*vR=pAWju6y+j<9fqR-W2RmsH9i%$0cN{$tq!9zH4uYC~6LpOj|f#A2l$pJoj zl@Zik)B^#_22}Hb`5&OkS{4*)Vfl2d=VAjucd6}wr=CQe?mx`?@Z}L515#3OQeX9K z52Knqz(Wfme?;+nP2);-6bs(RQcAS;4r(U#x_9jlxkDHD(DCyvzg-?#u+_Jc{&y(j z11g?fG*yp$PD5#uU=CKvbXP5uHUU3>rzeam@NI&6-wDOpKJqlFDkC1TQv{~?D77XF z!;n-OQVzZ`8{)@I29YneLwDm9#TWa0tl9%ox5G_`VkHO zve*C_fBx5JAN%Us3zRu!&MOu)fiU()R&hQlAZSx7ITZJ?jbV61+G~z^+V<&l`kz%lkAHxPQ!rzBecQ_buRrnN}&S93T z{%jvo$j@V>dDmtcL}@kr5QPjvCg1Q&!% zs8D~7`CG^m4Y{(!fYa?;E{#v`~nNzjRIOUE@k`?&FJL9AR|D9^qFTBXsqkp$aUDESIAk-kD=RVrfb3hG4_hid3f~LjTE-> z0n@5zVScG6S>*vgxeSYXK@_jI0Tr=rRJ5f_h>C0oh!uu;Y?zFQIz5Y3HkZ8OT}a{B z2CWP@fpLu*1K|O54}~Y(xCpnme_Nrb5tjpGN?1B*9#y}D1T#gS<9onM1vPN}M%6FK zw30wQ;Gi~%NvcRq$z#I>((zN4mwQH%kdo_1CDuq|11@rs9~V@+<3!}KjdxWew07{j zVYxfPy+W*6`#g!wG7UKNwWLkVm)LM{cr#@KNO)}{9OQ#r%$1Qq0#0YZe;9#&sfF8( zgCY7Cla{d467LZyB|<%8nc8h!OVC6QFemugSqwAK61`Epdip*oS1-C-FyM6R5;k%`ygi9B^Q{3r|*j4x1RsiWCLegM;s}K*R_PG4%Xy>TxB(YpFf5QwZT8MOv)Ll{Si>qgW>QeFyS9^zCh1bWli%-L~2yiBC zCMCb~7}v)j6i*M#2?SlIE^E@H0k|}F&m*TNOilOx`=(rSUe6xq4GvM^uV$va022`I z3XAyX9G4ekiejZ?5x@Q>5ludof0in5>C!!C)V%&9?|e;BD!f6Uo+JAo6-F z;DU?2S9eT0{iX(>f77e8{H@BhVvZE*Ge9RwXx7f%EaF6irzZ$&WCfsbcOL0i{chX9 z1lY_!;G`F7-{>{GXI08`pmSsjqMOCv3jJ4@y#^*3=T`>~U9^Xs4wTmwenJcUFfA5b ztX6Wdfgx1$M~jaPY@j*l0CqdjmKup%>@ zTAZOp-C5Ay0o@-I;KWbQ32YKm=&P|^k_GeL@u+QR5H;P1Nv`}J_myk5&B#g+4)DKH z9o4Hh1i)OIOliZoFCtGQG|mYzK?4xsa_k%I1&}+2e*-5alFXYdm<0cXn}Iq}6cZKI z3d<%A>(atHPYQ|{a|E1z&f(UgbYlH%=Rd^>_pc<|`M|YwbCQ>vii#?CexqvxIGp^p zAG56)UsQZIZb9?JOwtGNKZ-}tQ$hc|WLfCTdg|zn`v&RZ8)WWV?-|^t(F_Oi1tgN< zn!F3@e+L{9AS z<)Fb6Ff?rar^CG{GSW_ZV?8WcRlM%-dLAk4P?K`uK}jC3DNHRd?7oI-7})eHuGyn2 z?q{(z1A;n=*e24`aSH(?JIodJV@RzZSJma@cW^7xB9Ra!UP>&t zSBjgx$)kRfH8a*d?ssO#2FImsLZ}_UTz}k_2tv};dg{fHUOiXn5sKdz#ak2$i*qa? ze|?kewA&Mk+Tk1!@wYLYu>Yxx9&DHrtt`qtc>_-ZV#-q%ao7SCU!2+L`KS}HVD zg9om-$WzfEVL)w9Q-}R#NPIdXi4#AKf9h`lfB?XKDUE$6^YeebNlj}$mbHKw)<|>N z+b3fUFLYoFNKis)P))m9o(J0}=ftD)U|a!&$qCiMG2s2Mfiv)g|HzK!Y}~dSfs+85 zWyIjSb03IDe@C?C>Cb1Y*$Ypk(9+2Z>BI4wnC#N{Uy)(Z?s#w3JpnwRhS3nBf9J^6 zKYn(&dEuDV&iK7mw6~8XIkWJIm|hV{EkY=#`(gLtevm7>R<4RAd*9I>7-cpjlqPlf zz!vg|@@nB@jhXz`B))MZBXA_)zCK&RFUx#`x1Fj0ixPMoFK^Pbx_#%;V`}GGP0Ba2 zWRcj2QK3zXUcnGG(-Y!m#?gTlee@9RC@!F4} zo+bqx)8OtgSZp^jL>kJ8GO09%*I;JSiUniS0l+sBUZ_&282GXLs6H+zkhr?nMyC2ii(hMMs361-V#Y~x~Kbs{=UdUw*?2Z1@C0czfS6I<3J3K0+oyWJ6s0nxJ#3EgX>MUL0_7 zH*VHM>jr?gF(`<#N=cS3M#(@~TN$1rQLUXaqe?c0OCtC^1T^e$W6{4X7)XQhA6?16 zhUmC)Tw@8GyzgUGi-ACF2S16^Hx%Y_dB}hNJ)e|HZ&{0&e{3sD2k_hnO)?1I!M zei^RIRsUua;2Qp=pgBo8rR9Rpc?7bRZH^)2<{jH=un5{1A$da-V-mkX^G4S-6o(Fq z3zwwb!b^t2e*+jPYhOUEPJ7~oWg`_4o`ab2DR{Rfqw07pg!;;|e~^r3=pE2_73ZC0 z33R&7FXx`XamjI_^=tsM7_E^Hs9nHaWm;Sw#64c?w_O?Zm5TvlFhrlNb+~p5;UX@~ z1~P9++PK?%C=m~sW+14I%MvStJcS$!v@p$V(VaC%e;1MUJFWf+x{x8ER=NnnCDGGf ztGj@dUO{5O3MXhog=uuDT_?mxl*_(#ci#1_gmP27VvOO5(7bPzDJF%vzLk@#U&_tv zG=d_gOB4s5VdY;*^z3|Hl~Td^2PxYOzeF^tWciwA!3w^o(EP(_`(QqIaJ7JD*{-tB z*ZpWXe~}&XwZdcgeUSo;*z^kJ0GMBHS`G;p#=YJj{OXY!qY}jDf{XjoHa}jdFBT?l zR9kjRj^!b@u86AhhoCPya9Lo;8^NF(%)36#aM%Y6v#XH~$=AmSLDGpKHadIS7|ope~9Ev>C~~|kNhE}t5UmX7Y?5aNXM>1 zU-=?_=X}y!5^Fa1`)GNRP*OtsSE!|DHoNRyxdMKW9H%NZxsU-RsUsp?!IB#bftG@S z2kh}@cMa1!IlbzLVrQpaT;WTh{ zf5VTAPq!| z@`+Xj=0{70H8SRxUy4D_7!WK%jQqo|AnIYn~D^|gcS;R8fMSRUgHI@>-Vk+Abqohl`bN zi2b+Ok!{JoQMpgy1i&=i7FpFLHB7rFH-9n?wGBK~f_zDk**usE5e3{8e6aXquw3C3 zEwu3lRS%yhcqi|Ch%&D(@vqa#T;nIqomzkCdPS2)m#d}|KvJe|qrOA? zN|o!#t$dx&jnJEC6dIx23ZTa11b_KruTY^y3vKx==4ll<9Fe^KCrZiO%sowX$ba$= zE)k+Aepbj{o>k)J&xbHFPz};pnC+YXR7GGm=fLxA1)*)q^Yv+LF6Rls*s0w4$MsQ> z&|~Fmy9Wdr#Ph<1b~|i7rVdV1U=2%#V3x}9Cxow}(hjO1WifDc;qzfO*dYX)g0hfu zaRem}_)I%BH}1Wyx;k$PdTL>B4vzH6{t8@GaHWm`O#__4>_qdcEn09Di72@alw* zsnSd00TMDRS#I>n_)eY(pot1bh-PgT>qWbue^3nC2f>TdM!*qLADk-qx*Q7WGu&Xg z=VNPN?p?vjszP2?@oFjbpZdHkZe;bF9|dy+Gz@!pe6-Fo1xi1GzC=?fzO+#Tw=F90 za6vfDNUKYxYc%==WyZaSK7abMS09BQB@16v6L@>*oOroo09L3DITfLyapxKD>_J)6 z{qW>XBA~LJTB@0*jDW~-L;^+U3ON@<0z=BneR0`JnJX;=yF3V~?*yk+k|0!FN!zF> zMYn7$$e~ECrAHU5V#0su{{(xibf*02P>EaqimRem4GIh7$~Vb;l7CNjXZEkQJcmod zZn)fPB3$zSIV>e7su31kJ;H0pR|#zLSdR*nk35X?=_@+0$JZW&wWANt+`P-XbzmwA zWwb-RY;0^0A$qqq9Evx-!Z5>l|F;K-E2IRh%FvhO2k9AVb{P4diszGfL%T`YaW?{} zRjF}6fb+m~waa3Qv42NwzNca$;FUW$+}Is|B;ZC#Vo(;c%~?Mw+OO#IwCCE| zt0QD_@ao+nR~@q^wscPL!W`zsHcp2c@L(5>+)|zUtciy4EPurt>UCsdC6p{AgjW7< zYSnshVri1N7OC;e;Y9ck6Y8eM%SP1uJd<5?SkLjc7V zJ5HNH?ThbPd=29K_plo}wam z(#F6Ts))p!jFXUz+jVxd1K>TwX1b_wF;`c-!IqaFAAiL;dftfp6>&b;x!GKpB?{K- zy!73ZHAhTid-WxNvMqQYYF28XWY_R@Vtl+YdhG0?M`LjIb| zhI;&^cDwkqqbwG239Ds94WCT~>v#DfL&OxM1fA#g?sfS%E~Q{3iIHB%bpk+#EWwE3 z_Qwf#w|}1=B;bys^iVXkeIUc~dr2&}E-mpNSDU8SD5p0f&YSh8;p*n($-Fc4NWxoq z7ihTur!F5A8;fjYzlcwU6Np7-oIz?e-AI|=LPmc$iW+b37xI(y3*b1DZ%oV@aRa{M zP(l=AzqUqqMMfPZJ~eW~bGuxv8q!>+R1uHf^nCe}9j&bh_wC}|_V>O_9-_G}<+g88Ih0DL z;1*;$lrxI$J#r{wJ~;gdI1pkX`10S%Du1whZj%f2lp-`OV)AwG+I78svXpCDdL2GD zE5Lb`*jp#>GtLPRU~(F9%cAsm$!f!_7yB)YI+|Ca37eqd;v*&gK`jFmO`hF^9GXV5 z$?PWc$sKKvJu8-z&jPoA@VjTASNorRa192vQ8ur-8snD8iAYJ*@Y-duv#Ra&B7Z*^ zbV7b<(JIOl7g4MR4#^YW0JA4_Pz{;T9*}l%i!m5?1P^vPnE!F|l$ja|GxA9UU6y`9 zSfX)?t1cOvpYy(=8R+pqMJzsSKgu(E&lomtK_wIPWW>WKu$JeX>`JmX8lYTS#IYl= zl=HNYguB9waA4%-lDOND9RdqOMSm6eLkh0wpI!s5iVFU+w;i9JAImI25LjAw(UbC# zNh!UkXz*?}TjNY5F8N`TiKJn~Cc*C9fD$F@7{jgq>dH`ZBO92kc7NM__kuGq z8vpaLE+)_UJCr+iIY4vp%ZB9UF~3<+7}+82-{>``R=BHZpXb68lWTpm}J zDxF&A6|B<2E2Cgz?Bf&Zf7!!Z<=Mvo*wII(QkG)F!K=y5d_?7>@nQ}cSLfaM;M1sCtF+dS=>%EGkS){@Q4p&K;{ULWvTZyMzMHXeI6dXIXzEq|Tp@>@I^(K7H# z6{S&7jK~9|u;)29qV8=@7uR^)2Tbs1!S93zCTT=1)!#j^TA6IIZTMiIVX_j46U&WmU$Dk9n$Fauf;@`8pW7m-*QLRMk$e z;Phe~hItAjAT-N2p?_CL_cOf-+7kBmiGC-gw;dA)@78Fpo^8?fp5*^CSiIav8b)Bq zSr+=7{_3V?Ybp?C2Rbj5-a;bOLEba$(5V|#rK)6jKAL1lVj4zHPt8l`+JK|4tuSW< zBaSGC>iRpMMoPfQ#AHOI?%Wbit@UveodR*hvgMdlWh~0Gv42Df^l!;iSSI>uyS^K} zUN&ot>`2IApU~2hQoLmf&x)fkQ*zfQFqGr{>LFfEsPqHx*9L&E&vD=RuRU%IDx2MQ zPLqNzmu5W5|7b$mbly|GagcD!>Z7P8GXq<(74e=kwiceM<2#g&^(VmvZdZ%H5&wI! z-}YdRF(UV(U4Lw|j};Vwy12w+KHVDt6TpPP@oibxD0*4$YD`HbTzd){ZCRdXPuzkw zvtuJxhasN~pH8Xx1OpDaboPXp#HKu_H)Ow~o^N{+_xxdw0|N-~5J^jKtP4|*a8?dN zJADjprR5Bo&Hk2BTZp~@B5?~ek8$W-RyH69<(%)j|O z=XK`Uh8Ujs5La-YQ}z{!A(DLQFdcV{i($kgAUa^Al$q%J*R zdx!om6c<_(b5QTTxe|WEJ`OYOBooZ3^?AA_=`@CGQIN^mFLGSO#Qf9@agnm-c_eZ#4yu=3)oHkoU#lb(B=dGh?2 zVS0;{6&>JndaMH`h)JeqU4-_lkWrcZhHW$V)kHIFTai1`;B(~-t~Wf=X#!kTGT9a_ z^rdtzp>KhKmSi70Y?`O(7K+sV$=Bc#u>Jp`q6kYQ-qbjCx3AD1cSMygC)+M9&}tV*zJ#wU zV2hMBSO}nFiWCq$Ia&d`b^j#B##F$R^jav!CP%&G1PnPDCUd1_%!&3^?b6*KCEZI?lGPhtP*Al?du0Op<#!^S?p#q1>YoVoA7 zBHqEe(2j6)e##Dq=bsYnq z7}9J9kXWOdT66`eYug$xyvHiwkh4$sKVXYKdB4QNS2A)`(olBpX#%eW_2T9IFbL0Q z;b5q|Mc9P1%98uoZGUUS+7k($Zh~>kPQj7>!#KJeB^BeO2z9#G)Xbr37*1SlStsju zw-R@ci#$j zR=ok}Qpe=t%LycJ0pK)IwL};t!EMr1wH6KpZ>^u0vzdU(eSiP*0sbfxCm~>Mcj?@k z?Sf>Hzx1P#4xhLdJ2`$|%X$nglwmHRtFmC01_}C&u1sbbDKzK~klU4iDu2-Y*b*}_ zex;CYc+QlWuby`&3$Lyhj&6V<&?aRJ$%4>9s)L}l(31VS9!bNz~3Qzd+tVf5u_-euh;{Yk#_2cRqI0 z083Hg0a6@Fe{?Z6lMaE+hOjLRHJ)?))zBex-WV;Adw+?>lU3$91w(X_%nmoW_BhOt zGprj!Y~_-4?GEACm@+le4_jf@)92a07nbbQGb??0yuz>xG%)_CV=aPu?3tIB$daW! z5R{QmQT9*fDsU7||1Nm?Mo%i>AG*}L*i(Z*+Qh62&na_E{#os^H5wgJ3c`t%noCd4 zqohaVs(+1~cPtT7ZfV=i@u>BoFuW5!0E|Lg#FX-bQV}912%hK4AT`i^a0i%jr9uk8 z-wEbxg5|P>K9F-;gN#6KI=LG8%so*KlOZU9C3l9UwsGtz+?|{MHLcAL0Q$Ik`}}A8 z`zCHD*;)bYmpsiti;>13cF=7}rKTX57TD@_)qe_Kq@eidwDzJ3lY??0SAJ}!x4had zf5HF8!nUw0`LTqkfb1n{x`zEw)j`v#JnqGnN`55?|B~wUTuqTi3HMmiAl}%PQxm|q zMfB!=#TCq*VPy%xsXJ}m*^907-W1|hEWY797$7TnNx%^Wv>@_S zG6kPkV826__N8&gdGYDdv^flxcW1e#VXS#1i zuuq#yn0DY4It^Ki6 zt8P0v@N!`evmJGT%M1g=?jOehY=41z40;(EKMhD}LerHh{XEnA#hF)n;>uz;AQjsy zO&Eslf)h>uaC{bg2oCmOT!%vlwZY$SZQcA}99c5Em9Q_q=wi^lIo`hWV86WXUzAeQ zg69-GBQ8Hb1>e7*9)ZN>NVh@kk_^l^oh6mWF!D?#IuZxA+yMFXi~g8Mq<_L$cy$3U z&tW>YB?wwV?P9a){YWxDGe}XW-lUkX5Cn;zlNS)Q?PESnG#yJK5cTMut>KS}4U4rt zBH7p{Nu9i2>D?VyFvL54R@%(r(IuUvQ`%!a&Rz+vi0ZRQf7q&1b1t~&v(dlltYe< z=0Vna+SRaoXDfBP4S#`1s?P-=wbT~}Gk>6ooCnbyQdcQST_ABk zwJ4_$nUuDS*9gvN9UZ=yXj-3f5y^>ks@s2V&thCe|F|IS#D(d$VkvIHT^J+s=q~CX zhf}Czq_h>26&g7Gl&1uarkH|$VgI=b$D(AXD2>kvSlm}wWNzw>LrBxJui|#PV7q^! zMQxe@e*Ijcuv_%a^@dO9XoKGed~QPXqf1PGNzVyQ-jzvhB8ryq@LqnWWMdPB^MNv1 zF-%c&_pMToub*e5LKRx@NF|~InWOE-&%QS4>84c#EoAq!vNZv!%Y;6CXs4_TAeWIC z5*2?qV=xZMqd^4%(ynN>k%mrhDX2uKD@~3;RkOmbEazbF zQFo@h#(+dX$O}VD&7%O=Xo9m!<3y~{4td9^F*-d9sl2X|2aFC6u7B%y2=O6DFK+A^ znR4g>X8PHDnuUW}Gu`g_tNLrB?Qk3J2~~gUEaKMBo}jNK=&zWZh|^2;d!H7Kg!ZtU zmrLYzFLtRbK0EjR+$-kYGcr^FB7GWPt>D?WV}r~oe!O#0Hkm;19B(CpC-LYYwT{nk z*K^ z#SCY|Oy3KIM>7dvOx@1f{K33&u77{kwufJDKFuwh$y^}T^QMnl09J1d9@%#;0NAk+ zuc)XmCS1eP;HdD68;mqbreIA4t$?!=Pdw2=k+0|To4Kb&%sq1WOt3F{h|0Q8LqXX0 za#S_=QT56TaPLw9J=|3lnPP4=ZBk6qbh4YGBzSfWHa{vhC!MTbWuS6*{`G%WLa4lX zmIdRu7Pznugt<%kSnrq21H*=JJFp*LoGhtcrSCzvI4?TS16bj!;8C8NNGlqSEp9Ti zMNGt_ykt>lnWd}l2UyTkdvM`zt)uQ6y!AEWo&WeL0Xu$p=}sJC0(ECjqCrNE(Helo z&UuUsp|hEEPJ=@29|X0-7v_JP>_6b&+XA?N7Wv7@9+#oJ4Ze}G@DJc%krQtJL^x~W zvbx5olo9n8wHaUv*-%9+{}r{7)~PQaRC#dZ02ZqA1Ny&e3TCu7n4FB19^F?o$5}_= z2rhei?OME-w7fYH0+^Y%Ipe~p)d+X4;~OlXe1fQ$SSW>%8!e*|wR(S5PY4oNT6QeJ zXEg_F277;0xm2b=ppbkTQ+xWz(%&~WR?5fZz4BXu`7^?fbgxjrNG{qpOw4dy>VzUE ztT5)pEzN9uV&tKMY4)T|OZek_++>nW(Esq3@@GlUu8tMldpNpN~H_V<;x-lcnx zs#o2aER7ZP<&Z+UP_Ta!in;566^Qdz`vz{P9Z(6d3d}UuA^9P)+5~&?$APru`oPg#Ghzi8^C5c-4a&xK==Ut4S!XSjr ze4rGteOI${a*#qK;4EIBlfa~E7-Lk0&L#x>+*I(*{y&}Y@Y{bM$He%plu#PSPYL}q z)+yyNiJTyl-A#5!?g(?W_h%DUVg|B`=@P0|fwk8O!KuVT)CJ20fF&Iwj*Ml9G=Gy| z<+9jwsZz_`m|EViUK%R#6+GXuD8^4Lurj@#lzxS4A5!Ug@_6lHWT71Cj2qANeL|Ph zOt>nc`G+Vxb=QACPHao$^KeHtFv6B(+I=2=*yF-TjW`ACAHwfPXc+mI+6h-|2sXp- zbku$ta(OsFwx8<#HQHB@NA8=;$=5-c)H(Po@W>B5Ca?XW)aLH6dav2 z0`MZxNT9?(eEd2;{>1gO%(YfI4U(uSs z*Rih&@2?Wh_%_rQ+pFmxMsAOFx`F*QHS><7vg_){DrU!ODLl4K}!SE_N7l#BGt1R z-+xW5Wuly~TR*b}8Y6P?fQEB1UJ3>t!A~6qL4kFC*;nM8>LNcmOUfp`KEONefol{} z*BVt+#?gIj;&m^el+A%vauOsl_?H_OjyyoeHkAwd&GFo z3p1SX%(I)LEPjRF&_TuPGKPv4fyo8XV|Jl+y``^8j+-|yYl3Wlx+)wvgZ>L~f5Cra zONMn)$Hee#sgVH@83cIT`IcIFJ0v3Aqd>4b6@dM^GwjTFYaZprBS~@sOL%#1&bGLd zjA1WUaz%WR;nOq_iT>W zMRP`zod}$UNBd%vvDrNUsXk7`#|ev`#uWlglBC$RVGE4rm&BZD@&L0|pr*`%3XXT1c1X~)|{k{+BM z1U{94hjc40E!iHJMIZ@j5I?zD>#372%rS~erP4~E=y0)l<>fomphoLlwJW> zF=`*y6WjCavy#&qv+953LYh2k-(1PCZ~PlkNloX{H{$9?|;#2?0|jyKsK_v3}+`Dw>|xLawi0-WjVk&dJIj9aVv6Z zTyeYdh66ZrJd*`D899qA*hFP!O7jQG1oz%Q{>eX-rCphxD8zr{zPvVOs|su}9hlmA zm@~k(FaCdR@(X`U=z)}>IDk@ooh=EJ67J5$y2pFzuZsV{J$euv9`xv&J-^=t(lvw; z8H0g^1#gHQM)&3xs481+_$0)rV;^#!G(fm6BO}}&HyD3d56*xC3TgFiB#?iXx7kbq zC~MO+yd6C{gRXgEMB|23lhq%pBDc8J+0sh}Vx6ZCo(zBRP)kO?crA81_?e;204SZ7 zxH`>u%Io%tj27gJ;eVd#44jU36&pg(vgpMArm<~PKH(>&|00*3CJB`9aaLI zWO2!_QVxGvouEC?vXUmiFW?u>AVHCgU?FSKA$vNL7C3~06PVN`XRbwDpCIOvj5YI~ z0lqp`lPd=mZDPoA&?Rw!Xh~&qtP1tj-DD^%_&+Wo$=gQP($B!v zN;4VE2?k)_DQJyT3PAeMdhmfsV&eTt03(ViaVLNGb^(x1g>A^o@T_755iMpjY3rC9 zUPUh|Xn>g{^{QbYaPaL}c4^fkp%3KygYCaMYH`p6UgGbdYD$^n3pKzL+~@N^7T@!6 zgi`)}9bu10!UE_5>S2fYB&bDGRHdiL@4|0I0+=<_SgHb^GB zm*{_3DdsD$vp+8u)XX6_k)Y}~@}6)nvHu;sKnoMjI-qpS3P z^MuNX`2mZxcWZw!gu^Qsnp{Dz!d_{LV`o0VdTQ;~H}=w@72NP->BoQGVy*-m@9Cpa zG=s6~#O;lkFp%3x6ezfqb;OUl0(NI=-{*fHzAA#yg;u|dJk05CAESeI{mMsfMpcH= zHaA5Ar?4J2ttz1##4DeFqTF6*o6(vq_vaj4%Vlo-z4g#jy<}-qxW}&8@LqLQ!*CJG z*i~r>X$};PY%pp9j((>`Tpu@v|DyU8jN>Sagm>Oog|Hhe_eka)9?wXzA|XD0_#1zv zSG;gg=x%p_H}gD;Zr0S<+{YFsH8A0e{?rYxPRJm$M@CRkvanWMUN6+@*UCLSm*g#z z5Z~f5U|?In(?@2Sxjz=DP?MRRNKFIz&?RKYC420y_=( zw3o60J0+YYr-mK&uGLqQnII~3+QJR#W8Vi`Yk(pnlUp*y7RWQj>oy(F;<$hQioy8C zKA>T9Z?DO+sQMQ?UmlLlov_}>P3d#d757rc5)MA=tJ8!gsJtvLR4@Z_gDnz&b_DO@ zd$KO45&7cTl5|f~0ietz2<}xPe-Lvs9}G#%(oNzA4o>$F+^4H=z_o;EL4~qz&n!)| zaJ4*eM~W-lh6~Ica>zdG{V9LmW1)96nNqP1 z{byR;QoU$EQ2D=P`fQ5zme~yiKyxnR)8{Fx+>v1_&02TYP=}iEB4&Si1Q{+{5x+Iu zN;BooX3lgx(??VL{GH^j)j*rc7Xym|VyX+pVAlfyxyNW6E%2aBz5m?XDuBp#1fLHx zB2JWm;Svg@yvEE=R5!y(s1k|?Xk<;B8=+SwFyb2mjgZcL&FUE1r-rmTDI#`M?tna~ z&za4RD2mY5KBLWW#y@`p=Eq~A0$)IAPIy~F$MSUVsg_qsz-fRtFrEQxdJs*6U**Sd zf2Wfu8r&u$ygS7H{lJ|FK(19UkSr)avC9Dl?(n%8fcSLbtNp$V#jVObVD6`a>_`g-YCDd2x_vgU8$`C#BZYqu#G z!cw5)0CP;&Wzi{|(qJp+-mxcYb-gGZ2&iFu_@j3;(6<4gJZ4!~OHWVi!|Pkx&weMk zBpp^7JvaB{1Dc5U4)ltne~W?EJefw>itg;?2(ZX3c@E;9J%r!Hp@Qf z&`xc{Lm-V0h+}_Ju<5<2#bFt6=xQPl^3l5R;n=bUk{-t@x`wh@csJT@?rS7M)C?o% zqS9^v-Jx!j5X`wiWzsUWM=QMVXgdL;#w;bEm!#MkwG|SQ6h_|R&Per_b&%^C$bkQC zE*ZY$G^~mOb!k6^doJ6z>=A!xDj@^BnaRWGB2_6mu#tZ~Ix_q1_079;(xzoR$i+T} zcB6uOvMOKY;Xwdd!01vEHGg08hHQ2oQet;v!R_9g5mpkC&RtF9ca)SNhCU-+RwNKb zL?wn=G+F4p0{?{J%(JNF2meHqxhs^y&OAm*u$_EeKRiCb#(_XWrK~zp!kuA>eKd20+ zutx>iKTMGis622ir{s>XO_B;uB`CYzQFX^pDA^o9+QF5X}U#&4%& zU|cAuQbm!W>VPnO5IBuwlkDyS_!zcfdSwkH0K?|{#6ZmKwRR1z{<=vXcT`>DDd+40 z9iU~GEyXU`p(Gxm>eyrF%YCQ-#+CK@sefsY)+UgD`l|&j1{B#jUR|V}iaR50Tws4F zmMaS5Xnv5~Gfj-WJU9rNUwdT@#J&D~P!85zkmJI+)OyUstr_FU0f>RLpYbUp(x#;7iqNk8bdts*== z{XUWpgrVY_E+fs{r78OL!)H#0b_9Q>hm78gdw6E>4s|uHMu;9=?)R^sx0hMiLRTMv zYV1xnGjtF6D-uQuq|u_2wOd1YuWe-V0AIT=lCm7gL4e!Shw70X-V?ZDq>bowl_Ak{dqeA5iu`KRMQ?q~az&W;; zAGKHpz~yxQ70@R(P1H%p@o&#gL25Aw1rjITtrM>O0VT_ByMTuC!9H5ml(RQ~i_1K-en_vP$HW69?hyUIWI}9nY6r5%$FM2XZL}f@l z+1R_HA`1GD3qR%&fcu`*rfh#XWA*V_c9(x({#x4aEuQ?$Nc}v4rE1i33Ga1t(}~M^ z$wn0AZYQYfoQPXL*bAr59nb6epS`{d@CcDt=IPr$&L(weAtRSn_*imAW0=&fs9%7@ z+{h&rKG1MzAZ%&VOCw!gvv17#R%;`xloi5Zv0>=^9apj?4wZA@f1iKEjAtKiV$X3ptpbrk?rLEt?BLsKlr=qLzSDE^hyF?98RURgo#vka zuzoy&I90z4T-JD$digslM+*qiUiSQJWn($0ZbGZINBm#CJiQ%uy&|(EIAjig3zb@& z)GquD10n0GyLXB+1v-CP-YDlh;l@;lakwyQ`Y4&r?nn2H(nKwFRKEnkZw3wFV)~J78N#T-NAWmqvh)hkF zn`^2!y2#BV3hs}rWuM0)-`1sfJH9StXJuRs6|3sK0h3IH+kJ6D=?Z2t4x)VMvth-D zD9($xv`W;0Wgza8RwJf5+KLDN3BFU6J<~uHq<6O>Na2gLxtDSZ%;}%BBk~Wu z(KXBoa8Y#}0MvhGfblr6$++TH*?(X{rvNV&-nea@)JEmtJKIrv!BgNLNv|j|kqUsM z^dPQsk^pOkd;(mUiQ$ph`fI!%y_zM-&`1NpM~`TAh>2(;CJmrLPf{aub0gigjaq-1 zsC8y|{`O-te3Hy=;}Xe5VvGLye8mQ?8|lj`0gG0!S`2>%2)!yyQ0Mmz3Gpt26w#vn z^-Ah#RHKAP|Fq5^(S7>%$Rw(fc4+J^NsV}BXD5oGQ&=U>UAhp#;!6Aa z3=m~CMZki)VB0z$$e~4}Q!UWn{UEk0jUVJHNE|QTS6DqOQA1OrFA?Toi&%mOmIm*Y z!U$sWM7)157{PPMyjiigxzzTuE{J55RP>Vk|H4rX57GFFjRV_G97hGn_OH1`7fr2+ zce9A@G`#NH7NCnSJ+-zVvMpW|Lfv*&Q};+k7I;9QmOX5jkVnO=#V@6Dsqmvexys~U zn~qsS@yPm*W|`cYoEYmu($w~b`+<7;bSw+-kbZwskUq*z;@DRiCJl9P@yYo`(-*jC zaRPpRtZXQQ#U_@FMcLBCgEd-wQ-<%bnzIR;NPr6S+q2)F#A)dt0xB+y+7#q*AYS`r zuDZPwkJ&~&x`AA_)MjIgt?{^*>}KS)o@5=UV{?fH5k#EUl3ZV-b&xyhz~LzK@5XHtKNI|61BV|c&OK}pl=Y)$D4F?#@bA;6YSD44`ASDI*Ri-*L7xL%Sucxll$ zp4LfVz_LD@Cx8}*sD40rm~TAsZedghCbMIEDX z)t-}QLd3_nRL5u8btK(Tg2HDD*<(ljR!SIxxlBwU}$1=kC(z1Jzev0 zos92+mrbdr_3)h6TzoG$pB8^}$Q_-WjS@E8cGQZx3f>Hlu{0|veB9+zF zByv_;mhGQ_R#s&xB7_}d%SBUFaF+d~GptJYT6OMSFq7Zz;=>JgLcXrgjAD|VSrKP| zcx<&t&)P$>@8ga-eJYt;{QmpQ1f9^gRv@fJ8>K_SnYw-EM1wm5HLG)nM{q8K{5XmW z*PawckVC`ebCl9OE1?OGp_V2BaK(=;))!is1M<)r;%j4kwK;!*044y{c*hW6;2nbR{c7m8fz`zrLVKpH{oR0v-||&&OwL}q2u-8{|gV5MQMuX zhTm9@(ssdKzy5LzoBfwDfjc7X9CB}|+sqV46q*)$cnX=%X+sBgP2RW> zip?JN8OPediB*3tGTC5%Sdcj$(W9>Y1VywuQUZS;xP}+U9OD_WhFq;fwUPk) zdMfV@j6m*{ceCWq7|>ltWGw<^2YTRjN%QJ=5Qqk-gCrZraGj~_Wc^wiudqT1>J}L> zLnUdZmRm`G&_`U#FJv=Bor8D?aJlli#lHh-W!U+ytPfGIM4eM0ckgs6dmQTu1(+hX z24Zzl59ohBiRZ|IAL!{h`3(@cE_GN>rMPq}EbS<5xG6w59g7?$`AFCu&g5O&fAthm z7zT9sG#BENLinW5b{^PxYX%a5e7?{ilJ;yLTn1nNFH^QOl+6OS1Rq1Qr_keH>;L#}7v=ntWfOn$xQZ|IiXgoNkfc&;_Uph{9hKXT zEZ0(#6Pn+=Y-F4>*}WOljVG)@ynuv@(L)S86cU*?R5?37z~!MZGgHg1-7CYywq6*+ z{0eUK;Nh`Qnh*QBTSoaVT`RjrcHx9P*k444D{IhxD+HE=b{N`Mg0x*MjSoo%z!#V` zyb6EGo5|i-4;=#ykjeUmw!^yvzemu_**Wwj(J_;WKJV0*mk?4k)@mBep+!0A&>Ms6 zK34yL|N9*r9=^9b7~w;Kod4`k_)gOuSG1YvP_;oWtLV8sOt*&#+Jb1GYY^h!5_i3i zS?geOcGRR5!i)wN6@FYc1uo_Fi{9nEf(w7q`|c^)vbN}eW{E=&DDjqO^i{)k(VoG}?Iiz}mF+in zdlK*G{#~#IqdJv7@#3A%q5C*;Zo4w1@JUSIo8)Y#fnnn%t>0^Q)oG1n<@d4I@ryd# zpltLMu~gkc>Jmc5^@>1@!xjxGfLm!vZmC296OwziGKE%8{cjM+n+wQ^^}*os3)gr%@}`}k}?x$e8$(j zK=})mPZ5A$^JvA};*ntwbDL0aTPc??VO)&PLxMLNLVb{WQk!6Ec#N?ya5vD0LX7xf zgp4qMord0b;_%ZbQZ_tF2Jj(zoCMhc#df6kLM&LA-0#&MtfY=BGfkQPkDOXb``i;9 zI1Y^i{o#_2e=wuNajt(#W{CK6Mxt-X4In%hcko8vMqvJHJfq|<%>(&pO~jl>39C@B z8e6&*&M7Mq4A#zSolNjkg@XYBzDa=m@rjSo(Ii;Qs(W;u)$4H9k?pb4M#To&KUYE5 zzUMKY6`Z8JUThf=*(RbnWV*n)6mADH`vQrQllv!l1I;h-Ko5VukH1Q4k5yBg>+`eE z^-E>5&Ud#rUc2z-J@vaZ%+9_c3Hsuvwo1_ITSt~xey~ov97KI9U-Hm7hsn#u;u7n| zDOAZ}hi45gDltH09_`>}9C zQo`E8UmP8mifMl?9`LiOk*!zdVLh2tUS8$2rXVgW)rudQVyqeOG?2>ramJ|7l$X}3 zO<7O?qL8TN=9@7$zT8-FU%J`Fi=Kbf=h|^mpfO(EL~f=fJ2de# zq}%-3*CB;{g~nC~$NW-tXJ{`_6jThh8?>sp&CuklZhb@;CUiOQ#(|uF41q8w4rp;Y zDnTCYJ~G^P_!b*mJaTh1Urs}kdWdmFh9`UcuhN)SY=vF~4@Mek=b)cNyQKQp9Vi{W zY`uTz-U@$J?FBED!JWMchmvaVd3Atwqll0l2YMsK@dG#EbCo2nhb|oPj^Yk9m32MQ zcYM_mTA&kk7u_Nn2xhLT$ii2#9kIP{(sR+0E5~8G=Es^~-d;DT9PswKw;nfSL1TL( zx21(Jx8b(07)7b47x6Q`TUHrOCT|{DqhNGKy1IX8QIAZ+V3rottsYS6Je&(~X5H(a z`I*Ve%;ol*s--capJdyJRjS^EQ(K)f-pDuGkRERzIXET=6u|Cxr-BlgAD&4r>blBc zJ~G=YSnWasvjKOADNjgLW&>=y+WfammQKC*<+NnTb$Mc&zynU3$+s{_cmO*<#J|C` z1ZF`emYoEDJr$kurEA3t5LufT;_M0JfhOzKnGNGos&O*h-v;2FibBAAycLlpUZJSRgJEF z9wP6aU(y=Lajhv&Wx67gOGB$V3v_N^>UQ423I#}iDX&9wh--xf@zi2PhTW`!x*=o@ zB_R^U0w2*l25uRLWw^RB>DQ+X_6~iDgkaUVZZ~HqXt_zc(`)ZPvG2}L$ZPLf3)$&O z!z4377t`8wNovy#nX?uTzP34J`FEIRv*%PF5i|Pr(a@N~5Tp zQEUBwZ!Ui8le5umadf#=32zBJ;I5CYk{sk_Q;pbI(-}S>J=_j>$u08{>8oTV7?mT8 zu+6EdoVLT5_b9Aag?+(7N=aarle;X_;lsrWIvAF?6;~RZq7%)F#=iSvevgddDpuyU zGxP%cDsGd0+=Q56>zs1pX9?k@$eJu$xu5|!2J-^Yl4J- z3_1rwx38tDL(ym?-Iw*TV<8CxL?ZT2Ec9XbU!2}({s9H&*X<|@hlU*9^%&fDivYd1 zUFTDgI?l`*sp!mj911nFPFf>l}*%|L2Y9PCx2 z^+WfhMNBJ*F~3F6ilTU%VI7pD!~Lx7nAyTP^EweB_G1s0uUGO>+F8X~ld1>A5oYDU zWx9LDQc-P|DLxB5=rIsTb+9a~?iaJQdsm-G@q1c2)V!qRHr1H8uQ65qmvIJvXw@)8 zeq*z=-mih5Ucrc8b2_*SQrJx-+YK8eA4w12r6T8*N2SGu^-vZSIS17h+x3XhDKDkA zm6xAJ9>nGH`R@C*DQjJ{NB~ii&>70cmuIPsLWU83c5Zt};7OH**485_E+IO9SZOEu zlG?dQ_7Qo9OsZJ;L=a}($4KXYt$ZPX)P1F3v2}=JxwGo;tuEp#3CLIu@~EnYCr?JZ zAwO~FqUU7(1%*9=hQ11?;)Zp{D<@|zuthVA)|nM8oLnNNt}F(TOGz{Qx_v%mxXgS7 zR}8bC(>0ThBIDSd*jHVH1^cnHBXE~xhpMO)G(#Nbyf3rm7|YtPw$inwsQ3&NQ{Ix>ljCbj(vf6NQSj$xLmN)#<+XG;erA(K0H~eQa43vbh zokml?I&3GQF}PymTQ3fMrk~9LSkG z|J~^UD2hPeyU}p)Sx=EiS7a0Qw5ab?x*;xq00)W0SQyDVr1{~?HrK9C+&jcA%Tl;L zZDjM(MHfI2H!znAU!6+SqxyIer!`q`#ur5^>h{YY@HQ|q*c?265N4>N-V8|HWy;Gt zj#s<1oIFdWYlWJmuW&gECPDV5Z>rI4V!A^xtg~|B^3)E{(_ytbfOxlQ*-ERl2=AhY zP;_`arouc92?}oc`AkaIiCug!uba}K2^|^(AXjh+icI2Ubm;poq?kQmkQOTXrK#{s ziR&7qN~p6A)`rG^PPqSk+itD8dE9n(S{bS`EJ7fmIJwGhSKn^N6Hkw^Wbw=j8&*Rh zOSeH7WEZGZzoXx8m|VzU#t>wMgzS2H<`AaAU|Adw`}kX1w>Q6Ul9rwlfvy-Db1i+#zd1P{pf@c>Olm&(nnIp5zI#~Rz( z6`M)V0Zq}LnEMM`i?~TYkv{x9kGB);8OpE}OiMAJCQz_Rb%pllKXGMJUi)a(O^U_@ zkQB@1rV^KbW^51CBrU%r6ZS3#3{Sw7U2E)xfo9f1s<^rgsm@|vd+h)Wqz1viRtTiCz(ttuAn13dsIxf?|-PtB3YgJn88n1T4Kdz-vp0svq0pZVH2;>$z4WA6c{BM+ zx}`u$j4Qjm;3?bkUB?sG=LGSb^QaivL7M+Ox0S2J_F$-3Gp9C-uaqKV{m=|}SnhZ@ z=HCR+R08XT?~poHTP{aut+0OJVh2>qUXQ1DsDW_I`ZyggI(_H747h0;P* z`EWpgmcx_2JE`tyi)`SK%*bvA7SzYHg`+vl^41}227mcgB^zR`2S=K#!~rM>inWO2 zcTme012*iy^t+Ft8xl3VQ8)43fcFMFcS@z*P4(u9vW~I3!k<`_h%cnb7>#Bs?TYoRbMysOc)<;0E4+|@ zEGX$rIjL^Knu!om75xIxHK`@7N(G^LU3WUg_~%33GlDf^mo5f?4C$_xT@G|2h+cY1 zi>|TtJTU>!L6e*%V2)uW6_O9Z0v!n&++t52)WRfElhTSE`vRj`$YkIKyh{UNHM6hn zWd)>_c+#_oZ?q(!gZo$ss!~yZme-+w1vfl5aaElLA42Y_%TRTM8BTHPhtKzwxA^Nj ztn#I5S{c(z{c(y_5kDz21F!pILl3swW;30RCki?F;?RpAHlrY|3%ms9REEtCZO;cl za0gvxdQ-8flWe)ygbOw1`-l!|>ZAgTsgsW<510^_0ki*VH>hX%*PDiCU;pfXnKls> zHOiptV`jL%j?!>;acUSzu0TZ|mE-lVg)nWvcno32k4EoY1+l8rZC}T&01aYN7M=aS zq`HDl-(t79<3iE&ZKxngH|mU+wp9Q%&Q)LxEdL*e+kVM}o9MHE^9JCQ7b9&Y8XtG}0)p6PzPu8J~t#0o?Qx z7GVAa98~97pS3{-+^$$$S}BSaazqeQ5Lg#M#V49&m4ycvzIN7?bzJFxc-GYicX9jw zz)4BH1XA#q+I@Tq?xG!lXtgiHMTIl;>7;I?-8?IVxZCANj;)9@@q3d7U*i40RbBr<;b&}#|ZQ3G`@*430EndLCZ$wWbCckz(Q zyEE5efKH7<=ld`-hoC=yXKrU{3En?RO@ta8b{#>zuwR**zC92_2tbmhOl_r+&ayPQ zl(ySX2u@EYDFBI4jRpGNdGBVjPt#Et|jBtCE2H_5hS1kGt(J7?ap%5{c z#L7X4fwb<^d`ULR)FVw40*s+F>6fJynxgiSwgJ>0%XK(t+$?f`vhst_bp-71n4T}Q z(YV2OBTyWOWqp~){O6JUSn&oWJN`xTrRrnQzf6c`zJ2Ut@$lQLhIOlwtrHr6_9uX` z8Ek-OHNPcq-M}c0$D*(56*S=K-iPlSdyU+r2>DO|_0zWlQGNz>Pkv-E7*zK^88gXB z8zUfky)hKpg_p;F`$66Da?)YCM>+~C|5g3U+G0s-e7HNwoZH?w9DogXV={Pu(@L76 zwziEmDCXOer_f3Lc6yRw7lj6r`DT*ybDV&1M=~-W4o)WbVbk9Op1fji5KQ9w4QTg+ z8u*yv%PmtM$(hB_m!Y#p55%k6yY<7?uRZ&q>LdCd1NPH@zC8q8x$%0zrO%tjPlVp= zyA6VJVeb9PGTL)b|J*?5;99C&84h)1o$I-7|HnOgvduLy%RFil?(vtQ`+L4K3QL2` zv(3Nh%iuFXEZh)g=;8t`u$B{>`lX_|L{M^bg!8!ET7ZB&cwR%i+|k&xi4%J=KPtrT zEW$ok|3gH7KlS$d1GYoA4>bd#aEGr|gDI+1D~SX#!6YP0MKYz)T*ah~BzyHPAnEr~ zLi2LR9WR%U^S35-6Ghg3tHj1}#ndWlkG4rOk5pfHKXi}byoryyi#?;TD)Uzx8A*_T z+l08a5=x8=imH-h<=fgs7iCD$D0mTjg7y_wZf!e%@B8nnmw`f>f1DUe^gK3da;qYD zxJulxYa=qk_IGU%JnKw+ab7+Ql%8T(g>&^WFJ8l-hy+~hzm1ASU!wpDC(MwP$>MX8_OC|NOa@@RLC$9BqdWuB12#$ zAw4!LeCm!koB2LEAcUNvxjSHp6Y>u2ezstLe=^9r8T#wdP5TSBz61Y1@8_U4$<3(* zEr114%p7pn3lQ_nJY*6g<&FiZtMJNPw9_w4aq&O54;!8YkP}6hJ;;Jya3hlESh;v727N}U}L-RmxLN1>9Y%@bV0xc z6IItY0`!1?EC0_Gqv1PDA`h4V%3f?I#UbqU8LHc&$_5o>R zz-<22{gyAY^mMEAq$wQ;d(`(5Rc#)B_p!0FP(nLNuD_X2ti7IzMvk=>LN^Xh<@tJq z?<`&cZ*srZc?J&MadffgS26WjUTQdLgR#FpJ*V9DiUvN5tZ6_4mBV8`b1-eMRm*o$ zO-9k5FFzDR25`6D0jh@h28pv&#Tcl8*ggsoeg41e6mU2G_yQc@cyah9;8D1Leo06R zAldoQSJe)X4m9PPy#3xIC|OPx_lTSLnJ7=+@8|o@OSS_a(y+=CZCd_9wPTTEvhlzgH9?HuTamvIKeWNbhz64o! zV&N>F0395UwjIukr)rhHE5_!3k%IN3MQ4QZPO;G1y;aL|X(OW|w;eanxx9Opb@iXv zTwJKWXf9;>kw^02revXZ|iu1F7vH$bugg6j^ z{t=>*?HTGI3J}7BSy`e*%Uz}JjB{0-0e>B9g)f+VXm$V0*hTzwts^vq9ap>R23pQM z&k)%br1ZW?9-v1?e~^ zd%u)Aq#~zE@sJ>mbMxnQAopnm9}!=igW~PjI>hdf+sMC<%ha` ztIxb|+y)kzHO~r-RLnChzkj8z87x~g*vgIPrSp9#$evL}pSO?A27J*7J7j*&P}heE zj}Bf#T7YCN55*6o+0p>IF~Ob-sfz6!N-j zIg!GA0KN#Nyqa4+{bs+e1kldNp|porG266>0SASD^Uol9A<4=P-5FIA{|>PWthZx# zI2$QF`FjGc=PUY?72eXXQl_e47F|YFdy?usyS@bmZm=(&M8ieoO@#YAfH1YZrt8xUwwym*~j9iDjspSIUFo=O-uv#vOo$QwtLL% zmJ+n~`>>nR_31)o9e3bBa(e(4y7RC>W}sduTz*}t6Z5{$#Qj;x*jkRIu8oGqh!6CW^yePpcv9k-h+|Xu z+S~>59_3slogPR1Db-<{vW&}PS8R-vLcSG<4{T-?>&zsljje8`MRb^@>5@!XvtIlq z7hh?A9Z-8jZ)}vwHnE~Y`nm~k?@>nyM{W!wuj}TW{=x-|>ce9QzNMqhZ-nw5<|_fR zVL;%OR%NJRVbH};l#5Dxz&(^5&?L#Ea^-p z=_+)QOde421m_q?-LA;lpA%h+=pYH8TmXOcqnaqrmyE(hi8@GCE@Vs6IYxcH#0|51s#d=BD(evciy$lS8s`5#)l`EV0H=)8ylE$#fWTvRNNqc z?T|m=g0spn#3Ly>aftp8nVF<{49dHVP@4-=iLH)72n;|avkA;2C6`D88I`lBlEe>B z=V17$ONow-u(p)*?uwGWAwq4#fp1qbX_82>?)XqYLA zF5CO#$8WOc5@Vy@0=}$?uaqj*W{A|Uotmsp1tHKG})s7SQYn%}F0x=S@GF~|n zxNm0KYS@p5L31|Y?nycXyyc9}k|Yldv*KKu0B{HBx{DlkMnc%Ncu_o z!)emkmB*+s4xMg*NstCc4<1@aCqLYZ`usB~i{d}<2!hLvy>6mcZ#Y@>O?MqHyf&8H8aw1%=h$C_?amJLva4t_gz`$dF!u54K=s~;J zRFXaw5R`ghvns=(ccZPQo9I6jfU~3re?}EGKMb-a3U5wv z!lNja5@=%@eK;qUvs&JN?=3|7#o=NKQQ1fsqV%gt=7^0^zPLnW_V>sDJFJb7SvPCwIs?f|$Q$5qVFq|6ml_y&_NTZ4cc`Lm@Qwxt7sWP>Xy5O;xv(C+4!HDKa3 zm1oWq2a>#JTv2a-Ii$pv$|B>(f6_ry^+uPj&s{G*?+lePM7d*fwpY$y=YLd|?7W)a zx`asiX%8@3YdH3toIRC$6 z`~)cYD&rgt@t0PLKksX$3}(lgV}~Fn9VX*;{xrMfV{gfS3aYo`cR@B+zeYts0b9&w z@OK!|_tOcd6vv;3yxYrQVwuk;`fzJ)cXmHW+l`_lq=lQsY*s56m?EFFqHsIMqhvf} z^g6uskk3sO2ZDtJb^N@kH`by5E+L zDYrki5Nlw6tsp@Neq=~KQUv@2ZFeoV_n}!qsg1(yVIYV_utf{N z=8dwH6Thwk!V-zmcZxxp-09Qoy*G8gh7S?+Hrp>g@=6UCSYs7^@?Hp$EXX92v z8!j4=6>dz(qp#hQmX0v->zi-m>{Vz~dtU$j_9DdLE@`Y+(G6LLa*TD>v`Iin$)PWw zO$f^*F7b2aOaZAg2(wOGK}h0T3BmZ58E=pY*n!y$P=NH$BnDt?xUfZuTVc`4va8K> znO7Bm3y|kY{dO?|s3z-g^*C4UGay;o9gN2ijN?%`e^+(sp(@4r?JN=;_QWjJ7vjf$ za)1SMuB!HXSQ{M80jhe28V*m8-cQf5MrA^7WLW_1T!Iu}F^Poh4vCyN;}rn@!FA0B zG&YeOcY$jJI0eXK6?(I|RJm4`d-E?vWQENg0W{=_Ly*B zYvJomoSo;O;rApH+s;}5E$#r+ZI7XNRgJ*J#ubxD50RiC`vyK!bhV2TY<2nFEW8Qx zDV8+6eG*1KK6!n(K=+KQ%Rn^~g`aOB34rcDfjEq94@Z{coMn1cq2 z{E`6@-eEJZuR8F?xh$^Jz!T+USfwJuSHtqaWH|VG9ZGR|YtZWHE-NZs+B8}oLaoTn zUC1m)a6|Fs-E+UC({MDK3E}5PSY!2n7#0EzL<3r;7HGUMd?C8%Z);h7vg`qQVrKf} zw%a6`%xNG2zbt}y0QvH}#wjTOKht#@D5O%K`905ar@YWH#|JQR<+eM3{+dG*zJ2(; zH`OZo=FP(JlnAxX4v~e%(>$cM`mZw3!QxrO6c!5}NO?v+{2kk~-(nWlT{_}_^8wP7 zQDY_WvXLbUMKrng|HX&O^MB}}K<4KFvIg|$4$=fMTpxa9Z3gdRV;93mk5AI&Z5HbQ z<35`s2D_<$H|oiqSLUC4W<*^8aNI+81pBkMp=O#`k9`ewr2?_Qi*|0e)0dpQ|1H>< zutup{(}qkZ-VEpvJ04I@$?>^=eC;P4azH?9rN3@Jm%pL{u_#tG7TIevIM>Q!q<4@7 z{uA)WlaT>`O$GiSci~hq3%@=b8zpOQ9Z9|mtPcpOoflvac$u*iXKUf8pMBYB8ZjC{ z(Q@{s`A%?sU($iM2QdvHEjy>-YTUL6P@x@^&f8k&xowb^lzO-e{U3RMzrpXMs;b|B z^JEj7Ta%`t>oZpVx~%&m3+_BAeqm2TkHF1<<2Jyl_ciG~lIf`GU9$q*n%o`COSUQk z1_D7I)u9{{7k>LfH^@5OHb)K9q`VnYx$Y`%#> zhHCY^Z%6lHqnmnP#Fr3%>`)-QeW&<_4inT-xo9@6AN6iy?s2aF3j`n_*-0xW!61Wv zQ{t$Ip+3J=D%qCo(v2g|tDzRJJ?$T`v`pF6C`6B1u721&C@%shcWyF%lxe^LZe1Aa z&Kd84wWSL>jf~mHUCWCftfuWXCUyw)xB520Jes!7RwrY(pVbzB!-@5Tbs3jsCHEj7 zq5>-^?*39tfWL8qf)M+kQv1M=w$|A>7LG8eHIZfM z?60UD{jyva4==uB5-@NJ1*h-GZ6%xoIi4=zOtyAd*P=c>x*|)6=Ks2iVBWL`H<1uZ zdkM&~+`7L@m5T&_IoBSs9U<%`eP}ik6p|{*xCQ&`6QexaxOHCNxrBIh0R5k(vaf1_ zz*_YKe6m6FH1%mBi4d*5E?BL)c-^Gi9o?zpGa<6P&)Y~69zWBBc*}(OLan0`33}mT zz}<0Prz!fMI^}}Nn30Z7L~bdh`Kqv}fPwwAbE!rg6o?Lg@YPmDDiOI3RWwqbHi?Mt zro{Hep~C=6&s#q$CZLIU4SQ8Y~?<6Eb&dIx#EBC z5X7{E1-Ei^Sxs6aHJ->?yvmhghrEQtApEp)J33|3V~Pcsi>E}bC_f8Hd#Okgn8^aP z;CB_4`Au+t)OaE>F@%j0rQ=HckCu;YQ8!FexiVe1ij{R~*!FLWbN{U5Pt8hos&z$} zY2epXlyI6nRsLYMoUs$W?ylr__+@w|I8lCrjS3B&J8fA09B#cVGuR?msGm!|-zJ_| z!WeBuh8G1~!Dr0AodKyAW2~W?`UzJmRGBs(oxt>%e8anDY_}ySOY} z=WFGtUzfQ}otJfw0)>@VBqen-m+zGQXfQ6jGbn_hja)4d56{x}1!x#p!UeFKkfO4ywS@=T_A~B(yIgq;@vuCXW``%|Y8)2z0;mAk>e(V< zd`>8s8bs34X%t9oc!UTw*}eMiH3ABHnAxS>vEgUl@aL=U>=EP?Dk%o8pzhik2eeD{ zScZRQgFmXV*wXb4+=Ep(fx9{+#x}DY>PlsycXlV&1ulsAUW#pHV0N8eVdoXfY)fo^ z3*7tA+9E3?TesP|X24jzH50;6GE;af0g>~b52LW}t=iqWKsprZd0grZhlRk+o!^yV zoX5Z1#IBuqYay`g!Q9P~-#rfOV1!nxLzFu_9$Xe>F5`Lxb~5}6qX~cnU6P8&3jEsRboI0EBBN!gYJ?FNjNc|DE`7x>4Jcuvtg)B0b1320tJJ&dWo9Yqo z7hjmy?R1oung5vL*rbdLS$+D%0A}YVG%3D->U=mz(r_%EAd7Im$HjHD7A*R0%JRvT>jFX3;lxttKox; z_b~-5{OQZ&Xah1MJDA z@ihP3K9YL2O{=^@?kE0DH@qNu^g~~-Yl4Wg45RdSs0Cgy<4+F;bpjlExpqA{kCxZz zs2_Vm!cVU9Qiz)a>oOC>uxPp^O&a^)er&MWqx;?Se?K7VVkK$EySPduRU+RiJ zwTx8F5R~q3;HxQH!J5tXuR3dal^hRXfkA)@8=EEhyX@!O+3A6t%Z?|44xoD>%-@EJ z@qi1U#2h}f;vXK?r8O|mnWp)n3a;O5VNHu2e^|2hKFn}M(Y z^5AgtmrS+*#ISDkiV#I!KdJ=31jlEkG|Rf+v)IFL9Fa6(@#z~p2}!0Yv&KHdH*=cD z>+tEeqE&$lL~)d$?OV;aRF+7n4JuOi)Cgo*0P>7dbe%GM1C4%{z6}aVknnUBK4e%Y zRfu}_&#eTz$qz+;fpP?*B$gAxReB1|g63YrkO@QKf1NIZs0rXgqiwy z&7G)gXX1g5q`F^MUM5bO3CGV`Z?je38K;Dm@liIoV|A}OjK zSJnCLNE{P?9r-s40QNV0?xU~W$8PvaL5o9P>p$cZO#~%$$}QGLK0OxD^o2@MPrguh zhwta$JG~Cp74yG0UA=RaeDcJlHbVS4SyL7F&7eBEg6Ih;0cl-p0_5aa?$Oxh-|d@- zcVN*CFuUmBu+t{TC`e$l^!+u0!eldm})M6m|2i3(e+x)PlScSTn6v~)<>d{ zF_VekJP$xb&!qK)CewgrlxTVDU;4qnXwdO4lu#tgM~vD<$j-zC175K>KkbLx<@x2$ zh9P1;D;l=H)A)Qt_uOt{nkaE1EJfy7H7~9{eiy85&|v;iCv_m2b3G$|qLPfQ0macR zX5tdjdMg-dXST6ERswx>)L{{@y=tqje6_ugqJ9~vZjPH}PsA6CrOTu}E! zp9gt0zv-kv1S~R@X^$9`P#q*1v;%8iAd>+yiTCA;0N@@Slp$C3Lh zD5)2EG-OKbrQoHa<66g=dam4I_aq4%e92CKRO`KRSaTo12m4VM;brT`ROW4=7X2l( z;Jm1OrO;g8b;BvGBL<(@>w)%vX5ZWBo&y_G`#ZVj>W1hFGqH2m(ug zmY_Bs~1U6CnsIgfL0rBLzcHd|)hk{>RYnI4|dC{FN>vCcFsoGVzSF$bbQz((uOjkIH#<8hFhonI=xq6NRD+wfu5j=+ zU+b$j2?6Gz?piOY%-e*dLQ5?`ZK|rJW&Outz3n!4dnC#%6k5a}LY=x>vI?4WszRZ6 z&ongh)`z@vOdGyIJRb6d9-iKRWq4txe+8)!>oQWdCvg&J7LF%NU=0C%c*qG&!WdcW z4Cez>&QmeBu9bFqKy0(GbZT#&1~XRpWD>CGylh2=z?rV&0ZF)j%3tLe>8^pXGf>F1 zzQ5t>OhneR2bKG@0cSgwqtsDX%wZk6nbuFCw1qqxTzWBr3l9wL7GwB5~v-67XJX|ns3|Osh5rty) zHgwu>iWCYm#N8Pg_5^10jY5#_CZBuWv&7Dtw=f#Rs4KOaq-!`Z zCn(j%rEoGsv&kmbO7-7=Qe#caiXi~|Z(;m_*oN!MpzE2NWJ7%>gab@m<98fggI4E$ zMKQ@F2g4y|u~{EFlGY1O=Pc0rl-L(mk`BpUXw4S~G0%FQXY}=dKyWJnavWL%QG_$H zc)l|h!}0MF`CS;llZ+lf3dx36TrRt#0wLry4nPlJlKV;sCz2qMOPT`YwJYSQ0eN;+ zHBU}=DU_(7dIgSI!nR7zNYBm>5VrpBlF`)=a=mVf*|)Xb7<)a5lQZ37SS9q`$n{&f z(@an@R9}P(=E=X$?|=@Lez3lr#;9Z`A{Z`z@N!7kBZCoTWFv3 z4Z1Q?py5G7*`vNX7_KwJ98Zb-=W zxwo1ox%4f%Gq}RjV!?arNs40uMJ7>?I;qai^a4rGB*$u(* zUzgk95puFxv!$9@MhNNnRHDCl5ph8|O)x8KU8*n~n9joq#c!!bS>>&U#LWbrRR)a! z*o<2f+=7*V&ZD5Dr{n5nq-Fr?yORAnA)bpjZuG+wUh^m;W=t~oJD~HMcl;z}(OBNhOByC{ zl{x=^W7Ab)*h4j?0vs~1ml&Q_DqfjIPkoA~NH9Saw3xr#57(r8!AbV8Mv{}WHahdC z(GGv8Ou>`AssMhM-G6Xl9$s=FoJcyaQLq+HQOSKc-xgPbCX((be3AFIJ@d{fw)+!D zudJw{HFYWxkEkGKy>Ow+wNVD6u5pk(fbna8HX2Wr`7PCpzr~LSa%*b<6_n#WeQv;- z(EV@ZgG`Jpynj9s1KkyDh-2AFBHto~=%X5xiF%saF~|2O__MQlqHj52N6M~EU>K4Z z+A>jxA_QR5e9uc_r8s%cL-xFa}$b^AY?2>{|HPD#{cx#O%$9Owre| zi5pAhwX{Oa-gY@{z)1$oTpBh8YfPYjwp3E3*!dE`a-t%Z`eU!vXcGe|X69!N4(hD} zs4GrYcLW07C1f1r3UH9=5C!-G2T+u5&9580w0m*1>lUeQGI^rGedd4-sgjiNG`3u7 z!TeI3-n^Z>D}hKC@rXu{$o}zQITGz9A0Z`~3Nz9b#ABs8EQG1`iiB-#-`j3~Cq2Aa z4iHwr&os){RqCrh{!-dzmY7~LHmt<3d^y&)j-c-9CR%?W>z7=gM5W$f7l)L@&o(+g z7b?{gqB2O_z?P{;%P-=#BA)LeQaOpG-GIIqXe{lQhP;HVn7MyJ5~@x51951;Ij6}2 z5c;(CPrXiDhAN2I>x*@aj|6Ui1D@vIbBgB-Zl9nY<2v}lr&96-kH;kvnAe-cuJ)Zm zsGM`ki(F&tSK_&SrHt+f5B{B5#09C2sLZ1n_*q;~8pi=Kz~DO)W8h$g_mAVslt8 z@2Of{qS1Y7izzLDIxvRJ5NhUe8w3?ba7Ej1l=al%$w9rmO?&=?`we)YH83@3O-Maj zHI?sjtEp&)fu|;K7Df&|TJT)&a&0nd2A^WY5Jm2_F`;0RsHHrLi#zGEbn2@_y1os!zcs8>F$XAQF2^lIS+RJv~ zI4l|If!}sIyLv!S2U8?EJg>gYi_ZN}j7eNlGvD zqLLf?!c%PQo<;jk+;&I061LP2$*3x-`9UTR2w(&S3;tt3(>=(PYrzkj=`Zqid#-~K znOa%LD^09=cO|d-Zfb#De}!oVW(hxf6VS4(lk6GITd`)Nv34lyS>&S=Pw?LW*gvWu z>PuSUz2Poq#JVdbaKi~QmrU)v$g((qY`U-?vGJ{?S#;*Ws>}`Y9p29^2vb@X8OQUn zXCM`TjF>#L31(D&h!W1{pkJ!FNG-qcY-LA|#(=yTQw@s87(-oEe^_0H)dHisqtbEj zZ@T)7L<7L99GAl!b9zw1iZ0sP3_vaRk1_b5S!2*!a!(Zr;V|oy{9KMB=8(ZbeRLz( zzyA+cU41!|0_Y3)e0u7{Aepb$f+I0y0l8&&X>lHnbcd3aYDK5an48@0-c#FO@_^C_ zJPmG>hmjdxoR_Q3e_8Q?;5-jIH*`5oE-L^GT!->0J)ita0H+d$*Sib=SjT#vNZvWX_6K)#ve14C1u(yq8cD~ERfR+KaPq}97&*UXQZVlSVarbItHFiTabX{Crt9S3`)MwF8 zTQ-+3mcvRuG8&49fr_-E{DH$Gin95GfgngMHNqBwpzizu8B>d# z6zA5<(AOYFnefCOTuBmAZS9eJ#x<@h+SHg^4z~iUf87M$zDqa1Tuz)$uB#1M{Qdc6 znQTEQgY$NOa@HMhkD7p`JY|a8yt(AqwlNVs&`=msEIFQ7ji3Vi+26PEl;A3Tm>ZwL zyB*Dm_o9P~v_XhWTNEMD4aQZDP+oZ6@W4M6h0 zFjIzvU?oQ}N@EQYzdymb7$(&6xT0{*Z4%kQrEQedElS6m$DM+P&VD!qEV<&lM;gQ{ zf8K_4H3Yb(aWHjbDe3y)ahB83j|;DK&7& zKl|~R+a)-mhU5#A=ZnMD@@4+}AH9xW<=*-`zs(Z_%$Xj5pzeL1JT=ghW9!kg@ALf3 z;DeP*#4hq_HN>eobqKrvq|@L zN*TYG@o8bHyb8MoSDLry35mp}9ZE26-;$2`2_;G2tuBZ%r(M#gZAguplT}MxRAuJBdF>RA zzFWplWx*h3i50z-DDWYE>;SLDFR{NV(<$Ra*UJEFXLbV8ZG|r*f#lf8?M*J{ z=kHjTPqfN!Bx9c>+5E;MzyKuO#2e5v1$G}Aj9S#TXV2V%@&+zj(<;AJf0IfYVB&7S zDo{10ZtScS^xtj6UOQ&d^5FpECF3g}6*cko*K&rxomG5-!GJziX=iwM`=&lkM)m>w z&1oKVYFxf?RgHB|mO(P;ik)U(@=EwCbFY`HyEG~mTVpcgN3xqbxzN%f2Zi=8HDk2V}LWL3FEBgnDk`RCPQ(99G=n>tsno!rRvCc z_Ox7VMG>F68(VFL)_oll{j3C!C?v8J6q->^8Slw+f2&??105nevzL0d zixs+qVE{}nGd*hr`z>h}-G?m$1S@kM5!26rgK zEY%q-5;~qMYlDuEe|_yfD-g_+aeP;*=VhSW(o+`JsV!Je&olng!xHG~`H_Xun;!6M zpkb^Ex^zwS2Yq*$)QqlNK!Oq7w3TMP4}eK1wR))DPYl@=XM48Of0#Fi#YnE>@c`#3 zQscRrRvwCiutw5*5lE7dE9Tf+fJp?I`P9a|DCbUPpxi`Tf6}94AmEVGLtdAcM+d9d zGKdiTz)ut!w zRxIHr4Ge8be-npeX|IANjn?xRhqZNYV&N?ZWmQDFE8(~XE}_7Akfg)Zp5lJez!Jt4 ztuB}wHBomjGZ@fl%g~)0xL2Fq5&3M&dOV9|}l!N4%48 z+l{{#Kk#<=GjAoMY$386VYl@IfR%d#XSz(>pp1Qp2G;BlE+6P<;KmyAOi(b3mtMvY zRh`}-f1riZKG4onkugt>Yz8wJ;xl`79)dA7u8nM36^R&?z9H$0Bllq zT;Q~h7S3v;F4Hxsiyq=z;7{j@Qt%(129=ksyuGp^!)WSEqiuWx;%I=AC$2-8#8$zdc0+a79@{)*SHAlM^924kj!dfsue8NW!lC3BFnOzy z^(2Qqw6zz(fIN+%W)@`?JyM29lDAtVf3O-R`(XU-Y$y0FdRZbJo$B5t1YD=$0SOA5P%;IdDguTK9v8MrwZwBU(8r2Xu{b#-kerAI#-XazG#^7%5qvIOy z1V9rm@cIgE0$ExoY~eY_|HSn%X}$^zMPfB(RD|(lM;;Swb25*n&mfd%#>CTlfAMdM z9MJpQV*13WQuZ|l*PxlcJ`)Q9+VyTl>>vGMk1?($N)&&@%mSK|$A3^T(ZAl137<0V zt35AxpVXCCW7G!u#P-)mmmb3>d2#l;J^n7q zz+EHfy^yogubZuO`bpgs9BCr6NPf+E%0HW-262#$(u^!(W&g9pm*|f$fB!v0U^Ez6 zZ!KuIIY>HaGP8DbDX4$N5g#=QWlKsgTAB0&|MB$?Fz;v$CKivoI;;WN2p}JI6^oMium89bY&c9O{$G_~@g?wABgWv2TL2@(Fak znj2q>D;U|%*F&_6^Evrl*eH4CDp4MYgVKSH3rP>5Q zrDCAXr1_G^rJOdqt|>hed3>tgKn1)&f8xjDVgs%2@K7wg)tThRs%QTcD1VBOAobTi z;A0M1YdS;TR%(I2n6_%kK`X#>Zas?ifMDdK2XwxJuz1bbrJw|qso>b(YrH#f2*L$K zzXm*?2T9WqpQpGka!&lm3d&$s7VkrCFF&9+;y&3YQ#?s+rE$>Fv1O!>0mHL65znk~ z#h!b&h6*S}*7=B*+ODKaY=4GQf351VQmPkgoB%1miYBl30acfzlN)PfNe@%O<)IDJFDwyB0bn$zAppN$2j z$^O~fQl=O@Oy+dHous={2ckkur+0MS?m`KO`OeUUzB>q;#?+cu`+v%+VnEX9&{Lu3 zXl9(SD|7id<`vNI#Byvb@m)#$E12y!gPICsS%Uc9XQT%a@7z%XAzj^1$R+PHnMW zy79C+vYE))ib(Bk4(l?SzW6IoklOdD-7j1^OlZHRe#@XlVITILqyXfd8oX| zLPX1Y7@=%&dry;Jq9Iq&vsQd`xzqsGQQ-wHJ*81hEhN7hac4j*4Vz$oovozTa%F|s z20N65)(rjK<9^I$~vUI~l4u8BG|4|#J<>CtB9n-rif>=_Td<4CJ+}Z6@%d=~s&*%F@T(q5F)B)r4 zfp-6aWquWE&Lg_zP_!gyGQd`Vq-9Fh@j;0wHHm5pfo*u((Wl_COo_NCoR!`{f`vwnQ16VeG*(+;e5`X@~Zgik}S9y;j6>#@Ap(}T* zC}#A;6C$qdYl&>+#fz*kbY!Mv@h^^JpJ(-q%~VmlRn+|MPN9UB*rXDoz*}11ii{2D z4YEe;sy#faOP|707*qP#ALiF!*+JbsHw|~vAB5i(t1$mf);RcjRM&cAyHyGu&)NuT_A732N)WLG=BssGmS$|K^bmq^o z#9-QUw4>oE)KQMCGbldJ80?u!U|_wHkytXb0HJOpoephk461QSEl>v;U-F-ci4{?zo{kBrzYF-uzdDv7EB%hR8|&Hr z^=jx1dXjfvcX#5Ie{XgsX8OXvL<9CHWLcjLgBv%Rv}qioM2o}8-edat zD28RC^RCIJXD{on9V*ZukmC44zWA>an3ZgZb z%Z$tDOp>K~JhvtgP?E4OIchZ?pQ;+xiY;J~lZ{=~V5I?Gme~NuL98OSVXTZQ@yX## z$s8S@G~?I#^*-vYlV4DPPZ8tv4o)EqdupR*I<{wHv{%;%$ALPw?S)M!BHG%1!haOC zTO~q;gTFUIcYzTd58cWQW{WMCuT35$7P~+REx|)a58g-!Dh`CMWS>eiVE_rOjd_TwV5{2T%74sMss|q z7YSOi^~hMcaXp+qBm44ODZmmJet%DB9aP^3h}qbQ2HEMSmk&_vu>8c7&ug`(Nb!~2 z#RSHDz3HgKXgbRW!glqd%7=}`JvyK?>TebA{Klzr@OExb_5@ORz%Z!`TKho|tt_70 zA%gg$N6Q!&K^W~5O@Ozn^XMSCJ%CM%8+#TW7h$xRKS{4W1<)@-EKCEgFMnSxs)PmY zBFMgPwlmIx7EposL!x>!l2T{Pd!SUPygSy;lx-z}HlL~?EM8q}Y%STRJc<9V*7+=E zLV2K1$4j>+t}qe`f-2Mh8w`%O2&(RczOCT>KcL9yaq*xD43Uy1-vG<5{KGVmSuaRP z+OZza`IF0mv6^~G3{!~ZwtqG2*~2QVakcN#1|WI*^VpWJFPtPV$G&y*8tR5JoUbJI zEeL4RCdq?U6Axz!S}X5&mDc1_h{VaJ5$=K%XPj>WgrVCJ_M^ba@O-GDxY=-9*rTS; z;`o>;Mni>sejQf;NOk8^>?l(~CUTbdyiOjmGyuqj%SNwWz})YnaDRKskrV22yL)AV znOrA-R>Q+@%M?H~BJ_R3v9y!r_hGVw0NSczP`)eD*`9sq`Ir-W;V7 zT%pEKT^9DKlhK6odX?P0-Z-Cr^_Cya)iK#Qs}I5j^_~MQm zIZsg6-u|&wjvuFY9$+25^p|#?WP4W(`YP6Vk-Pm4Jcr~wSby`>H~d}7@Nx((0(pe+ zmMT4_X-x8G1LME*d$zRWzjuKHH(ngu1eTNGnm1nKhxC zt`!aAba{3%*?*H^AO&uAyc3}Q^j2>BcTLtr^s#2FQ$^_YdrFxS>Yrdkd+hGp8MU4< zd`8=f>ff?|e_MX`$f7+-y{$t8>ryxMSfrHv;x<^5{AWlz^yGKO6mt9d0dDlKw~}?A zxq+3fMNvLchAsGr|4V8Lj(F*SyArZX5Q;V9X2anzV1GkQf7?v6kMlXfAk(1Q7E#x- zjtVAiSw_B|OOxL0Meb#0n7(gZsBD;{z!%r)+H-7uxj$@h0XjN-y#h#x_B4aDX|=b> zv-O5L_!+0cqPK9Dtf=5V%|pQMm8YWPshK0S9F(3}UGLvSeJ{zA{bTXwt=p>&j(2%m zLi+Ojdw)}o1AQL3^rf3e`8xTJM2=MX{?ERC*)C1xrM6K3IlUL7`S1NfON6t~05)yD?kH=kDMaZsR z^nWDU9u6r0_@;%Z3`?ErPxTd9^(b13kj}<)mcf)3jEh#}LvST*c zkga8~GIzm)pezj}jbZ3i9Axml8>XVAeZr&LW&ssoBfy3wEyT$b*;V2w^ z(tCP2BX7dG$)FR5*=ISyA2?cLJ6bEN-G6#;pn_951rOLIWlKA>+}+`1^H7X;_q(xm z0MzIFgZq&fU^JG~lgV12h#&NflK;H~T*vhSm?R_6mCSVoLFp zSubTK#@=^iEpdl+(e4WflwM%iGt{C^SG@TVnQ>{5Bt9x!mNf7JP_>%6lMtWtjG>a;Q3L!u3x+o?q<`AjwvxWT zKKxx0DT{w^2d&|2w`x4Ku2E^ILp-|0PzD6Dhu%bk-J{y`%T#Z%Ib+!+`b(dT<+~aWCn2EoNieJ&4;KW$U%pm z*O7M?L0T0MT$UkV{eBfEu74W?WL6=IB}}~Xb$9m*6>VBQefE=Dsaq!zvIWm>{FD#R ztIZKQIWQn5amSPljI(`vzwjSZnB^#jl~kU<1UMABa`@>T?Zx0IR@Zu?0`o6;hj1_! zbI#-DgPL)9H5VEAmdTZd5=NJ$yl}^$p1oHL(?LdyTDE8Oe+?_(2Y=fUx0(${fwaR; z`S@POv|Cp9+-5WzjD#r))m0UMg}gm)vHt~2L5DQXE5JJ?cn?|9TO2&jfEOEObh0?O zhqxf7!!BkpFy3;@0PO0R7J>BIaeym20RU&|rCMNL4++c^ z)%;XX(bQo3-bd|ipYNw|sYQuB;+<$0Iz=oc`UFA8fhc!^p&0H;?f{~T)nSg5;G`b= zYC!qRu*g5mckTKWf#}s)X&q~pG{6TCafNqBHMexyy5!y^u77Q;8XnG!L){4OtNF+L z!4kEyIOu5nBOop>px1kP?HD;ICDs#Q6(` zMd?Y1m~=cMT7UjFHWTNj6lH$3Nsx;ROub6@rsOS7X%)rDc4b}dMkp7U4>zfA z`#*WkC!j--(%1cAO>6Vc(nz|o6NPb*CBJ54y z;c>#)Q-9b{zvFyQMd{iwaaT)c zLXI(oKQ{(Dig98f!6@iCm^^6Q@trDlrvP)g zYK=NoXw=L=hkE>0ZxxM_O|C7Ly?}B{k{x+G__U5n2$C1SRMOTlG$8rG2Qypk=FE2C z7gU+c0CY<7knuQFTk}hJ4>2I)a;82$IWw^9u>Quq-#e-*K=*o6OLcAn%rPk^1%KTl zb=1PT@lPkVzXOZtghJF+BUN85U!`30mnvOX^N+S-8~pMzJK=KC76-fhs`G&_tg4~J z=K{axqA)>GeLgFo0geqY#wAB*nTU`|<`S+zzpSKArQt_Y!1;Gcz|)8d;&LmpTv;d9 z(Z$Te)`4wM?5BOOzYJcM`x?Pq(|_PHcOL6HTzlQsG~qj8{K=-m#y+>vmI}o(Xcn1f zM~MS)AG!BEYXf^U*S@X*nWd=$2*6XU6x4f7Ns*yoOqje)tn?PiGvkMe^K2t7imRB= zn~+4>zBN=&z!ofK!zyz=M6|EsDl;5eR|X%Pfe4xzvU*Js1ueho)xdy^Z-0iuw(Xe? z>Rj~BRN;9%&6;|pL>9+#8vH_kWPYyM%jBCXAq{GEret+H$W^&d+wW<;@`E1&6)?+Y zPn#u>KSlIYGOm5)vYv~X(IU?N3b*=_PXbBRuNv;x#a79aFtC-%9FMgT6fKScA2iAz zeBEN@jQ;Kbmm2IuTnKp#LIuH z?&;-`TzP3`s(0%TIz2@u<19v63|_$v!T))~G7Ouxf^+#e;r~NPDu4FbXEv0mQfMkf zu`36j;qY91ZBBt{;NO9?3mtm^GgJd z)dn9~q13(cBHPAY^F{v+fpRAFgPgXa^2yJ;dT7_zRu3!Tu%!Ab5r8p}y*0NHlv@tx zRyj3`qd?*|b$><R2;P@?y*&pe_j<)IfBes%;?#dc7FsOFs{$-}{VHUf)UT%R{gSi3Dg9m-abbQb)rdQKU zGS@w%c7KddQJ=w8M2!@t*5DcVY8Qyqbj>vZ$_&~1U$2}YCb8JwToDbZK|u8GKW4_n zCwO@3_#@DeTSL-K7<$1@#@GUwB2Y|e9ql_BQi+DWGtO~$9M%9iok+NqVv+_k-wf0^ zfCBO_$rIIc7nl+>&r{yvi-RKYOSl)F1el$uYk!$3Zc`x ze{?Qq4KIO;C40`2`m}L^NSnt!6f&oW$H*Q)vMep+eZK5QD_HUh(QtOKF(?|~@{2mAo*HwTuA?a1dDF#dLM3`u9%)8fL9 zCSWRj;mB_Rel15!IVIGU03Iub)}#KN;(rt&k9+e7QJli}TH-*n!|R3z-iL6H)=TxO|4?pTZ<^Djzejup=;)`6Q;q0?}J%^H|iW3jDEBh3rZqj~;_>5AEx(ra8hc zL1;beat?i+y4sZ9iNnW|PmA|un4jMJ>w7@+7R$nJbO*%VTCZp9H3Nq<^WL6*R<9|58M=0I7 zX?;Vz4g!Bl{oGq@drBHjq5;-2@7@aVE#6GzBq(S&?ty%`X+-mhbOrZc1%}ro(Ff?f z5v_0}_&cC#`^}I-eB@32Nyml$)Tr_HI>JUhmfy4T1wrGHV~qlfdJo%vrdTve&7p7G z@8Y36%YQDLlikY;1%Wrxj(?wAfM8eioJWaPu?0y6th2Rf;ddVZGDO{h*Bt;J_1dcN zUKYxGKCTQSV6i|vA(#5|7pZu9nnV0b(TN#-Z49oTOQRdJ40_+@!8&ygFEA3|jXKuu zHRBYav=U`G5GkgMiOKfte`#)RMBF?LE7FoawO9+bAF)qYxwt33v43@vqd9&-mc_oB zn>pqPeJlY(;Le{XX(3spz$xNq7_&x48Nnq#jSxCh4G3YD{va((Qkg<)`zI}3L@xv>GHjh0*Be2FBaCm1LF~?WI$$yu4v^A;4C(ay??5PofbazF!`W7FoMYGFMf3bIuhSq{#$)%D%4e%} ztq0l@hg9emd4IA$x!PLxH1>~!k4JHLM$qhmA|&SCOjniGvPR(L@rAVvL8AL~?^|SR z4zG^0B(~cz34OsN+Ko05q5|vfo5x|K6G8!E2c70!#JP}ecn9L7PY|xv$SDj1H4+#j z!T{Z%1eX0((W(DAy0lPGCMTDn42~MHR{S@09FjU~;D6j-!l>7j-FM4eg2=`vm*Z?+ z-fX&qpGPnByICD%!LDux%wErJ4`?zrpv6`cay~)+=nOjD}R|Bg~_wYIz7It#5S0JCQKj#JuEx+*GKfX^{7SQ3EDfa!mm&K>HAn9l$&L2@) zb8?wz+6LY(Fr?9U?7tpXAd#NKmI8<`H37U55P!UgSjA{)bh$k2Gsw2szs)9Yqyw%7 zzLr^h`?}BLIfF8tYm38hDFNpew{lwL-#n85d;n8)xKQvOEzV*LHQ_%SqdC)jsuWaV zt9qu?U@?dE;0Cjg3r`f<`>GwJz%hnup-*XAznE_6wq2znQj0@+z`b(N_Of>~98D)3 zlz#%Df^qk!etN_}VZqX&i?V`1K1iX!EeYkx09$`#X(yPWKO`4HTvZ1_7w{79S$Oi_ zR7!BM;Ey&r@K5l5ejQje%OVa)kUG@{lX#U1Vakfe^y2xBcb8t@VTJmD01Y<3t`|Hj zTkgm~5^$pZfGOv*IWn;?qVTy0qw^L}+kYXU-r$Im38A4vUhcI%JzmSMRzzVfpT*v) z_{n$+U!w;T-pYZeAFU>yq=SffiItVgvuJXv6D4Dg>WmN z&lEYRj{~xK&jd?Ym(qZSEB&0N?oaeCJadUDZ^QWq1hTt~Q?IvldOmhCu2tpCe1C>* zVaTw!OM&4^)Pgvis1c%2xu^>@qqq*zn1_VauJhAp7#>>S7PULdIJ(%~EiT7uMgQx2 zrIO^pog54ymlEw3ZkuP?w{+qu!O_QTa&0utC(EvmXMt+Y^9X31Bu0%=58r!S&VPCret!aY zHp-F8nX3W_FNi0Abe7GSuIFpN;dnHT9`5~xTYjK>3RW1NTpUPo87Pn|q*C%#Buq)q zU#$$%3_mwvA}%fyCYxC_6?8+*>$2jsP5D$+k!>=_N8hpgk=loD`II=#QE@_vj=E#y7=ZL&6Jo7f?=S#r;RnyU(g&Md!+}61o952mvs^>pkQLGHE z9!x3??R6;)7WX)O{D9Iuroy`??G&c{{1(Pfv4J0xa9Cj01a@USqRcj0OFbE3lv7_? z*ix;RGX1OhPi?9Ih@LrDlsg#|9(ANV&yKZ{=tv+yA%7C_Jqw4~AHN?m_9UYEhzWRu z;3ja{*m{`RF~3cJXs`Br5a0>=0+6lUqqPVxd*20>&52nA{jnNJ$7HFf?Q!6t4s&PU zy*0N(&n42B9U`0P1;N#jgOPq&v2%q_8Ak4G@Xb!?-B|M7Rpm^~LN7z@6A{yu56i!G zg>C*e^nYW3@HhhOS}2y{IEVX8qi9fcGBN~#wL;0_?Z%58+Z`A0KzF-_{pIHgfG1ro z1{anPQJrpW_d-bW3J6ZcZeq*_$t#g0}Sfv zz#=8c^y}3Q=B^$+TV2LIqO~o5rwh^B;KZO>@_%eGu`#c^?cAb|(p3aB+O>Q(3Fz=1 z-(p&In)NDnir3I8U60X>0AirG!mPFnd+ciT1SmjOOPoCB*FJ!ov!tTFdR@q=7=pG@RGC0V*dXird?J*9uApn~VeJ1jkaNbVJ7593hF=_^X zDp{qTv4Zax<92j{UU~Pekue&jrBb{-tbca2E;7I|AHbDpy+tR<;LStVrCsVid5hvR zVPY-L*xciotMh3Q_9X)h`b)%%18NYl|GyiSrcn87!&Fmo&PwsV!zsd`gKPn(&mU0X z{V$&^b_ZQ(jlYSJjxfY@L4FnEAPO#w!DKX}&JOX;7XVldA!t^&-o+UI2tVMXJb&*U zU^xvX>9r4LQevAf4`Ll2Pp*M5hqPB`6uO6%eydnHRmpHn+u?Sm##FH?$8`&ouC_K1 z``y$fnaqPs`GW%N$#jQil)I8%^m^>5J%7t*oE(S%QY?v8$$FqstiVlqFTg=3Xep8W zGHU#q->@cSlFOQSc}nTHGg~EP8Gm&N_AEbaz)qk-vi?I-Q}OZxm*$BA4$!edq=GNk zg9Gm~0RMW^i65JYc3ue)CRB*t$}LT3@79 zTK>>>`R*p*V>m5JX3g8}30tGu28j1y{X7EORi~$Z6%zym*qBl*Vy38;7W%4^myO2W z>+i}67BK%}fdUqK2P}r#`{e%$#;gek8ky+fn>GC%R(ub_gp^ZNq-6}1?S^T|^{Kl` z#<#7SdaP&5r#^q$$$Ym)9)EdHzTi)v(S)*guTEzH&XSxY6PY!zwTbF?Byiu$QQ=lb zPb(6T*+Mt{tqOq&idZSVXI$tS5Y(wu?;D>>cZ%59L$9rLLIM{av{L6s@-RKy0@@)w zCO#z1;;{3lscvC(-{IPm4EHC64GtiG591L@mxqfHJek5%iDc?Y)_=9XpfTtE0q{G+ z8(T=Y1ed_CR1U_`TMiyQf{eOaUh06u@R*YzW))EEzjQ`0Nj>vJ@}dv@uv|dl#iFP2 z-w*>K6b5~m6>fy^&{mNeD7nef@4q!oEZv7vVBnK>|F<}hXB+I5n^jkQIW`z69bLVJ ztM-jfgM2?Ky1FK|BYztruA}TXIW`87qGPxP+J>1AnUTYz_Mu;-Xm6Ms&4z3Uf!e_* zh>aY&UItULlkj!`oOB65^}KT_2|b#H={k)6TqHj>*)@ao(rOn51~$yITJna~r{Ol> z2CMc`3jirAm!*FOv~_?`7~ib@@_PvW_B97RnTtwLD-ok^fq!#BTJFb41T|ONYcn~J zbg3=$iZRus*p2s_O`Ao#A%`3683SI9Ehe|N@wt!al3_#b4Z!z~PshC;vM9XM$CjW> z*C0?a)q0$9tKkO^RFuQ+Va{q*p0(5jluG!OZ--L!`SHaY8&N0q-4qhIoC?D-G%x40 zXUr)VqV)Mi^nb&7Y)FSo-Zpt`Tf63_tV~bITEM~vBHok$e${?k!|`Ukj3llrVM>V2 z)>YP&g{fRqmr-)aTLmt?vV!w)(OyisJ)uh7nQ-#$n3uHkEQ=6HEC>ogz%j5XQ>!LW zNXkW%5S?T<*hpV(1&aNoW&IrTS%LUaar2l@3+Fh(y?-@bSHlgrv^>ibT5X1`d3hbP zt(Qn`NEl)!Kj&Ak2Ixj&Nj6P%4Ca&Jh~S_#9r*31bp%Ukd6WzfIsn~i5ve^f&8h9lZOoeVYuOqt~pa%Gi>)wk|&|I)jh$m-=ate|c{CTa@zlzfvUl&@et zZ}Z|zi>=>5;lNZbrReD$bYK_-@{mq-e)P>4jsiv-iy5A`q)&E zs7y2VtY!0Iwe@24c^VTR7J4CD@Z~Qw_5&Mk~~1qquYSx*ZW|aM7vF658@XV}E0UAvFBEs!h>b zEq|!xrIREic7$FYUloX$PDo3R^C}EG!culkQ4Gs7kmAWaWHIW%?NuBsXR^}4qM*-h zT3`m2^e3`t?*$g(wk!qt&ML}eMZ=$sB_K0nci8(4kI9?jd=i)ILi zD!ZGGM)nBCgPVngpN^1B?3$5)_0Td=$AWKuBSOAO>pW#o{89{#@;ItwRVX10Ch8x2#9Ck>QOWFQb|RX6+yEm2<+$_5bVq7 z)X?QqDzZR)3OhRufxZ1Jk5m6k&3~C(Ov-c?BBbun`Ze!&XK>2+|3-8N7OlzxB@WH( z)VxUY#qJD?g)K>+3B7q@L}F^9A}EQ}dw+a*D71rPHki`4#VpEZXozSjSt$$=*%LGI z9*ZvYKhQBt7K(i{#u4u(ga*SIW9eE?t#eAmi`z`?nxbLMG>e@F%j?G`trTaQ^FYmPh!L#z*p?nI^QFL6#D z_#gv_;jUlXThWM)4+G>xOn;(POyE5JI7jJ(#f|i@XSjX3r&RY0B8#RPCv|3h?Fy`^ zq+AT=aS}e(YDDIIK9V(bCGFP6oy64{c6xNcsPI@26ac*R>n*2y%2WglrKyXsPldns zM|d?;#Zi2NAhsA4ZDB$gsfP@pdU%23tDIFg5T98v0L->?-1Z!SD1R6hSXvxy5TNg` zJ`^OYEvdE@d5^)2V`EViSSq?kZ;tMdgaj-C^o^Z9K=YphF zS)`&OFPD9tcVNpLntxqRA3sGxm1RpiG7~)C7UFWb5InY*HQ>Ud1mO9sH3veCInm9z zLCH8eAdfS%6e{qaJNr;{A^a!dH_E*7(-OvgyexFGpKAC83_Diz97GOvOnhl{3y14tr>=Sey?3jeo=$5C%~36y$^Go@`_; z+xn>I(eVP~7B|2a7e!lZ$(nh{3e!PxBOrl`t6rJhdyL4CtebSH*N;O;h`hdq=pL~> z2kswSW2}Y!I`4zH-UKst*%B30@YtJr{0~-nf}@38nhdz8KFl#Uyq)+8*dTUNZwX?m zSu^?%0l^!1ihs9aS9A4iS$x)@NT$pKFk@1fpo~ayHESlzxFYhaKDFCjTX&tS_U`iP8rF_4n=0Cbbl)|A-$L$7*$y%X!AGafp8B3 ze=$!r)eHWjb`KwqHq5LiwfoC~7`Xq$f(Q`T0fJ>gnwM1O(fUmSysLOx%z94m6+>%l z2ytU_*(04bBFWM8y7q!wZwIHwC{sgy606|s!A*}$@*=&{>b$jo`A4pNlq9j$a<{r> zXGc$r7JoPlyNw>}g9q+F^$`@``#UUS1fGqFAQ|K*&GdV-n0Iy+9QilvJ#mg96YE z(KnGoSctgdjJJCIV16yjZFyX^YA#`5-6!&dg@5X|X2Y8T7_D6wrMbYp{+pgF)M=FA zh!M$Od6iF|hxfk4cbOCZlXXhl`5b@tV+FeR)Q{t1mn6%vC0y8>=&-=~Qk*?xtB0cZ zZSDW1N=J#KukSIl@iuaTS0i5ZUS)9Q!P#-0=DZmgc*}kl1}34if$v!a|GP+<>Z!w_{~)h8Mos_I;d=57TIM1Y z8m0KulNpE`XSlP-%GWY32W(;H(7z=W+<)KsPE35s7zj?fT_yinq|=O;O`ttu&dWzy zhnd4lL56WWW7l&Xh#!6HV?)M|Rm?YLh2r1#no%C+aEKkpQWSj&U1-g+{(?6IfA_)r zpY(yvpn;ylLUx5pg&~#Ln6Uujz1jqRWZ92t3mCafCU;AhB&eS=?$SIEf?cjNZhzJo z3B+!FC)vm~b`rBD1s>I{d{+W5A>5CT_7cu;jI-3-Z9Yc8e;i&Z6b0VGI&whI$7`ld1O;noN(uY`?3~--fi(oMENY zETh=U^)=;5oM$yL($p-n2doIg2r z<$4m>hQ;;jw4aW)$G4m|NK{x1&|&?@(ebw}-HB(w_p3%K+5~dYY_(F{g&Af?XbIAR zE!ZSq^OIe&w7G#89a z-9<112KG$De9I>QRS5|pJ;|?%=$e%Yt;z1wWVA;`o-H%#ZRD1|mWCIOk#gK1lqE5F zM~X#PxfO~bWZeR}mwHYCjMj9ptgvgl>Lp{pzB9~q34_RGayg|}g5Jws#Xf)d#@c5I z867GSsw{Z#(MY=|fU-k1(|=;v7jJgcK6303Rb$$H z7JZ3YgY$@eVSK$8u}pwd0YYW&h>F@e`6qDU)n&h@yvCA@73Y2=AO2moKnKE8{?y^a z{$MHke=2`Zh`MM5ekHUN4J{UmDy-#QLLiN2 z0oS72Cl{T5bPr?@wttLll896Rnc6daYf5~5*``hTt9R;Pd9p@K1S+H&wKmw6E2b^G zqv@^ux|9_DS6~SI5tJSORPG4LZ#7-&;4GB7*D8^Gr$D@o@o&e&_(9#I=|V)(kJJ^b z|KWZvQb9%Hn7c;-es$VRr5!O|Ri0Q#S(0scns*v>6pv)ZHh+Q#J#6ZOZNDnXB^#?P zc_gs}TUcM*j;7Om^l7IxqIZ&s28D10=f8cH9f-qk-^GVY2wK;mNi*T9gJ9z*=Iue* zyu{*<64IjV`~I2W$ebmL9sZLKP%Bn0#0!ORI<}6csZ#Dl`WeK1?YL)}RGjEtpT?h4JK`nLK(%X~J*63&sF$`w7+rJpt| zzL5E$L*g24Jzcv$4xfkpJC^?|dpJVb!K;~+M6fkc1{=kI&RqY@3%alDkxkWVWZqsm zyOSc}_B^5;U7Jd$>|7G1t616aAn3h5sbB=Y&bZo!;b{Rl!sj}6VHbNktenK669CF$ z2~<)OO@CCUT^dUC56PdE#NL%D;d_@Y0&86Bm+NVDcYnAiXmP;%i54gJA!h1gfjX^) zVE)v~;%t^g@{uUs&QnsRP9X(OKJctvV0qZv6p#1 zJI8E&i)AM$X|&yCZs;-QU@8cG&7Q9!zRl4&Xt6Xb#T&df@JA+YJh51do8JmYCyVvx zRgYZCZxlib@;`h<9D~B$`7yM1z9B?yXJ6ofKDWn#uM*2XqY%H@5imfgsE~!R8Tq7u z<9{ZBa|mkEfyj9YmG` z-Ui_-m}5A(rzL>*;9d0u%xmwFRE&E}L3ab=63;7A5F@WyGn{UqUMf5z!cCl%c#&@x zAc1pdA)J)1Ll2L9BBr4{h9$f#^a*~VGJj5!P!n*)^0jeSAt(hBuMhN_L?1BFd%xDU z-&SBd-$is9=ITH`?0Kg#nC&A<%rQNcBwai%#i>YcByBW%TP$`PnqrBgvqnR1GIE2+ z39Ud09(%0M#`?>=1j!G!IYhn_MYGJnin~I6OeTJ4B@gTZInEOeIl&r;KXuGD;D7#- z+H?V7=%vs`{iW#9aDxGzh5@dYL*KEOHtgSGA)VC=h{Ps2=kIUCq=Mk{XcJy_S%66r zwx_Z)z~H^J6(3c1z${IHY5M_uE@sW3Qq@OyR+MFqXYtVz)?iok+`$^KP*TE1!=my` z6rh7>n9w$^VK@m@d)an$dxBL@X@9NT_scibzP)dH=s*c&!CqvW+3M+W;T?{L>$&B2?PQ7+4Vw;*lje<9+e{{+JNe)J`thcf0!Tp*D$R5wX;X5yJTD-2TX)<10r&IuzklhTb>V1a z3e1C^5J8QOtFyK1j>7WAu&s5urq@xWD!Nh(y6mIY2=6V}7 z5CO>%!cf5oG6Pm|I&BaVVysZzaXvv>_C4Kt#vHHSD^o67>hKm57=CSB?`N=t&f&kPnI+o=67-NND%85RkXNgcR&n&lwDYjO3HLjZHuxI zltl<}R3k$q2m3P;p1nv}wRKwU%mlj64_0~bZ!ZUE_p-aDM=f;s2BM|P?`bei<_l^k zi=eqrXXnk+xgqu81ApO{VxYQzB!<7U3&o$_nj1Ucwbwv}FZB~Qs0|^1caAiWdG3XY zkcxya$myd9$uzJf5bt!$_uy5geuUR(*6OfoKBtI8d{kp9TA=bh)P9n9fXy&_5>4rka#CWEF(@_*Jjqnc>PCWR zsPB7T`VUXdYWG8b|A~x$eGi)FHwau-q6!DG&d;boH}x{kSoysh8g+25eh4|y_AABA zmG-48;BiYa9Dl}~oFw0^i=M4yN=bZDbN}23B=Ufw=9^5V*KZ1vO+Tiws>7N=(3jcK z1j}(thbz!Ks5mM-mfP+@xtKEZ&d%=LiG%S~<{cT6M=p!{FbwGPsNIALL5adRHcIzx z^3#CU5Ij`2t8T}2p0s{gy+0JzC0h1rh1sti3V+MGP|JExCud>qkWmfqTk-bI2rK8; zAES{(t18|P1S-B~U-l6{wm6*&jJsKfqle-1IYn4H&+mJ`LJcL;clX(&$NGXxVchit z&bkRU&&QQS$f0Hdy+uPa-6@9N7(Ht69SI3XZ;8BnLM(WK10xQ=k9o54q_cYc12rbaQJdX083MCFSVV3xC#Q7q?g zQ6sX9)bh$<{-BsW{%Jn?HFO1m@cMhQLAA0z#NUOJng3B{3s7lPR`Cr*ttfl(6!+lI zx_tEKc^rISH8ySd!K``%T*DxVdl@oV%YVLmFh}f|XHqLf-ifpl7^y8in{L5ZGjc<) zr}$)G*8=zT3APSJWQm4>N`Z(Kz*3lG({%z<70j-#g;!weF+{w6t0J;mu7HCPPUIydJQjuk|u3sCx6`QUEub8YEurs$_tnH% zP=z@5H#OrquagTdGSPXT@h(hkPK}f#=kRIwqKa~yi{aaGenYTzs!1?M$?;^Bo@8oJ zxt-H}ZmYxqnVKTIJ{mp6Q9m_afsSRK&;oxa@5WE7b0{gLd&NM~HA-&GFP{UP$E>Ud z{yDJTMG^>#Gmhc&uCi*?ZDvInxx)-1mZyeolZGzmSmUPsczR>4l&)m&fn_NpxVY*E z)Zm!uqXEKeYUX+=sKDaSgE~<|f1b~uPG9eUI*bqMo9gNSl&^A{Wk5#HZ$IQX} zz^4)ZzxRTb>H7`tH>n`_6hZq(F{``poRy1cD(nTJj*K%zCI$AESq=Z~{PscD#e~ay z3t_}h1Mb+lx@-fc@a}t=dLyM-^ALZMUov7wN&Q`B@FhW<#9&9~ztLxsXM3=yw465; z_f59_@q;yNges+!uHTC4(jo#FtU3{RxyBauBD*H#kb~7eO_ewD4t>~6W5+~*&sV2j z2Zn-0dJ5I^A%z~q0kKj89Ct>&c}|a^JlmYTGndiOl@MeOHCmMm|u?LVQH7ZkXRZpKlMO_v=PJh8rJ@y?Swzc z203YKYTDP%cVUHod<&SpI@gE=`3R85^c6?O_GaKyS~wxe z)WnL?nCen{`&xz03a?B2oc|roKwPecQKt;Xm_8qiP)OHAZw4qDd->?tw3w0W_w=sm z`oNR>`=6T@8A;&INk)Iaq*ec=dFgPD9JBZY-E>4Hk+k@>_kxBAMV`LgX_h${;CqOU z{;L4Jf97qf#G_p8-=>ivEqJbbw+sR{S@5?z5NU0&=W3NP_9xPC$QC^Va)b}R^i>!u z{&Qxif2;djAS+PoR=Y(iH>gvclsf>gf^oQpr3%-0~N0 z(2Yg&ZNSn8gsEt1I6Ge3HPBK~8|P(i{5hz*jfPpuwE{Ma5zKA0M*7eUe#(x*hY{C+ ztcasUc?|wtP^pI&ig*k5-}R@*kg$&{*W;YzrAB3qzr&19GbPc3vlmw{`BMnV&JoMF zynVE6K|_C)AtZnPYqz#Jj23uzgA-dWVDQ8tL=X{imb*b!g-Re@jVy4ZbNtp zxRU*XCV*he0U}LvDsX7F*?RHf@q_LG`49}7vR2Rm%AJhZ>uG8->Gaa>PCq25C~Y9y z1o<4#-%jVp=;5a8lUQTqTg;T1G2?Qc;5lO%5WGi%$c-5A(Tn=}BO%6He!w%+4+)w& zk{<&7*!+JY&&h5`#$AG=2xT)eUad!MHBHd7m|x7+(UvyUCyBTnCL@Vly0k# zYt7cggq5S+R*qX9uCfX0XG4V1&P8A7M1C~e7I@y(l2r_9_i6X!T3IlPT3mbQ`8pWhbB6=DtBVI&PTBNd3qi6CW?b0GPVc^4{^r@+&;p5+tT~C6Z#SB0>O%O zNN$2j7_txoy(j~A$^t~$r^GqTZU(-_geqso)2Latndc%c7!#yPT|8d*>Jj1;;&VuMh<$s-qk^A`GRFx*+GgB@pO1tBazqHNPwO0Y-@ewZ~4W8r9g_1m- zVj@H-oa7RNGxEveKUTI9a~WoVZtG|KV8CaZWvJA)?Gwca+RUilQWh9?2WzFpKqh}K zm-X}~v*Uh=p?BAJS2Ysj(LWf_IMxGFI<&C;E|T+@8tcg!sIoS9c2kDzq<@S&#d=fm zn!GD1n`XeVDJTg~e5f=Fk-7+{F`kWJV<;^(mQTga0YQ=`Ykafr*`akMge7LJko0jqVecXI(f}_x(6B{;{n|z zbGadwg{0-Sz#peOhGtH@mA-#_*E<83sDk%D2hD_O7%3L8=*C#d8U;v-+Nw)G!JjY> zXHY!9kfKPI)>b)1`D5W|#N?tgXTt*gs_hqDfE|-7!nnKV=*vc)u_yau@`d>q(y0OnFCGyA;a$XD1Fs{ zSyyd>^NW?$^_r`mV}^hn9?*A#R#f3O)W!Z02VcowhIP5Vk1aszgPhu#dOUW^o1us8ybeIS-=k1&w3F*J~6&EkAY+7t3sI;-C#y6%D5n`!%vDHW{nphjquZdcOcJe zoMfCcQ;pNl23;8`Q2cJp*r#pW0GE66ZsnVK|IOXSeNA>{q@%M7>PTT={K43BW`}e( zc>teoH&DR^a+9a{rzs?BA3lbF*^5aZacT#n5xpcXZ#e#;eOCug`d-SX zt_w&ToeZ)fKi{_0j+#asjG~T8Ubx+kIZeYt2ByJNuo_n}uToSGOJ>w;=SnF>>rVo+*r{E#IPYylrA1*4B>gK*EB zfPdY23BDTRQ>=`aRuhCDJngAim=7GDycSSgN>_LOHsG_8zjA14xGdGQ2^G+%*321J zy~pvl#~pu|U1rq}WK1+yIEB zwYgkxfGiGu{xR5IX35rt8y6>QjOMG8-LG__wS~eU*YjB%Y->$_K2En2wa*dryk2?o zn3X39U?nfo;W5;IUObO^Ga0M6>3WNr9Ebfg4bba$LSi#vc6o%ewbzXQUK|UVK(=Zl zE+NXFf+D$XbG$gk+IK!zk(xu75OPPNu{Wz-+&-C6?PFlU85fc}?2}{m#%V z9i%47sy?eJf0`*m#ecbI+eQ_Hc}+arX2Ds|%K-QO3RgB}A+&+`O9e2r-d37XDD;!L@Vu7ry*Q zN*@aM7b1dA(P&wx4I8Q_PFf18+t)WaM=z1SXh0XQi3dW3Yi*{N_J(Spb8Q`A!&yz3 z9SV+fRJ1H>$+*UukULT7^M3Mwv`%uKF~ZqKZC1x~{A>OO`eBG^cXHCk{g;AyrOGj( z=i6WO4|ceJT+BqY7;9qk2()>p*By{8s7l~91LhJv zsk79P%HWCvp{mWM<%cU7XviV)({~nZ;IdDWAKRf5$4rx4zN6m+w#zKUL^+g% zm;tk-=!@;OM<@9S@=AE9`k88Er0`ELZR3!G{eN#61rXQU+GWyts_>(?NTMXNk>Q9b!prEF&=hgYM}y@%lGTsgpmTE{uLiMq6!n=oo$*{B`PXE zGhgd!4n6Gl;j)s$R}?dDxdr#{Y6j52W4O>ZujV*6<#4TNn%O)8pyPd4&|cpHbV6N! zv%m4W*T07n&|@zXJCfkal#dXb+k{EwjI>tMxFS}U2`CP7nYN1kht(9X_M{C;y6&e5 zlwZX|sVtIeTTtp>*`k!u>-UVz9IWn!KZv4y$Tr@8Wy_lVRRrKeB2H2LOc~$ z?wznqxcW~!m8YE96-Uf7>NZ4gf;Db1Pyzfp^EngO=FnMzJ*29G2E z_0`l-Kj&$UD*lDE^5}%?4y>o9loNGhKbeADx3h>3(HXI@#~jAS3?pfW2I8lGBzQu} zkx)*USEwz(-Kv(~iUKBmlYKW29WSRD zz?I0Gy}b|`r&&52r>G?JYO)hU%+k~_JvQne^+W3hR$l?K!po=An(rH2=KozhffLe8 z=0JQmrg%K{B4XA;@Z>2wu3HX&uB%Rk6leBELVR=@Ax6aSNQs_}xAA?IT=FDL&KR`( zlB?lhQs8mcD+A$S38xFHOWx1h`EcvKL-7%OMmTpFX9UQ1FMCr%V#rdY8(#K$lC+0n z_3eL`Mu#QJvts~8Qvyd4vw1(+WNtkICt^B86H41;PA?uTJgVn;Cd;XR(DpCEH-URX zlzWn92yZ>gpTBG`J&AL(k-T2!Cq@l{i|Y`qV1}pR&HF{iv+D+2=qzKg8>TFsdYS5c zm}a;pU30soOh&ajlq`AGaiIRKx{p8l=NU~@7MicbG~iqaY;%+{l^0n|xI_iGura+x z7i~OS^SrclD8G2K<|0#n(6lIUkL27PF`CIa%sCS^(MI-nW9*9;!kUyW^I?Q&$C#)~ zyT~FCvb-V?AI?XW*G!9;3n4cs;2qha1$g9z(a05N3h6|Yoew|FQ;C}EU3~8NA?!(O z^0e1(W}RyuhAxdWqLr|odYNn0O_(niBC@-|IhaxywYyGJUdit``-Ui-SS;CoK47YL5 zJkMM_hPwAveq_8wc-i@JXNpU=(W?Y7vp8Z4)JWe_21c3eXnW~nUoMod0nZ%Hnq zI2N=mokT@qtZEyDecPtx!o}(ZXmbn1FB7*V~8Xft^ zO-@`?ZFHFVS|)nS(w5Q5@kQ7wzF%4vLL_U-d z+pJQaWy4i5O_|Sle+DlkukdW?=-Ou9&ScSKuS-Zh!n@tv>gb!44y)piwXf1eB4l7_ zoCwqI^aL}126+>~N|#`Q=Brv;Xm*g~KOWF*G4uq{<<6G{kzNwd+DjM>6E?Jck94+$TY(XRW`uP18JIVJ6oyQ%saRe}iBN3*5>;H-r~6j= zSX#0-fnToQ6XFB}`yf=lVG=Tez%L%8yUK(MX!1`SlPSJPLcZ$~JERslw<$r-(siBS zSd%Ud8la!X{(d+aJq!Ceb21(eFUZiGqOBUKZ3u!wN2&$==$=LI0w+-+B^7bAPoh(h z9~3cvNVWcAL1;B%j~8xS3>^AMrETDYXLtnIoUv^;mBTL)M0{m-Ja_hSH0XBN^_&ih7Vrdrx$+%7b*T+Jey6T#^lu`P#sBu_X3?Co zIaE7?!CwvAER_C^Abix*G7Sc5MRtIOE5C++_t)^HxZClb6l_$XF|sU$pSxkj>eKto z6*{{QE>Cub&Q+q|ismmZFxDHfB6;zrpNj4x>H{9qalhx^y;AWMx3A8Ut^!qR*yE3S zU5(u??{gVl&}9;zYGN3jS9~Ke!x_D7VW^NOAhUx5%fqzcnq%P@f7^e!V z71@fO;co2wUGYCek4QPBp)|-hcTFKPYaeFg!7duN*L|M4PF+D~2Pzh`v>y@g(?9o>KChyy8no&_#{WB)tL zNam_3UibAld89II4`5R=M=Hi($Jsr7BoBQY-?2fQKB;uaD&6-31v_56-*JIURL*s0 z$4NlrLhjN`fI&nb^uFV{dY!5WtlX(^m-l?R1xj1{bk?@{1>`zQCdpoJB4 zHDf6+P;Mv{Ef$Mw_zcz6-S&(mcA-NT7h;pJIE3@l6|_%4)ny$5iKm2J`me!SO9qvo z+^EW!Z9wqqM>Pw|E@Ao ztVcQ6l<4UXPh^UKEYkNJ-qmus$Z}A?S;Zp{0$Lwc8jIqEH5b)RuM{YGTikq% zGdWc9PKF5IRO?fhvtqY@v!s*e=%yOI;uhJ|8gR#cPyOa|_vZBZY-s$rS}Veb2!3N+ zvqEp@5lm`>%D3M}2)b#@Fq)QYv+Z!e;cS73+6K5-;b2RVyn?>}Ar9iPZUQxHULJQ& z0`=1p*F#Ua;!x6n*!-P?i%0V?kp+f!&Z{u-ABTcfmKR0|v%6(~S1#JdPMvL{2+klu z+Rb3ecP70@&+kn7irJ66yHvD^T^5FxN>s+($YrR+D2fI!NY=J>RTg;*)Ms!j3QIEr zk5`uc&0lf2b8WB0%XgHi^roE^#vtX#OROW=^N2ddJPPg-7>Rug=}?p3&aM#xs@LgS zMPyw@C?vXG<1=u7!>Q3O8S1}aFcRfaOWYul%I4m;cvhOudD0!LMrBRhc0yPRU=1-* zBkcFe5@l|y?^>(694#-~+C3{OWE!V+$9`_qTKdgUli3n>xcA;1E9BkHyBSRJ$!0NE zfbYOK=*WS>(KLH{@0yq4p2&U%JIV9R5BYip|CD=cNJ(jbgLMrN0Fgv(D%O4tN=(4K zy9$u+i5UlG@Zq3y5T;cQm43&0cLq-vtkHtD?2!~&r^W^4N z1DmnDOWu#$7RtI!gUqUqB|CdI_&A*X zEYcdQy=fAEa@zCUMXLPiLKLG9*w$;EY5P#RwyH8VydAi1LJYma_FK?IYqtYNH_2o@ zq?waTL+)LNvg;5ZDAlej z-0dE^2U#SC?j59x?x)bn$3X9>u5l5J#ao>eIq#`90Jc>axqP<5J>kV4*$1P zKtLCrRcOfzzRnJ68KoPyWrE=P!;A9sLYTsTb1Pjyt?WhklW${by)VWKZqRenGd`=0WSpTB9^Oi`ckFkDcBilY%>=6Y-oXh zMJH=5iptwRf+fr{KOuEpPl7|VF50I&JEKSroQzQY-oO~V98Kl!~NHj-g>yS^St;@JA!#Z62wn=)vM?w9qD*Ca( z*P+uh8t6pt%9S~b7mKg~@uqQro7jSX!sU#o;0CIT%Yan5aDnS#xDJ`H5Y%(TFL?+* zi+FiNB6JqQCD=XDn%u`0l3Ty0aoM8H8z{~wpx^1Z)*V2(21 zPpJHmx_mwcfdV9)_`I?_78*xMYXXI*FV8c31!PmQd(_)NutOU~Yg|#PqPt`(^Eeph%--Sj|ABqnNr`bQb(! zeZsfQsS}}>IISbZKP~esKk^CkY)3%1jSj9LOaW7J_$P6U^SAW zW*coHk(22Vdv8xXF&eq0tsAdA+&=f+DQc>`z2W4}ka7f~y4+fS@Br)4Hie{zt)?cN zpb%(-#lruP_OsDGOqowWgMihO7!&vm${JyTOFK=Mb$$Vf&7)B2(^hVp!XMbR9%OdL z{j(BqD3sG27*kQPCJce8BJUk;B1|FaJw{zjiiCKyi*q8D;>umC>gLFzKOnLdWip#C z3B`XC_1ox15vmD)c)?A)5P>o}+<;A5%wguEUa&ozG&+(9NXwKLvHci=RvIRh2_XM9 zKk@N@@gh9UVdxe*$A?8h1S6k3G%0=F<;}6WI0lOx7H*i1&GN&5n9E8EzeBQolOOC~wZ?sygcHk}$4T@^`PHp6Wb=BMil;v8LS9rT2koc)i zD9>;aIsLKt_vxb0#Fy)f11(^}wlG0PdnMZpmrHens5UW&XpEDeG|XGRs*1Myp&Ck> zOrHA1>X?j|DfaM>KHO2;F;50Pz>!L$s*HCvZ4`KC!nITgX|NVG82>-~*ES{dW0It?_=-d_m~HX69n*u#+)tO7w0Bg7Q||Xyke?Dm-mu zTy&Ne#BZ9t!usxATi`3}6}###;xX44euAF#Y-CrkOz)A1o-pW(4{n;X_k<&$ztlqx z6VV>|L9X5;)2U4r=|P$AxAyOkvtrEd7by?rP*1IYE*<8lVbh(ViG89rGU^v)5GeG) zmffm=z0C!9iSOu6P_H2#AGKrMy-E`GUQX{5W^0vMfxW|0A=?^$1H750Uz!2gfZ5Gq z#HhQu7(pN(Ej_6o?#iVC!+6o~SE)a>vewlt8_K(7QEe;is;-h>A8|=}pjj|5T9=Ot z{vGgt7v*)0pFB#pS2b~1Vrh%2*haC>U=L}eW+^0?#;#gzXlIA#J?Xd5p2!zx{QZ4@ z%xX@_hTmpN_|WI?m6Z|heCzqq0YYlpuTdsKWp6sH1d+9HTcf@gDsu$tKnCav5~9N! z(Mr2^k1oB&;dtx)U1{HSd|AtK?IZqiya?8R+EDPU6tCKgAVrF8;o0m1+N5N-kXLHa zCF1DuK=DAUqdLM7&)4mtCb7zJHn>lwHRno)D8#&ip4wCKwG1!ikUbhfG{1~{e2$C$ zwQFO!o^A03Pw6*@Bkjw`?pt325|SdHdXqTBJQq&gr@Y)2%4G{)k7sYqkWQQ8QA8+z zZ(Cu<8{(y+u7@UKLHe+BC^KFb+a}Zvm&Ai2&p8!B^%(6vKbNKY^=XfTU)s zs|b;A!pbpo9&rtWk`^~)xT3I(p!6kwP|x4rNfD;)&CEmF0f2?Z4;c~F=wd_FD~T64 zED_zWSm)bnw142JRgRzZ^Hb{Wfs9ri90VwnzF47`*-BD$?G4lGkod7ht=DVvo~mE~ zz;??fY3AiQTa%LL>J~oM#kK?hT%z|U@YNiH!g(CR#ZSv;jn&+byna^UhYBOoGd!VY+HXP{4_wp zDj%c{yNm>w`%CE+C>7+@PFX~McFkNSQY>u-GOfCltaT7FpwP{P-Jh@`e(NkJ=G?Ir zGXN$_2U-MsJfe3^nEv@vRhDPXCR{*&!yhW!YSnaJm(6|c81W7m#U0%`pv&`nLb5R3 z%CAeiqDbo^v20!YO$wP#ygB$r>3ZG!pz*BRotJd=ymQs(sie4|k>>b+O~b&yLT>l@ z(5^v)k~dT8W$dj3ZxkkxC+PRiN(!cV(!u@CXM-aLFDfdDGe#kG|JVFR&{X~F>SpEY zK|tyR%vP&`?p^HqTi~_Q&wxa`HW)S|Mh`WH*F%jsYlpth8GwGOvXrePh3zm?7A=fp^FIpJ%x>95oyH~^6^)8m1_Svl}TvwJLmdwzW02DK3 zu7M`nV>h{nJ^sr-qDPa7>(BTRA!RG&Y&SWPkU^M{L!n)JnpaxpfLCnFl5Z>gIymWq zzoL*>q9&WiBF9)$OYd>QXrrmLW2gpZG>2PuP9R*03rxY&g{&e*E>3Ui{IoyztNP0A`BruffcnMRHx;)M-FRHwC&~dsuFl5 zuxnaO-P}~v{kW}vXJl!k<%_-_7{dVkJ)?D)6-?^6(IqA}0few&pE!iGNx#HaahB{+ zfS^7UokNij2}VEQXjHC}3ok00BM?CWGdwt!Vywdsf+N8^?D33^yJcY#l znU&9DyACav6o8JcPy|B-))>|_NEpTyt>Eba6W|CPQ>Ja1$AP~_L&f|kx8Iwv_ z&$hRJikq_r4n;L>iL66|NUp*Uorns;rOX7hcYunr6jq6vkEZ3&AGrX=C)D-MR#)n@ z>$5n=iz4;p&dnaL1+RrIWNDvZY1v}do6k5*vEb9^9))rggwYjkvw2y{Y%9}!1!aq3 z^4iTZqJOF`-G0lV(gKXP#xV;97(Mxn zZ3nvFT@Eo@>qfTT*$g;;5+yr_l;$vqNhW{esZmbf0T|mINJ^xZ@KEMb7pK5g96>^V zs=5{~PkB8)V@VIkz6bqseT>2%BTU9Q0%&9q9H?4DMLFBa6>(*!tX@(;2Z7Z;#u;nT zaIH$k#u1+p)t!4n_l|Q*;Dx!XVFyz-s^YBjL|g6<3d+Gg9YyC5E-!*zfb>7`Bq6vM zZeOepM)aIY{&<7CFl;DUKw9^4_+iF>=3U|txyM~F- zZfQt)vup&Eix+i`>iMSF;H=w#8EkJ;G>RPs&k@Hsm(%K%VzH4jsdGLFySb&d@;5kK zel|dyViMXbAA;SRtm;hv82L*sr_fm@I!^N-{UkLqs0B2gZ*)_p9&3Yb7%cjKmW{2u zhu?$t4a4~DgQ||fivM6kDjwhMsS6Md)zQZxUHktcHL+8nd_k9SUiX1O`1Ai~{&}iY zE83F1@aBIldVD^D&E13u{Kyld@1YJ4!wdQ?;8K+<5Fj5}#BId^g4PbcAr>15{8H+{ z(CK*U?80*qH2tJmI1Z-3(cCS6!!H-C%D>gy|I)}RX97yxYRE<^Nyhf|Q)qB*NQcal ztw}=fiIr9>#6$SCavBAV3Gi{vgZv(hMlQ3$(0p;QPQgjlbwXzS7H}$R<#NQ_&7QbQ zH*Uc>_7tbgTe-H#s7NiR@nc5SG720FUW48d8&oQsgCbh_2IJq-zt2?>K*)@p4CSM+yF$6 zAUwx(OVMhnAUOtZ{7KY^tSPhVhnRGdfkY&_WdJj)OY=qwuqU*0y8IWwIF9oa^*6mF zDTHnv2g6C6ype`=Q}Zl;*#Un)X4lgYha_(K()N`UQxdSSyBqg6O+9Si>|h; zaWT1$vTL7XJpG3PwpK}!HhF1+P*Ew<#tCV0_ve=E@5{5 z*Pn>r2ka^Yjl2YZzpxz>Bc#&2Gu07SGL-D`?x$UH&53A=WMFB3){hZ-oL;G|pZ>r9 zd6;r2msSZ#T8@L+~M1+Wwwy@;U60BYdCPW`VJn%x?{3xd@C^&6y8d%THJ(5;va^O6D?`^xpjF@rP z`YetF0m9?GL4fUPY74P=>C-&+r(ai=IxU31PC(gDv^s7c&dSd|{HbfhkN_DD3w$xX z5S$((-(mAY!4*v5yBx1FjEi70qfYcSv{OYspf{ zskp6KpvfM{E4yqE2^ySa<6^-ne>=H~@nGIDxWc-BE^Nh7gy4X1w6QyiZj|5f*W>Qi zXk0S#h|2@~5~P0aghKYvSKHr~U*b`7!BpP$eX#93U6~c=BKfnf^fe$T71@aCx(nIF z>JBSv!?&`k9B7OQ(fA@lm|QbWE;P*ln=#cN>%C{9l~jXI`()t^rDkf;=S;OR-Q%Mu zQ>~1DNPMzn2ff%-GmZzNg0Kle5x1KsH}26`tHHU+FeU0^64^(Ty;Tix>UHLw2D;~- zNr-?=hjJ%YWzBlc8nK24wfb*mTIBi;bR0=|Hb-;KLcl5jqIjyaK z{0LsA(q_paGKZZHK${U6&+S41)0|OKPEpcc9QSlmYv;#mH-g^z330WQG!wF70UPju ze}|H8QAj=;&*xBH6TeJr1Hz7KGJ%Ix3CHo+w-7TQa|uP(NUIF3I8k*`q))`vz=z9K zH&Bt$EG3n9rR9e8k~)&BaR4fd+jBpE)$G>h5pqsTfp?s#J44_}O(w!->o8vePc&cnH4bV^-h^m_`0!HGm!t> z|3_=EX%X7RNem`M;|Lj}1}qES)|jiFrnYg+V|_%r{Yl<=q?X6&VQ>y3ELaPFZVriJ zo`Uzz=%l)T@zz6;lbuJlMz~6~43q!J2xtu@f;=YRvrGJKdDB_R&-J#W*^7HmiGSGt zf=8xi%!zg@YANF)RP_Q1@Q%IYw_(?j*-S@_sR63`SGhXhktz@>P>%M}1__U%k7&SJ z32dFj@{P)i8qW!6y^z^b<|rM1=xFI>m)d2BQyg~EGR};kv$A;O6~XJD%mJK4-3e1v zCcN2VaC$V7r)8+J9$zb9&L9&Aae(-0$N4ysW4ZQWt|gRJ$FiYXT(j z>5WkC=KP@wwQrU!x*m*8(yS2s047dVuja!8h_Rwy(%`69_b&MvDG&z{1CLSHBI3Ec zBS&abcr^BGp73TL-zwCl<)l|rpR5p;uB?`A7&m0)tr&CM_d4r^Y zdM_|nDVHSwL$ZG1dGUWqfuUiF>um279ni*)s6}=iJ9D6CUQe_>w)~2huwlUy zkWo)}=uj_{th;f;ca(v@=CR1@PFBZ#B&ClMUW}6A+aCFl{;&>zfG9^dQ#j2;_-&dO zQ_+M!Vou)Sq8&YJ_T_e752Gn?@U|93y*sflWsO*Oy=B(#QDaTk<<%^-0}X$<+hXNue;6jIxFI^{RSVV)r8a6mF0@; ztH`LDV3Hjk+Jg9hg!>Dp6{l!JgW!tV^X?V<9l6u&7|lL{zdiI(u0GECx~5q_Yk%4# zvAD>zJQljcZ>1~%sG1GLsr#F1#2GQ7e!x~rg0r@(=!3qK(1V zN&dEfaKW-}at!@18)ODh@-b|=jm+G-AJ!+39<+@ZacEErw!*pQ8)xI)K{3rn7#`g>S35Ru0`i{in8KXP^)*>oD+P z&{0s??TA%nXC;|D4*r5H^{#DB6fQ+m zurb=ZIj&W6u59;nm`7HzIe%8ul5d}O57uXuuS#wsRphcz=|3dpKg0kTK<2*;o`*;! z=Ya&u1|V^v$4Q<@T?te*;J*=Nzf1MtII`w#0F8ZmW^rZ&w?Y{TUoZcgk{rE%wiaH5 zII*by2#y6pvf^Y=HiYgCOK0kmMs0nolwbb{gu7S6)H?;y| zHN-PmF(o%E#ec6Di(QIufh*#Fmutd0J}{h?TUAgGbfvF_;_`>Inx$;XUGtt-=7#Lf zh0>^b6-v?Mu$OaiIG&4e#c84gxVAk}`^@=mPc-V(JTrp_f|md@^ct+4OZoDX%ZFk1 zH^cu!jdo6X8En-K`#uMK?aW-Xs zWcfxNm~ct6WER|B>CLczu{+&Z=ZO#hVmg2yY)h8%ia(knS<9d3WY*AB;mE&&sZh0c zjJ*4w3fRinK1z?^mZ&Jt;ed0abtn5&vOD=t&#zvo6h zTWY^=Rvj&SYc2&ymE1Eb$lDLY@i;aj_<1Ntn^AsBV>*6-ZH+=2NWjw z`BrVRQoc~cR!eI+2o31B-g3a>TQ{IHvF}Hq z3z8#fw)j_&3P56i{cm_VFAUZgLvf+GgT9#FnZWE-$MoP+dF@a~eHJKNud@;Z^u3gp zqrXUC%KbTF8-}GGle>XhsTvqJa5O{-Zdz%p z*|UYAFfJv%qUnKpII5*jabElD`BX~Q$O4< z%F|@pS?}dAXx!%>@G>(Dkb=Ma4iXE@L!@UYH1Te~Sr|CF zJpxFZR_H1iTV=sMc1j^6q@pPmin&JcLF+w3XbnAo4F?U}MXOjfDugdISEl`v@tv3t z#czU6|GLK%6AtI$S!}suA50s}dlM3SS&W^mIJ64c?l7-yfc?8Hqb)lnOdW>U)R}I5{M!xw7 zg`D=GMHgj7;Ooikl|;P5EVYU+BInoy|0sj77ENC=8G$2y=?Q$oXf3=qeB7Am6=SKI zg=aR{v*as(D*`2%^0Hp#nw;YyAfZ?5j&MdIp%8+ih!Wk;tt$R8A;3FGGMt*i?mP~E z5tS;)pAF(?`l)ep_07E*$Uh4)#}`|`sN`3v|^!mw=|SL&%F|RFr5n4Do&!=%nrj=q*Lo(6t9&GSMEGTK^!ZqnA@@ zo8^*o&qZG_IADG;5u7#vfoa38Aa?N84ch#XyAIYBQ={o(ewFx!cXar zPiBVM(~E}58P#V?m7kaH7b(5)&I+XHZ(^gg{o@s!fDr`|1M(FA3Q=bATMua z%~5N;2GmiGD~Pi|LJ%v+I6gyG7NGkiSY;# zUjz>Q-ouhgrek=WH!(|o3f8ZGN;S*?qAwg(*TI>0aq!R+N<(K78kk&!!7jbVg{AD< zE**UVW%f5C;dUlbxA4GBB&k)kv<=2-j`V!vRep#PSUtFFpFn4bU;OtUs^%ndsjJsX zYjG@4i4pKB%5<~_!@y7LYmnYJvI+YNh}1<6oQ?_1ZzpryWB^83H?TZ^aLD?xWSic+ zx$-MMMpbuP`R{_1Cb}|sCRzjwb^BE8yBs6%X&7TzZHwl`KY}_Qx<1CU5pO6r6V_7J z5Y2!H9BHdNHVg$ILt)1TE8s^|nO)&@zl)v|e=|+)1^d0uX~t~o7Rr>&&`TmwL1{?B zcazsQ>^5XJelh7!;!qFDfqB z2>$8cL9!sL=f;Li-t738(Zg8`V-|sv-&%!-JG?LfaN+K~VPwuMagBSI6`Eh}?&GFI zYDaoT5ELMJa&kG=kZTmugXwV!wv^IV&xMnKNu(mST^f_rzR5~nP4qitJU8C zMnJj0Pf!qixt4bKn1fTgpL|(FrB=PFKnDV7xYxrI{0W|%mY(Z<`$8Jv^V~)Hpa#*G zNE>R@Sgu|i9G!cX)toi?;URLHeb^pqg;kCRX*Q2{x}T(8X~{dvxj(qo7Mz)`_M5bB zW`WD1JbRv^MDjPL6bEjbf2z2@-ME|`d=ZWbnnuk@C)Z?_S5yM4p!XdQ{U1CtdE=tO zYSm1%%3!_H>64D=oZH0iRj+DBMKZg|63WI-dAlO30*3_;R{pVah$CpLOdXoR&HUF( zZmH%#{l#5SDC8DYs`iRox?cKMCe51aWylS4mf_9TB9Vb8VH0q>e~rK4+vnXO88qw+ zWF4P~ThKd^m$aVwErodJx+}IXSZ=YJ%~a=nLFT4CTi|c;5Cg&*vW+0JMLwz7|GUxz z1&z$=MC@3+{__?n-hWXha(kFeIRhtA6j#3((0nw?f1?s0OPgjP1uA zz_om`KRV;K@Ekl=e+Bmyh8H5d8$vflS|j;2mdy}owx)RlAmwmTSlTPY7;+?$$XsVakkX10q;Am^Tf5Q^g+`2abqk85}9y}5X z2J%f+YAGBbvDAFa7by5wc8r%GzWb*zr%Y9dB*%{P?TmXOd4tdmgomp>JKy41?KV-j z(Yx8)gy`vy)A$XW}py?4iXVOP9#V5p*TR6Upn_ z*jNFrXgRXBf0#-B09hTtT%5$#=pdIrH}_$ET_?+X+!#xz5pv;V%-W$rd@00GJ-p`N zcIov$)%7_mdX&|#;f7M$ML=OSZS22@qCy(=y?fk4s-8-cVH{tb+GP-Q%s`F$u`qH? zck7Pz9xiqdrjL5J)|qhDwyj!h-JXlKiekY8NkxR(e;jm+HAh?Jo;%|ltN(%(5E_i_m%0`j^!)MF4ztgPvJa(k=tjwyUR$VaUzZgx zu@)y!f7gzhr|q~OCXqZ`UG!sLR|Mr(N;B}n(i{)LtK|&kl||;~b8~-jkP<^e$Opa4 zsi0fRoq2?u)Hc9FR>3JR9d@4c7wHzOUh5z}pM}SP9 zzc==IUAdXY%VKG4OM6;>S<(;_hkCMc3uh51ejI{`!L? zaUA6u4YsXR_6y&jT=i+n>9a%QI22(S?(ynrBD#?2xp%zuRAw;FsjeQ_0PA^8eZWMl z3NM@Pi&5UN5wiv~F>Y@4+f(qrMnk&zkE^7Wzfw;a@v5VFQl|o<()e{xfcGEuz0+@O zf3c88T<|zNKTq$p2ivsM_V9;zP;wf&2p)ASf~X8^f`6;>La!}R2N3MB3_DvC72;5D zBWxoFA3?7@aOm3G1nicKRJT0(d|XExxg`D|Z|b}w4b?O+7C^FWr?n{QaH(k>&<}Ph zQ>z|&eUpcOr>vyTh7#EE-wzLZf=rwJe{NrQEz`sFOE6^|4PUZ!Vwoj6=srIAwkbD9 z;tYp!OZ#<*C>B5H<;0Y3iWU zglodMSG~4-E7E#7X2jHfOIXj*skIFMvI0>~6@w-5E9JGwgQR;gDZGPvkE}BGf3C*> zbIjUk{FxRLE*=EmJre5PDu*_{LdtT*Hn6~(>K_*Zl=s~k`QC}*1_<&Ur}ZDp8Y@~` zyNHuq4`7xoTs-GhV%FCm&wd{GRm|mWRxq#ej9n$sfckCBFp6lAl|7mpiv2E_bpf>h zs6V-PQ7uDHJ7pT5ttTB_4PS6je=`)8?rK=Pyew7^Dj(Wz>hr;u-GZw%IkMghJxkpz z*E&nI;Zz^U^#?6;{S{1mQ}Q3Hy*)q#^@|B{sXcR)@nA=zh}1;pnGS#i)o;d1E(wjG zd=%_45lxlLKh>Pesh$s8cBI(lHPbTEOKgj(*>ra~Af2BzX#2<O==8JEc4`J7xGP2*I?Q=z)4fw5PDb=sh2+1In; zKadflT7P7mvYqcEIsYD1fBrb=b}?fGTo-qp?WfK8Gb9{pMm|9;Lx04)HtlQECIH`y z^ROT06ZFshX@-T}P=}X=Dc<*o&$~Awh22~)PXDO(u`B&>?de6fIOV-KS9}_314!ng zc{^9mcmV}f_biaWc24a}$C`T(*E*;JEPc)ai+R%qFE5Tr{j|&Ge?m(C2s{!2F7GW3 z1_FY9ewqbWw+zuUb7(6T~?Q?Oa6jpBvt-ggR$Vb`1ZUy<$15>GThC+sJ-7cad+&avb6h|sW_DJ~ulXbI*L`2!rLWPYH=E_a~e^zcwaFi4_&HB=ZxG5S6 zV&qA9qhlp|A|rc)yqZl#k5`_69!L#9bnsX`78XHZzsK_diJ(@WhS=O_h7Rc!i_wTS z_$0fSHb8K$=Cz`iHyuk0nIU)Gy8sn5)gMp}U^kr@x?QBoa8s}A&u-f%?$7!#JPCT; zmv5yXIEn+>e^(A1weV_*-6S^n!X7?@F?2~2W~*Hizd{G}&_(V|iwGFO(rSeYefuy? z7{BqNM^wg1pwNv!ufcxJh}h%eT{tmX#2-c?vqpI)Aca$;Yu#jX&~PB~tLF8$h$mJt zbB1^5LB4MMilES^%r7Eky^{0J4IB8i2g6+xk<`S)f1Xxq87P_S??N;iybF+z8voMl z8;$j>hBkNO{Q7Rghn%V4Os)_~9 zcwKPAums&y4)6I+wI0=~5=YGhyyQ{7R)YSd6Nt{`8^ShtU|RtT;nRAgi7IH?VkoW! zz50}7e*zQKBLBA{^GrDUT&Td8C;$^}{E}rX9FATGf87SjEy*s=ebH;XD-EYmwX_sD zuq8bTjr7kkmUGj5O1C7>;ELoDU<+8hck<-oLS7_QoB_0W!zZMjYqMz%HsJYdw$AcC z!QWF&r6R@BOVL|m(}=01Y4vc?>!M8San;XVe`Qj-nzw3k zw&AXQ+v#I;bT?mD0<~cmD{Xg*CdCJ+(1Gu-R>J_@J?=sahf3`O$2(&`xChDfH{|qi zc{usu9C7i|HwLV@@fV4MOou4tQQD1g;3}|8V6Q2-H4iM%58k0-Dro=!gQ(2W?)wg~ zf6#vJ#Cvxkk#bJt(CgkbL<}JE`s6!-K4H3GmPGP=Slp;;>-9nCT{`AJAB-@D;GO2Z zjxlHUZI>mOiV9)R32>y#JPwNj>RG%Oa_GGQcJDXv6$)YmVb+Zra+$#BmCu2z4nC}} zTVhYN*9B-ZDImk*e_=?ILlZ)|B_|Qw2@A7Y9`xU*j?e)D^05zE zyAGR<4GMxY^sQTBsfbR!l{XQUiKiFb#|84*0q>J9gk;>j(m)~6C_|dUm3cLCuW4qy!28MKDxe%Zozozk~5^V{t z(V?Du=3|~LoUANis}ra65Ds4le+Dg>uTpD?c?6lg{Yy(wd&iEeJx@gAAt_p)b_u_8dvu>lY7J{}V%_1)#y_z` zKDc)S7eRJlMfauwYBhCGyMbj)tP)Y9;{?3eGfnxl^bxjl9SRY+(>oTE8pen>K;Y*T z^6Dv?-VW+T!hT5WMpxHoe;`kgJc9M)r*dnt8?rDvfs}ma>er;=;2ba8<7H18)y?xz z%U?BZZF|$eRDY^E9I0Eww4SfdPf+HX|04E1cyR?(*51$AzmG(D1R27QCOP*+f=Ch7 zvSr@}Qxyy-mzwsb5;@y(Ef771*B|namiUoo*&Ae!lFV6>vbpcPM$%eht@#~yUawc| zh$1aJ1w3O&i58VEe7O<*d?X=f2nD3bt1xFgBWx>rB4>-S;5)3MKWq+dmeIzwKQB~- z{D;saDx&nWJs!`Ne{#x$UP?$uxyz%l<41a^!sHjt9Hsi=lL@}ixPItVv`+g%-0C0L zvtXU=#2PU@O=!s!Qo-d}x18N$$d@!xeLl-zBiu>@Pk=XJhk-8!p}TB-=ps#TDFAw z_K~a(a}&HW>+psh(0~Q&IqMVs?EATO_Uh!P-2JYP)d`(%02k_6j$q<$T>lJqWpy!j z+|8)CpXK4oe;|!0%eC-)ZsxSlK%NzQoPQb_886aFL>jzd#i}wX#4=*ooq|AH4ub1G zX6fuqtCL(HA&(~X473l_75OA$p-hRxomZnu{8RHK878(@C=EUppo7acfjW*)Mmf%) z%Ld@_Gw$-ipCSg*3i5zCx0CZlLv%U2eXJA{+W;^he-`fDRurF@RF9uv99p4u$$GV= zU7v$R0X}}PtR1hK9Xo+QF@L9w3W*4J>Ef5*L4*Wm8T+)=#bi=k&|p0*$3mVm!t&>Ye@ojO-GF1g!L<8m{6zn5BnO#;l_vnt zFud@1G31=lcHlWNiYF|M`qZQ8q`3avuWlFE)wC7eSZv`qs5YBICR$Pc+giC!YiW9y z5M&`tu6&pR9F*^|oD8FV;T|KDW!OHI#vKH2k*j}pX}TG!V%($I2Dx|#g*Uz4Cayhg zf7?~4ltgzH^8O@mreGf?luvs^Xf&9FSKp->RDRV)2wQ@8<=)H1-Y$vSDP+NX9usn6 z<)s)x%-1T`z#6c8^s{+9Td(%wKAR?{67vS3s?zVHb6gD{^Ahr zfuNzkQ&;@%Cy-S#jj0{CFnho2|KG$_Blq5YEb}z%2?`~j!f+6~#%@D(mAumCw^z%F-f)wQK)zsVyW|6&#p4klz;hy)CBS83Rs1BxB{S2!eLon#&BPVAe}F*_ zxi^=i`EmYpbyS*UKtr@K+BbeAQOKBkZ4&DJoi7CiAy@C6?1=Zfpi zXWl~gr8Tw_CCJ{6LRA>?#m$|3>uob)@eTyQC|4WNYsI%h%8YB;L)zs%&{~9%W}!E+ z0%ZI}I2hlum2l+;>Jm5^j5ec|q_bLt(#7JWRO6<(;z_IWW;w{3t<3H^f9xAr85WlJ z%4aqOL&n@f`*iB^iFpu4KjVs0?C@(2@Wvj{c&IyA%DdMfCpcw z=JpOiP~{aM#G6Pd>+A4|F@N%>ZArt3`|{NwCym83Rc@O7s~Qs_S-}oH_%2BxZcN!l zO^C(bMJCq}*VV_EZtX}vf3HNtxKfc(36nAsD5wN{;9zbZZn;**f;cYkVpA6j5K}V& z^x4ISyV1xvLs@I4(l%_VI9@Ym3K-XIyC8C2sYC#LYB$GrN!?Ru$I(!^DC4R6O|ad5 zYA8TT%%!hA`_CT{y9sb|*X#z$F--CDlO9~oi|Bnswo@=AxPd16e_=T2e|M;RUmbp8 zxuIDAjq;k2K?8Rj<>rm9YXq_C7D2;@Epd3QEufH}#!n#bvkOk0DEB9hp-+i+B^|y(MjE``P z7(BUI{kH5+>}!mKe?z6IM@iPITfG{@sMRsetKggGpZbLdXsn05sSv*%fH9b$U06i5 zTo<#J-+(PKqkkhnhhaoR>B~!o&&WE1d3vaEMj{l}f|ftc&R{AVOVO1FTm z=YdSjG-s~JUNYmigss$F|()O;ey?&ubP zX1w`1yp?`MN7%k{!9J^xQTU$l?;rkmS}==4;|V9Oe`U4Kg>&W=d!P! zj8*1lL=KC}z5QM+yJD_SCREP6j#Gz(GDb178ZttAm-ObVnGLM>FfUn({U3jtjMkSB z!a5g1`?a+R#F!)RE?!n*5g91|kd|t{U$xMozM+C)_?64~KyM;-7>_6}mP zxCIyaf8yqPLS`1&@-G#+7XPov=1np7EvfRj(wdr_j!&>>PhX|680hpLv)dUW-V(B) zn2DN91Q$vczuH^P*`FFa{+V-~G|KIc30ua@6K}08lF!^ttJsd_eV=K8Z}KzWCi=r- zJU}_}AQx9E$LEb9jyObc>HD$V>X6zA?KCBje-Y7aBQYuK4QX7&hLPCQ2F{U0eq7M( zOEOB+Y_T4R!4D&?h`a^eGHOtx$LYWaFn9eOl>hOHbc)hqv5-S(+nrH*m z{rwh5=&AaGlsYEI`~Dj9G5!BrY7n1WNQh`` zn_O3zS;@L^?+#iBOsKy$UGuZmXQKzyI6$7@k<=-=58&0;ea($IIR9=dn6)jYGe z^~JMQXveL@#u@i&iBmF2M)_TLpkp{th?AVD780PA*p1E<0UjHwycho$TN?9ie|S%F zZ%zwN&KVRB`uG6w9=TPoo9sr;fl6fk6VQxEkH}YJtx zd_%MS;w}s3M(pnLT@&PCcw1pte@{h|W*5}PK4`qeS0}s(tRg|vir|5pIR8I=^X*JT zXn$QN&I_oVgt15u02O#5`^8)uA0MmW>7G)!bc1OYoO{e{lQ{*_net1ivNbj(~=3tT4GdRdhFI zEKjYQ_OBETWeEo|SRez}_Og)4U5AnBBS6>R#WZlf-9GhOIJE*dr_g_Z(V_lJkB#?( zb$<>nCq4Q%fJnf5D3ia^f5Fn|%3=`#I|SE~=M$}fEh;fZ^+=KJp`O10n=!QJ3RBw_ z)RhtdaYl>z&iMtMy(&#m1moei|96&OY(ndUdA@`C&L-#}xxtRbmQjaFfk&MKNOQXw zO=l#Gkl!?>w!1SHCvseK#V*%2=v$ZduaxkXGC|Qw5frd7(9%X>f433)R>meE@IZOM zN9H*aW%HR{J_tkLOF%k6q=2L~Bwg}*RBp|;T)EkfJtc(#JiJgeg4pU{PZq7LAy#py z7R)FQIB!p>@7$V7f$m?324-F)hn}60QV^-jJK`J3k)&EU%LPYP=E4<3ydWHAy8n=f zl8vvkPip*HFh_=Rf1m=hCTl6J2PF55)#R()!pM45BKCh#=Q2|jJGx9OhQpQ%o*Rt8 zczT90XtG=k_o!)Ce~iV|zes+ozJAe=Du);nr=V&1{VT>${?3 zH40Yy4UheIxmJnB5;NbU<9y5RN%L9z8Kg*phw%-32D#l`SB{?Sap63B&T@`5T4-hA zHfe{IM-9mlzy&V5y*U^|CzrUs@1S3X={ze@R5P#xO*^<`5xk15g(&2PS#o0n90|MS z7E3L4#b~A?e>GoFUZ#D&0%lp1zZEa8ddpO?2i`BSxd7LqZq?;l4h>mwl^MY=lPtu6 z=OX0Kz~2=%CoAC`u^~@f8REJ5%gF&H)};twu=ONRLSTE2lSKQB)0e<11Wr$UDq<9FWx6^CJ~2ht>I zAos#LF~I(d{8GO-IT6cwY{_1ZEGpUkBakPRjNihk-8>u%{ow?olZl!K7E1f{SLvs^ zIo~E~;aojy5!j+DJODo)&PG>8k4&n~Mmoxhzo@l@&`Y*XDMk_e!}HP{l3M(p zhdQ_CI{y!>=&vqIJv|WOt{S3NP=%9P6#=eD zai9m1Vo7}^*Uh)GyV#73CD3T3Pro~6e<0L*e@d+{f}1(2kdO3JgiBjS2_X0TEAvDP zyk}rps1%-)P6-Lx;1ksPCPpWS%OW>Bh{=(w4!q!2E-4OCYsBMU&qX>u@!nCIx^V$X zFa|AU>2O?@shbK0wBu_eE*-syKLPp@v+ybC%4lZ+Cr7`naM~>?%r_bKktl4Tf6j11 zV~m@(2J;35Y^o@qlHXjy`_Bh-W24*zbO4gnnI#bMB;PgS@ZNST&zB%nF$B2Lr$M>DGPUvyIPFQApy3T=u4~D5xh%uP8oeyB zskyw2IG*cl9xUqD6t0no)KYzhU{1}cOuGH9)*cmg2u;1`D6*)U1RoY+f0qbzdC_j@ zRWocb;#cHfheGtDBC&0?zeaGh>ysxbPvWqkVM>u%z=~lzh2JD;I6BUo*bbKi2H9C^Y3}YVkP4Ngp=?Xf%G1FV zbUCSe%Bg4j$Us0>A#?!Be@vPK`(5{LCsLSu!y_~kUFmIG#6{7t%71AG1Gk05=KQNI ziSu!NvS=_9^Vt8Z>E3Vcr@EN(^u40mMh#|VNo?!V$8o5-su@XKxB)xZe5+S0VHYM9 z6I4=XkMp1D8;FT{OOv=`&C~h(l{09o8M-J3a~7p$EAKjq0Vf^ae_TwYvjXtY+h<{? z`w_xkHSi#dLO+8l za4h*Y9`l0i*Dl;&e_X0ni}{=*lh@(mp3kLZ=Fn=Q{?hE=h=_e}qC{&JmG!Ah>EQv% zr&+5T9qarx(U$E?3@Q=@rS*iK1;2Rx&1LsnR zD+7wh!ESWCcXC7$GaN)?J<=5C&4i2Ttz^Ld@3kELZ%Rcna z>1=%`{1Kx%h*mf8l@_bN3=G3~=-|%Sqj^FUnrt_n9cnAD>;MH~?vW74?W z1g6DyYGXH5s4uXXK5ZWWXZ_Jad%#|gpV$xfxR|C>Kc=&&#$6BEuUOP$4ZUvNaBGBZT|mARzeJQ37kv9k zSgZYefA*LoyvsIEU^ONs&wYHiSBQT+eZ8wmBA%ShGR^O-6so~BFoPA6D`K#4UNaZy zzf*yoZya3(D&B|uH<2tLyhRxnhuJPj0LP~*d=kZH9Jdw(!EHH)d;|s$rH8`0$2b!@ zYI{XbjG+A7dSG?e`FkiOM8qh5h%*A?SP0aXe|*>$iL7qoSHF$S71pf>Eb*HI8T{Bq z)~2}1O_WkX7Say-=_>&;9$8n@n7r_H(G-f|r!^Tb3=k(Co>_&ELp-yGR3zZA92j3{ z({xf8!_Pw%gN|W&+u~}%_&&lf_OBZd^c4<(bzZYFW96l|BZ-e?#6=F?1%IN7GlNi6qg4W{?3fJ z5&h~Km804?LF^ESRPE&$u--_k@Xk`j81>?m>lmdH{#6npNnz_7;@qRUqW-#)M8yd8 zzVD1sj5}0GEBg$9@SEuoqmgAdXxOOt?Phu4$rIA81Z1CT0-DU?}$H<5Gu9xhvfN{RP*QzhocVwpjy04zk}QA*z8 z9)>v6Wk=ZmKfTduz|I%zxj)n0$0$5&V~t7!nqLVK6HmWK(XQH3YV-WX*(I=|R?7 zFGn3lT2H`~m3Uk8v}Mm<+ZXl#u@8uh5xB}oml!#yx^N`D4+>JA`k;Y>y7DTG*Bp`z zA&{y~{ta@;nYh}_9f^xB6pqc@yL=id#QIq$exY1n-hqK_A41t}S2>jTI{(^S$VKSE zu`VKitUboczNTH$nmc-r^O8dHMsR~%zKl`iH_q%4-?NfQj#W)o7kMvpaaw0sA-Bk& zvmEOMNfU-c(Nl%}bfxqMhm^VG9ZHPulUvwSgrsFtBzXV3lCCUoVq~9BrB#w5?1W&I zTS&2`w0j4**ki3w8({HvTF_BD4JVyYLF~eR_se&|o#W+j%qys_Gnxski(x9o#F=Cd z4urY%l{sz)%$OfCYaBqP(`j_sODOwocJFc|r*NyFtI8Ak0BA_5OStZ^(+EXvFutAK zO~-a0%^?5;`D(`ONUr%)!noSO20SZA><^t5V2-y5_?Clc$pS(S)SwJ9p@Qm3vRa#e z6w3`59Ew5lB&O$LOdwk{SdYy6ZMdydYOsGzrM(ETsj#`HeLt`P-;8c`@Jm=im zfrsI!GD7Bk6D1gb=)^m%X$!fg6qYL){jZaBLiTtm#HyKm!mGebSi1;uVDs^-{<2Vt zZr@u6P`-*c$V8w7_|ho|8v&@ZQ|z;UICjKf>-u>6{ADeLNZWaKz5(kj09>RB$(Zri z)jdYnWLhmu(`IFY^FqQdm}1J~ai{K@!)AL#J^iBY_Y@6m1{Bhv1p3_(RLARKPQOmL zy}^@XK5R-H?M9H->gh`WiM!36s=YtNy&U$jmsbBO1nse<65Z8+<|D5i%6q4OzuL7( zBOAb+kmLaJ_v6s@!d+1sRHt@uGQyEslQek~JRxyozVsGpT9|2lV~d;86fQ6^g#{<$ z19vjO6&v!Tm<5sD0rGowQpiVl4AAHGtZG#RXK#(xizE z0?rFvQI$AVhw_?^hgZ*#fgSK5Ja2{}HyzhA?~p3&MOg3g*miE(BIxM*SI)0qWmnd7 zhE($*=R%{>AOVTEboGztf6c_Q%WzkQ^GK~UIe8Q@6INRf0TS}pc%eD1@gOVk-b7TrK4JIQ2XJceM$`Y9kaY}>c znT^jxnYm%myV@y3g#3;-8Vk_D*WiMepy>xVnc}_*s{7nMfSrbLtM!E?E&{3x9Q0Gb1|X9t8Yv)p;pTQ$P&t2a zZM>us&ppWG2?Ck<2gQn$gQ#DHP{}uP#s8i_evPzAbl{vHvg(hZoDvPC3K2MQVF(jV z@D%CZIc!{qJ;En{(5`OlBT19=*Yo;EaY)c0)1#;LPI;GFk>-=KEiHs30 zjxmrGIe)YjuM0f!1HLU+lw%=TcoW<$Ue$$_Q*19si!Y_!>yG3N>%>e%Xm?4hD=vND z>jG0RJ+iyv$&C$sHJCzhhjRQqHL)TJIE02fZEHNi4iBgr@g-U)QKW6oWTfAXk3)-R zYHn?7JR6CB*i{N8F}$9la`K>*8unVrLTR@Jwyh6TB$N$~{{^$z-@tTHI=6t*hSQvv zu?->A>*jj!gI94+K!OEiXfNZCy=DKLLe02`$S*F!LRN!PD|I&5G^KubP01;(YE>r1 z$EF;Zi2X>g!VO=X2^!0Y~gP&xdUXysdP>?7d}RYS&yq)GhGQ!COKN^Et`6V^#w zT;q3a*%Z961f+89`Tosya+?}KOrp&S$DP(h+a(5jPKT5kakYdeF@mbNuqMCnXCHkfKKjv96ImwR#b?8;wTyARN2V{6fXRQb3YlhVpeG)?5uW^&XQa3 z%%8Q}woH)F{?5&MP;f;JxJm?rj1$~8(VQVDcN4#dz_e5&l^d*^&a0q*UFctj>iv%C zCZfS>B{yL|1vfvTG0oUh9p^EBlYr|?RqHqlXeB>L*fUb36e{isW)rr*{ z{N2i%3{#QCB*Mjl@FBk&sP&dZ`%g5qr9i$jr)i94j=@W;4{A*(u}KU6#WKRN^RDCZ zQMs}m=R`#Us#NyJ!j#MH3{*ZM4SdO^5Nvk(!Iqx6+nxz`SQ>!5+sn?q|H(Q`zWGo= zQ)iQEOm~)1PUx~9_ojU6ZNLe$#Rv&8dK+G-2rb4I9d`c?K;lZO5D%m zogDU1+-O@R$q9WY;4y;}#6zBuVXMc7)zew~fN;fx2t3)PUz!(W?7y-d6E=#m)#v>0 zR7|*BnOsg5tKQn5NM!P#Jo2JqufU*CbfVFkXJ5+jv!&MVL_VKq&M$j^{bdD23t_Xj z_7EgY8gUDejEmD~aqbyC5W@D~ZgeGJOf{~lS(osxdAHiizztH=We3e+*CHR}_kjTI zDRM(bnTZ8k|J$6byCq_BS;EJdcHCIEU)Ld$_m1`gqdtXoirNx3pMOtwtq#}(U!C#^ z-}+&n=!hxt1xIfn{)CEu#fhu`4eDyW%36dgz$D8!^)_QuI9Vn4M z+y4MZ^*kfSWj>VLqRe^$XvHqm3lmRf=%=A*t>5XuRa50y8-SgY^lF!bMvt5_Dc8i! zaX0(CZ#|CRs>@5uG+>HZ5x{2mV9cH+bLVEx%`lxbHN_@vqH@gZ3P=u*m|hTYBQ1iK zF;s#%pswuvW;=X;y-TWTgT6ZHm^HrwTp`QS@gP9Kd;tzw%)>t23YCs&JFeVch)>&22T&N^1YZrT zRxLO71^7y`B61%ieT^aV(}(9H>n)FBluwo^c^@HQI4q`rORTk7#F#w(yoIS1DHFGN zv{O$zXnvwT3mY)~JCqt2xG?cwmZ@gP_TdMba{@NlT_Te`c*w(F>VtFfOI;NFgB4qo zD3_g0N)(l;(YQBB*+h`YQz{n6W+{3>1_>@Xw%>o*d`MAJ&q~= zeDkEk$d7JUdhkNq8}s(!7x~+&zuJQ|yloqLD+DieJpkK}`(*5nXg-9ZlHA^+Gd#U@n_q zUK6-~r3mbvy;uvKl}eThtkmkZ^Hc)Us$)l=S5=vb6RxemWJB!Y9)Is79GWGpKU4Y+ z;Q_}+^mXK+XCEST8|R#j_UXrwS=F0wIR1G;MAnn#C3_13_OL*ETK~sB)4Mk?(T6^t z;V2g}JLA)sQcPI(3dRSBS$V3C0jDXJ?jcHlc5|G9$XV)IY*shDSgP(to}a_(8!!)s zj@3_d3N(EKKSr2BC*IG}b^Ldl++#o)1ZqaL#y(E^ul^87G$&p4kpqgDMVP|N!jK~h z*5r?uV((q@K}Dtg_FxgO{n3GmU_`n*-|asE+-l@Ku`5XAd#RhL171?H>ApzVz4^R< zw$Urkn1IFbbX)xnA5jQBCMcf3fcY#yD-#;)$q`G39t*d+btR|EE~TE25Yu9)Y4Y}3I7&-& ze$3)^T=wp1bugXs@$`5@?>mb?Cv#JO%WD-U~fN z=#+!jTnht(!dKqUtB%U=X^LODG9E%y5|O2zq{|JTD}5P>sB(%%kq&NpYEDf!;)COq zsz+j}e9vy?!ds&z!)9VT=lkAtzbqvuf&YiXm4B%G`uKX$UClKeZ(q<*z=(8J_QDh~fm?{ylCCOo-O z|IJK7f@kpZYUsSAHexu2KJL6qxpTJ24zb(gBed^^^OLvYjh z(45BlbZu@8{e4rHsS!H+S-pJbTvA@ngwYEj_53OU{zbDI_E0$b@+S)8>CZli&v#vQ z^vE zuKHi34lP``9rl!D#}Pvrln@p_=TBAcm28Jrh)U<;fOh}x7)ZS}7_D{vso^1#h4;^w zq7w|n_-N`vFBBW~WE*BY)PmkvO`D>bL?Mn(sD-yD{*@EBcE_j>XM(d>JKdG*ql37R zeBLdQ6nj(~bd0D; zNWryv=*CbQBB(6|Bg_vcQ6-eQ5}-mc1VbsWHKz-=h^spyIg`=Iwh6TrjbpXvfms_d zdt-0vLuj)OvG7lWW9K^2BkNE36M zc4qW_EB;y~C{afi`-gIfz za`n@rp?+=+5k9>4qTBU{oaSPFHkJG2Ii`Pssk)*tpT@>Vy7*7>=>aZqS~G;I*|p+^ zAHN{yG5-gi*Ma&@PI{lqQ7^VCyt4XhHEe{o_{emnvdMOTw^;&z$G0$p>|hG-{{@`E zva#M`%l>bcUTc!>E&s}tgk!=J!az?MXVUN}=8E=9!Np>=fJ_^D*___<`o)rCC}l;+ zU#S+zZSv1^baq7xnzJ5hBqoy3MCOeUE1NKSjj&LvfoP(xTCWrfg`W0@xwx}^@7O&Y zshTK6Fg{v;u81@;l;3E(i=9mgro#xYj|>U-@fuAELYMbITwfc^5G}4wP_>N248YtW zp8NwAhjmk6ap#$tTZ)|RBY!Fjdki`}Mct^WY9|XODNi3^cAEnJTIhz;n5t3CkJVYN4k_tO8&rzCiPO~quJK9UmNkP7AB$?4?JH4qxX zp7e7AackR)<3KvxJF%Bnn15U4OLm=q=tLVyGwt$Wb8-yn-OSc zw1-?R=QR~y4<)K?YL)k$g#tXT#Yhs@2^&DSM|YKd&(|{Fdz)+r?gD%H7-=V*06axw z*hJBPMJ(Ql1__dpxV|EvJk>r5=~5ZR&BMXT4Z&@)dlK;tnFxGaC!jN=h+F( zV{KR78{bS@m#yqG!rc;eOSpnL|7w`0@D$E}Y#iFe^~2;!f`MV@2B$P9<@A1Cq^s@d zPNw|t8SmoktMhSdf{&W%c*ilKTG%55|IiTBf0-RZ zRag5FcdNsQ;j*gy;tkK8qDi|Kk*qFL)#(6wKq*=$8| zP6Yt8KUN7TC}U9RBN(X`<9sxRyVs*FqpYTH#^1pTe`$D?H-&i5DFI;Yg%-33k$7kg zFNPZ-z!r7(;5Qf{>Bf*1YQF1O1~D>ueFO=GpM z(mGd%0_C_DjEcI&06wx;01!@p7GO90JbG}yiA(x{*y<19oehZLrn-T3_bV|~i%N6V zEb5!E$r;K^VPt4mxl8-}^(J>)eP^`MrR~|gclw+)h&;WsUU=y-2rnx7ZT4wg1|X~^ zYr8Z@0Bw0yLwDl%fAk(lrKOI=Q$Iy%6E+@ih2wk8W%sT?L}wqR0t<+Li@kuG$m@%T z2&Ro(k!2~ctLqRzxn4B1uEtUs@Fwp0sYGh_I+58D){0q@+j(NO&$&}kMgh*esqeOY z(H;`3H>6*rl!)*2#;w~7$L2!lBeOTEr?`$Z;q}16&0Fh~a}xLT6$*2Mrk!vg03=E{ zP}T{j_z#;~CawzJXl?U z`^aHM3a=J3pu#^A&2^TBUxA_E$j;H}-hnKAV=T<%V^Adb{;4GV z{Dt@7j`V_>@kGs?oA);i{G2zzqW!@56~q=HTCInyIo6W;dbGHI<$$f+;z3a&`t)bDCNQQLqEnip zd_Br4zC^e#JkRKVxmO$MGY;E*W=W93&Cvd6w`oVAG=rmDJj|#`t1JET?srxAeUf=NtsF+s!$Dm*{+Y98INt^@@XjM-7#$ z9mL;_-X-ijaaORHYe21^zFI>6O_Z*M-W=q&{vNk-7`idOcIyKuAhYYRumcL29NGEr z!mlBRgV#wig#UViVMV5pM<9>uS;>rg-@(P4&)a(v_S@wcL7yVoMzbeD>aRgj^u`1X zb&HLCSY~d2Kt|&5Qy4Y)ZEBZTG@s5X*eZJ=!l5gMsA4NO+HM_*#OgB97=J52&=ug` z-Zl~}IG|r?U|&k`A1s>XC}{=-1WPL!7_H0 zbEkmtqBSzwm+cZe(MfqAYdw60R;I~c^M3#&K-<3r$TQZQe{~FZ5xnBKr+sfM{e7Tx zT>PQLrbtm?4Jh;B+RqF84b*_FXhYcl_HCBLF9H!GIuy_C>sx|#iUI2mOz&Jwv{RjE zT3~xPZ`G&-ehiJ)Ee*Ux6=&(x;74C>Ga~M`>|a-CBd2-!9r-j7bhyj}XXRk+FU4wg z4xbBI;xr5Je@B#7F#6K$3Tz^AA6p}T4FC)hjaMqPb=KcE>Mv0F^$R8}SwfSZ>VZ;* zdqpg?(|`QzU25P=s1*ex_FZ3^;y}9%Ru9tWSg<(sz>=~Szkeew)@xs zUW>3vws)_+XMK0+>daYg7l|$!ExL|rU&Om(lpeKQf3^Spi97B3Z3T`mTYDNVpj~jC zQ~=y*-e0~RVj-~ts~kgg-IW{0{%z7d;Jh!ztR{`|sG?0#-v7a<8^v!H>G6DP|MbMC zU>u#N4ppeaJOS&dt!h;@aqbpVP63I_t;@m5!H?xYXxD)P3^~e=(362jpXGdq{Vx~@bF((Q|%#MYz`{zWgTH+3W)?B(C-ImD7LKlY{ z2!P?*F-u4bWl~LjHrll1RSbKU;Nhcq!P48*ZLZhVs z4zPGx2ll&MC5BCkIpx-`ny%}n)%eufe_IO`0S8MYORx_fSCrgp^xx%Mgs<+Yh=sO| zY1OKIf~vQlsfoQ{2FY)3Wj~2bMp%EY$h}Em0-l?@xc*w$ia6XHD=h>2; z2~cK9W6N9@P=E^?NT|7Vk_M_~etjiV$lo%ZHe&R%JjO4joKb2_m@mgHIf45%$ z0Qs(YFL-Y8o2x65xTT$5Jh%IIkiom?5?>mAiir%;GuirNYpl9(@IlMM*QqMn+MZCv?qrq?w8Rjamxi^B0tgep`r>P|jy ztmI8H?TXW=T{XV|i}q@%0yt<~0!h2ti@-t6AMe65l!o`x59hOFB2Y4he~x=yA7nW{lse%V08gwWU>)5XQ&U`M7?QyO`qzX{}9+v*o}?TN8xmKRWX2wCr+4@JW&o5 zR`r)3QhDnmzR``cS2c6-a=T#mWS#Le2>`4(Q9oe{jY6oSygWf+m{?d&QO;oK&l877% zLJV~A<?^U|q7@4aGf10|j#(8YOuJpo`}N<_nLu8eJF@(GLyyG8HsLPUXVFl8Rny z9dJd6A3V6Y_O#MUt?A_w#N;lWqfi3)&VP_x$0!>4*Dnm@!3R9Ie+1?ganM`0EQ{P!q8iDyjXto`lOKdRDFs|!JUb!g ztK-1s1us(lzW%otLnDt}?~(ryC*WA74G{#Y6~|qFM?SlxK&I|A?Q(a1qHe=IWr?zQ zrSpaaT#9ohHC`lxf1d0d5u`r#(3)R52f)tnU#{sB)8^wVtNT9j6p%;$ROo+#VyOd0 z*S9EGTk-DqEWpc@=xa|r-ZC7(Ud$>a3oZzsrur#iEVXs}h!R$r`-e8=cP%HX88bHG zGn}!!F)d=rbi&ZN8O_U1g5|2bS2nvzZNUur_ku&zF~b_7e__8FTZ$dAh5jpexuxuJ zrq@V55nZ`9S?P?M4` z1*?0w%huI(_0AEkg-=Q7Ij|qfu5Ec?_ZRDvM0e_SWG{e|;Rkd1fxMxF+EEm+vHmI3jt^ux(}9ZvkTK_z*j1Lg``Epl|0{@%a`vWjF+_!L`$ zFa7)|vk#=?I?aQI{Zd&f6&27@DZK_hdD}CB(qY4-m;Gm zet5&y^mF<%BJX9`%&TmL!HXodmxAg_DN(|O9x*V7ooSww*dH@qtF1N--H_i}0sE@( zWg}E63JzI>uI|(OpE&H7Ot|x$X@~|#*ywHuS3*krh8psgkcay{>^b?Ea`W}`(0f#aa+SP4h!sMwmb>#U3}G8 z%>R%TZ31|kp5K}s=+$Or3V=e^xtJJ7(cgCRf7G=J-{ ze-7xHG_B<2D`!p&K}!i1ZC{O_d^*Ye0hb|oIoy8ZBC&cx*D0JY)ixQz#;={0`l@tD zwX|o$4cKQ?VipnXe;>Nr z!_XNLtELnEa(dXo4ka^j;7$v2L(#rwcZq!(958GZ#*hHmT!Iux_bz#6Ot6pRrM~7^ zHSwd;?qw%%ma+5OGIyx%Y9OpDmT18mjC^S#JoR=>GMZlG86M@Lwyo zQF>~1TPcKkvYc)C6qeu;21AA4YE}&hM!T1f7N~v@?ayC31lE@I-x2|XionHODY3cX zdnAD*Ab6q}=MfbBc)wgKP6(>ef`?L=&3`&IqL5o-A{%Lf>n10ryN*cMe|`4QRB+IR zj`_GPU{ zsL;ArSwPQZ$SWI5dB&SDdo2_bXNZ~r@V(>|W+rcu#ywU{6uVlu)J{frX+8otK^r1Y zOo*Sx4{r;jST}7%jUyM5!L4a&9X5rYWJv4O)_!0dVjya&EF3zEf847$g;&WGumdxj zumHk5(=lH~%56ug_@&45N*8RJn;QM{Dqar747YyutQFWNUuC}~f}aiu$TU!YJ2oiI z-VeLv;cMc0OgM-sLN27zza0RQar5Sr=;1CdO}v^PjO(kM45|hvyxQWq=d(Kl^r7{F zD~&Jird{v4lU@ICNRD|4mATR!?9%pGPMukiz+f6f?|Z(6-g5$r}zrAak- zRLny*G!q0&kN5xCaDlw$0A-L>=gN4jqqQH}3@@}z6B!0QiFF8);QV!bVxHUdJzZ6X zxX;xCNv*hLL&4@+KbrON#QDi3nOE-AU=!gsdYqT^X6&2u4b8!ZL>?!V3FZuDX zz$>mZc#oVJe@D>&R!jjQyH4!Bkl#I_+S%`Q3I`TU!a^UE`(4UAVT>Q_^yoTP?Gg`A1Aa@};?L5N>#-)dCP zp=xEi>p%FG7fw}BOy@VAd>DBiq|BZM6gZ89ASIVg|x_N+#ylSA6HVa)Dr9nowrQ2izoze3|h`xbhzZ#q zcu{R$2F08iqAv2cOMBz*>;Mr^G0b;94>q~Ke>WqoNYu7u;6f7a=zrrGNg6h}B!q|< z7G%moFB&NX-+w-9-P!Yj5i5>MFI+^}C-Gl|0^pGWz$2~_O4FHYue}W%cEXUb;UEM64K4Z6UI%xe^BmK|K##&00Y_+=u~ewhBK4MWvzN_K^o61 z2zj|6lw;`_RTvPI@ADrgj8Ma3@vO&Iwd8$$oIiSE=$L0UVyl|o$`_zN*WNxs%7=PF z^_+&;Yzsj;Nh`;4diS&JW$`J>Igr9T??#^YRA#o8g0Cp!pLYEY1wo`z70o|?e{eg_ zk)eImw727|PSVI&}*vxKiNqP!tdFL+$mKeGJ#{A?YnratZ`g@a)@ zRmsmAwYn@*%0w6#C8*YCnyCzj9Oe_#6B=`^B*PEF69D@jMiE-w3-PSLud+HlifyoN zxC`bV%d)b%DB)4Ao>#GtNu@Tne|T9DW>X=wA8na9SP}NJU%-bdGFJW=$);IsvbxBc zU$Bf!p(1+kZ^`Ln9R?n^a8Wu-#(2>)ob#NUiPUC(%Sq9i@TI_a`kp6$SjAR!;DLTH zN}f^M=t7@QGvMkA&eN3;3yE&6lBb=Zu#h$Pva3j$0okYOG}MdJ2$shSe|{)Y=pj?# zBt-#;sOAtST!m!Mo>)UjsA@S=ikZ{Rb8Z|;CQ!guhMUZU=No^Eg;my1PNcGt;IMiI zCy;*ds~fyp(-aZT4WO8s7tRU@NRz9!7;bL)GlKL{V%#pYf)&OZJE@89=&_@4&=kU~wlD7@@@+egm z7M53b@wgSoUwHt7t+}K6en*rK_YU~#2^(=O+Gmz`)$gKT9=qvA?UNq2VmblP^|-6P z`dmm+Dx_QT<-ztv#)k_R+su(v4Y9>3jxare$&2<2{9X&O$lRHEf9$9hl>Jh8>(<*F zD+&}5mJooQs|1ClzL1_*&bTejch!`W>@v1Fig%JaUers;K#iR0UjW&o8CuEqUU-sP z9_ImhW)mp;fgGyO)2PA8q$RZb?%^J--H6ZLHK4R}ts(f`;o5J$U*OyF_zFKMbRQV= zk>Y9C|N4zkI;JD^fA#xuGAqTWwk}grCSJ`y>Vm-?%Qs31)|duanovFH9*QvR{in@T@bNz&cbEZHw*MznB)mxe)K+9!X(XT+N*KBa<} z`6hr<5X|^5>~ryT!q!7ifYXqc+8bafJZ-84VqK~_Yl^5kf3yaYdlgD;?aae=e!wJDxbMwE$|>KfOo(*V~%=xkcV>O%5dglpc{y&4>=$!Y?>HgiM@S zPs?`GIeKVpS<_EEAN@(-Y+`v-gF&H;>rZM?t>XLhgG1Wg#^g!>+O6doNY-IVecfB$ zok*c$bXk?hyEe{@Cd6KZKZR!MA<8yWWKxR$+iiaQe`qe1yCjJ$^IZ>=^;P-*Xw{GS zsDGV@upAdDKQKS-d@HOSdz2=;g*%~wmeuNbZQyT(kT@#CkO+&0eb>&tBKsEt%6FHC zucxnX?=0uJXH>5Mu3%rVg5zqW(^{PW4iALO5x2?kCKlv}D^7Xww#BYrYt-qfULs4iCwb0f zYCiQZvp;$3rksJ9=hS^Y7ML+G%eWo!oua~OSWB;GbBB&Kc;+*vlkx{m4lq4Fh=kGra&#|g0@>c*!y%SLq)LoD46e>o2lTgcLGSe(!F1s2MpkrQZWl0-7XoI1!VizQWIl)6 zbz;~(-vnpLt}AyQ`vXRO)f^v^EfFMmW^6j$n>}61WD?&>C`dzAs-IjE3|F0{^Kmfc ze@0p2KOm|HrL#CEa5A@DG;YTT!38-2j2r!e)Q~jVO7^G_e9XQ2@WlImDQu27gNWsP)p`<}!t#0+&c?Hp_3gffcUNbBE01R$+tB|f zqEVn*2x~_F#~Zf1U}hqG z6Ii0FJ~V&1H-L%d6(4wd(|P37gZJan4=l9X&#s?!moNf=)Ztlfxu6ck?_tLP)uOXL z`ubu^^6}=>hvEGm1shGV%tZDQ9Y3%o;+<_sFI&rAK9A*wUS!v-ZaK3etLN*{7MXdp$fTRUe7L$9P)b3I@JiK$8t2?YZu{fGGTg zRsE8(y}xyP9z#i?hb?D$CB42Ae?e{2w&u4>G6RKzB+2rkrdKvO#BTg4=jJO6G&N{s8hp0;T&~47;882ui@Et`;8sMwcSfN5 z*-;=znFw``lZU7x3G%9z?~g}x%J}9AET$8IC#b!QzmtDBolrpQ3PKSGQye7jDfbhw zR4^*CxwGH+oE}T5EMwF+f47LXbho5l0xLq1o(p$eD>?J3<}uGntctJjbF*LQTZIpu z`RO5w)Yf4|tl)>*{@sqDDmyuDKtm7)0JNIt?&6bKlq{VWH_Mf4caygFWLfhk{*b-~ z@}~`XgdI@)G9Z##5t?u#BC6#Y1iheS6_qx$2PM_YToiCnyk?y z28ILdV|Z|=%Pk=vL>X@(y$y>(`(?taJ4nuUh;?{oVdE}6;9(jOR+0(`1E|E6J2=?~#&((!^{t30_yJFu$Ur~QJU&%d%9IDzAU+UJHe>f}-0bioHM z==>RLv!XfGSsh7rF}lJoMvw|J!Pq<=vaH=%d7G$K8}?Kae`ZCqBs^~t$hXn~cFstw zj0V)eZI~#WNY&PiHRmhvZPjE;3M9(l)=s{#KbYtuh%U#D6fEegCrC!iY>1{RiG;%p zCqbi?c>7Ei|8ydp{Y=B4Qy0qnU~cF;&fU@gLZH4XY%@J?U~(&22}CDy2qYf@iUX9D zGUd<9EHynKe{~e&f3tQ^aZA-wyt$yCXa>goUX=iSnvRt(HEp??062QY1s*)_FO^u0 zM3)kHzn!9WZsAeOp~~E7P=E-V^rKre^H5sK$&G~n4Ui*iNE#}*TuKG3eLe|igvCsZ zy|9+XX1X2EniH4AHz|PucEt%zX{)fN(;+z~M&9!qe;^Eg*7`d~@2G|o-s#W{4~@%g!r3R&_Lg4@pVR6_rX;C}j zs%4gif4v42#R_58%4`IkEp%_A2rY-{VW*SHc{EOv+;Hm?Oj~}@0U$B@n=$EG_TvNh zd3vh_KVLm?o>0r}p3GMWdxECv>i4OP=Teu4yCSje|T4 zX=hIys!5~mvCI&1Oh3q!d+yAEVqNoFPp#)3*@a4gn-yOPO<#l75GJ%0zg6devQwmLH_z!6 ztkai>@1@`RbiBN0>ccSm*j%;aM%legeKWc(xTi(f2Qn+65cJKEU{JMO-kvR_Z}9q| zNAJXV_~PRSm_0Pr*eqn#L+l9Amf(`-f4}nxYY>LHqXz{9fk9H^WG64}r$q-)pXq>e zyzAbo8|eg^$qB$o24=G(g@wRVs8{jmR z?`&4#p~FBgICfwvLul*IW~6wZ3&`n9JJc=%tveF@3v-EOQH=aIILP6u5en9>e^q;( z+)?o_JH>^^f?(9bTIR|m^<0HfO#@T)1uy;)iM=b9xpFeJn(VZ_QuUdnL|k3Vta?$f z(2FpFd$)f_NifTEmm$r%QDwscv_-9e(lsE5D{NpzbNKeq@T^`(xY3v1@e~1mHid0G zxij`i<`Q^>izs$du#wCa=6jrAE zoJjDxam+n!H#5AA6-wU}rwxDHqCbgiM5;kOMsKP;arj|5m%A~&rr?GIQny8ZL*ier zarqonPObtG&csqB^<&`rDY}dm?D~PHF8S$&_IX6xf9e4}D|a=!3qV9BY^SHdZR) zeun;2DObp=2kqyje^h-iLcwcX31lNE{^nr^)BAHv&}P(z!ZFe18yO%+l(&7ufWL^B zLcPDB@QJD&n3^uBRtnH#JTD`|a?wqis@Y|-J?s^08vxw=efx_3K6?z8Oeo8gCKaff z`XY9|<=H7w+ z)WCG${_T4)e@2q*ID9J(gF{abO_3xD#mlC!4xTs-Bm14lg`Nn%RUc?^7im`hio%ZF zePBjHF~)sBImZ!b6@^DG1w*yvi94Le5@ydkSmo!l1kp)%l|k~*@|Zs2h1gJ9SK!yj zYLXl%_1HEQ@XDHerw%^Lyx^f5ut*d!Y$mB|$E0x%e;M&`cCHdtG0^So)CtA45xix} z{^3br10uHah{NVP{Ey-BzKrw2suEUV4h(&yJrU0Ur;1TgRWubQ9R`!rg%y`>MH=%G z61zoqYKX^;vGCDLWVlW>L)qc1An1wlxE27H&GwIp(VbLZHGq2vQ%stz{(~qE%9Q@R zbFUZ*e*h9MRwAIYO{oBrujkO(mwcXH&zar$oPR%RyLl+Z9!U|52l#{dNP9~TVizCM z);1rj5(qZo+~dD98C5}P4Y|UPL3I^B^}8*nJY#gp;))E+ z1h!NBM;FS%emux8GPRtkdwW@5n@6plg(PVP>s4gY^>7>VUKc++|Pi}e;4)-imJ;8%k1qWdkKgPb+wMd^kn&ly+i6W zH*h8hALSZ7gqU^7L3a`nEA}4ZjU(m~%L&1Z3>d1$TZ)v(*A6X%FQ9ZmDX6x@Eo^>XWg2wA% zp{Bkw&W0y+IamA_J0|XZWoClpu?1x${kav|uigU5KSSwYmgatI^V}O|)fXe_1w^lRY_b zFM}5|)C#Ty*+=QMfg(ktwRJn^gddQL;lOcGTf;?<-)&I zN}~%#n=gNSo&8g5M#9A(0tIO|NMR(%60}0AJ7g(q=t}cv{RbZ2(6pxAWU^ac{7S;? z*+P^2q~He=iIxf3j0A`T#Wv_-yr*4&f%H4jJ9;RS*4Yy~+Y4*`H2_bbq0j!5-yH#8vG@E&pU zIqSkUNhCGZgn%dH0gEvHV1S(N3uv|dam!n+J3 z5rZ>FOVdUB9Vhp%1A&l!C}ZPh@x}cqF~WZ55y748-|%gft?OrvuK9(gI5~O%Rc_af zKM@#0`mzg{2R&PnfP@ofCh{8jKM=_fHEgte;(xZcQ5f}8f0nc(`)6Q3JJ%BGo3t_` z>0VN9+J{hmsIvZwvPS8bHhZi1q$uqc>Xg}}uW6`!aYW^rf2C#CA)U}*=@@OYuavBp zvLt@6j`~*&qk2#82NbU-K#lvd-&kX$pZcvG@`C2WBR{(0pK2O(kw_<{M}0N4ni(iH zf$@B1g19Ptf7$h_2+(^vhAek#9x#_SEve^f%Yzy}i>oqpkKZcZTJT1fOK}mD*F3Ul z6!$tC%&GO)+RgvB)F+GKQ%tePD1QJMz52U*Q54S5#_U{D9yK-g; zoF}>ts%ICk78T67Bq|hFino1eA1Oc3#?>nglr_Z5^uUbD4-%YQk(I)-T=y2V= z)k{P;l&g~*LGfwvEl>_E!)Dof1F{Bpq-ntLDMEv*Zq?kam;bF07y#F+B6E=<3;^Dk zRg^G7e@NQA=%7gj5Uc}0XW&tc6uusw!~5Mt0Hr<>y%9~ss8B>qipD`Wh=n?le2##o z0gGR`#y7WaD9eV&-@AeqVM9!g)+^TW_% zASuedw}MH^5tV~ou7n1lRSnR{EA;=7iY#?nf5Zs|j_!=9y(jurd5Zh)e)ygf%EBHG zBGBpo)Tp2C0~2C9AhPslyfLL`tx~Utd1TjGe^`oM4wXgZg;uPIOt(!TfPz^efnB08 zOA^w)Ui=Ih&BdGz-wPtjy*2I4pnRFuz``;C-;atHWSwzCWpirn^UdB%V0=&zz}-{) zf8=O-NMMC)Oe8LAJ%N?}q7cJ+iD;XI4uG}?ScuKOis9ENaZl=$m}Dm2c-N;2){8*$ zI!5IEyQ+tj%u?`z8HY9!DnHYodtH3V}O*CKqr%g10-N$nhLs2B6=8&O%jiSus& zzIb)US^j6#N?)1-%5xd#Nq<(CU*Sbi?qGGB%0j)GiI32==o0$AW00RTk1iBme?oG~ zx7ZeMUbLDxFuyazPV$(;*Kh@gE6>kIvxiutB)CQh*jduIIvZi?KXG%rd7XZ}T%|=a z{1EabVho8kztLhcRSyXU0{hcCGzEZeRU*ixC|)N%W`-5i>|5*5X3FK4Ccx!@wL;A! zmP=xiu2pC-6ufD4WkC$?j~cL+f3ckYo=*9L%pgBO_nLTts_riRIftbI`NE*$Gw|2? zQZJ{o7GzuT=|9$#+|HMhXU^hj_CWu(k!ONzg0Hr^m zg|<8)?JsAGko~%()UGiIC4il~eH`Es&h%Vq*EW^nZLe~#OP>coAt ztgt^4(74gmeG$rj$BP|vu?3(k`JdoE30W{pA-Fh_xjVOTj7QTPLcC+y{#+*6jRUnk za_EfzgT_&{vmzU11A=C6_3(D&6=D@--gVNGfKPm4RifQ!1Sh-RTmeY09dd2C%Uu!H zoP~O7RE4{;?o1I`=lShNe^F$ESn0Y=dUp&rMvUF`n3rr%+h(*IB0v8NgbJ48Nc}Ht zAz6P&{o1dXQg^P*ttPsFcR1}u1Se zq>bL|02HvLtJWv6%ftRaQtrkVTYVe5@IP2#gC@N#f+>T|aJoX|da7~P@Aoxao~8%wq&#huWK#yIi2 zcb>f7sPa}RrPFdU9nJOW!BWUY-Bq&Wq$$67H1;=sI3@7H0zG{{0j>*Zc~lpp14a&X~? z#1I9vN?=Q|P>pdF!5@FQ7nnv9XUBfvTVk~>7rYhPxFsW*QRTY2OG7orLnfVlo4Om$ zI*s;b!8!t7e=$Gv(I29B#sG9~UUM1f9g0>wPh0%`)JbtzPK zJD7LWb`Z4!JuAs54^{cQ4zs3 zFtAP^TK_o(`?M(8x`y<@X$o1Vi&!<%XRJALY3lCC@%pqm3aOPru)5;@gH4$(SOxWi z1yz@y)xa`Nca=kGJ(<)U9HpmNW0DNH+)7sGyv}iAQX@<;5%C!ikpBtEgA{D|e99># zs4?vReV)%Kx=*Mr>fiA%45b~sN6BqW3*l~0rze+xjO{dkjm!C%+f-a96aAH#V-2_*re-nUFiztuJ;Sw6<94mC^De)x+D;qEaPI*mRj>phB3YR8i3lofIg`i% zf1TTXC+Rn(qycu{Sv~N4%L$Q>i>xTz$%f5cKm$Vc`vih)5W!y`Jb~7#Wi&>*6`WeH zol52KowUTP>{0sGZ7kXQeEiL33~2DTA=sWGIv+? zLtc|P**J=P_+R-3)4+~!te!qpIMC$?e>7A&cNbb`vzL%gNDUAp9SbVh6r<7^d?&@b z@nYI3K*UB!vmKV-5UHz~q6j#W0+>X?X0^x!_Elufl|{f!=IINQ9Gswo=iLlqp50Ob zWnldS2v~bKYtQ8O0;c_XXZ)ST{~(m>gYZ+Y_rBpwo?-8_!q#OjX;eDHeH2RFf20(O zixsOLZ-G*z?mt&}B| z6E4l_p+@1Y(&+TiO?;#JCugYBlO9iy~W z2eyA2vwo5_iJ#SXzPKv*-XYXkCrPV1>WnT`v=w(Rx&NWjkYF!sj%gU_=Bvxn#N4&B z3RWG|WG=WcWbHPqJx3yBe`>ZZnj3Ictx+ML@Y9%+T&>#y(eno63~)8;$sF(w`#a~ZE%D=VTiFjxNQ|XW+zAwcoe|__kf6+dN7H@&U7fk&&iXttb zgwECnOerY5SN$xEvphu{ou(%iHmEAFXWe|$ynQl|_o)WS|EXw#<>_LZ}!@x3sdb>}GjYu2qN(vSIj)`S)bOwxZ;NgS&$-d#e`IdQL?4zZwEK8|YQ%Wp z+Y|mE;-c+uX<6kRtjH5Cxft^(_G6KrVOUlYVtdc8T_x*%K!37%E?yFRQx^n z2D{=>%-Bsjf1nK@38nuqZ)13&Y5VEpe~+gt*dZv@d1v6F<%jF$&=Sz8Z+T9zi&3kA16`_&Yu?;=pNsF)4cYyKkj|6{ zb%aGw<4c$}kmNA!mFb0?a|VH)TB<^E1$E@z7dC+h}#@K;%$SeSdz6 zhcm}MU|jUHrqMUC#TpyqtUZDhS2%E93a;^&e=$9b1*tX??r;5$3w=tGwE@Voj3Stb zFDw`CvyfCcn&`T=4h4o=o|(yj(F4|vGXb%b9VTW9$>PO4<yLX)`7h1FL$P zpsY^vBR3F5hCI>Ph>-Z<$4a9%A5)E7;M_TvQvbiM^DxW@-PJaeAzKZhLBdH3g{@1= zc@YyZGG8!|BBW &%+ci97O!e`k+weC$d2M-&B65R|C3)?tZ_;_lE>_~Gf6@lr z|8QnS3kD=L4If5Rv$nrP>2{Qjp{^*Ix?#NFAd>G|G> za$}$zO`nMn>yYe3lWwXuWD|(Bl6r91bXh1l*nSVWe^d|_d4J3udqq7$iVyT$8&y79 zm$^KN4{j=eroXh5NqyE|BHtMme=6Z%N~&Cl02x5$zf&MPN!TC;oecMEyqje7?E5m3 zJV^zc&sM<#5@H2DzPNHHK@BOZD|Zh-fs-8Bt^=r`t=HO;Z%9k!aOtjH&hBdBgna9E zqlxp9LpgCM^ck#Vy|5BKi~r`cypvvL=SSvw)bjjFj(BP88g39|`d}WVe|q)ZtE1>- z+W2A@Xr2TEl*ChhmmBcNEOE#nD+Y6xTb!m3IQp(Q`Jem%+ZuWQeK)Gj>m8uNPM6Bc zH+E$#kmg_Y3Pyf-lD4(ShB$9q7}(ikT%HP>W#7<=w@7TKGqHEu2pna9yfzXf z=T#+yHk0MMtwbXrJ_Gl^dF6?x;8?^pSoe6PvFwObBzO7>zQJA47;wWKMgr8GdwDOb zCGyuTE=LYPO=4?PRjm9c=z-ZErsxby%u13@UqUrci~AqAd<+jTe?%)oyEKg+uxo!b z>^i8%?3*F<+?;w?LQjlcFgQEV+NU~Tgg8T!%1FGo2%rJboL+yFX~F~Kx) zcqy!5848h+T6$P6#tfhvMp`CwX2i)`39B!!ngdGm(Zl+O%M^WwPLtM;Ie0$;-vC5>ae^>O(==I5~ObW{c?eS4# zuWf2xluLG|kXmIxXaJVXa}hddDHEId%w-B z-{ynfV}#Bg8OxvvFII$bs7b~%#3x_Ct>bxL0l{B4l;~sPh9;?okt-1d?Di^ zLr73y6%qRn)}V?;pDzx-e5&?YE^l&HxWTRur7Cl`4u&pedY%hg%x(k5i-5FM#AjU> zeMUMp8|evXc|0b&(1P!cq`+yrpCwEr#)Wp*z$I=Ae`Wa$Bw6K??jVubCPJ{sYLrnV z>Zl4OwB^+{b)&Z2f62iW32k~{1IKw0qr-U$Riu(_zTzN58v3)Jo!`|fBQD9u>w)XDZh%{CO`t%(y`2!kr)yce_}W=(>!@7 zsvcq#U<+|5r-idD0_$1Nn(JJFa;Eu1OjgrC>w;6KrSIkS zPZrJ2&;zEB1yOjwHNiO^ez8Yu={W*TncbhVy#@V)3$=_3ghT(eQ`11WYr-m9EMCr|%Fvg!YwO+pmkB%GD^N zj^O5OlRvkBsAvHae^#pi7e+gAKpif3*AKWAI69b}4IYccz1&V!2*c7cE?@@*3g`DjR(NDdP(>DA$#t+Jjc ztse*CEl$Ct6A+-X8(w9Sh8t>Sdu~ziV{mX4Wtq^%Iq>hX1qK9qA0(Ud$fxU}GskY^FXn&d>#7hJjf zI)Xi$UlCa!KCIK;X9)~?-S{l+^kWWjfc9|Y)v9~hm=uBUg?}785#=;A;{bMXqDwGr zP*C-#LK-NWw4KyCs}|po#Td>iiDLyjWvIt;hz#j`wlNvmG|$O~!Lbr{wLS0U@tWWl z5#Poas6k5P)Rj*=s_65La{Wyp9Sfr4}5p znUuRc<#@Vx^CneV={vA*G6GmCHBHW&qx%WoR{APzx@MNI4RJ8zG3P-kp0fYhuf~sp zNMY3BRl7}Z!aGkhL1!~i@(;33ZPdu8GzFXj@DYIJ0I+ChTvIIT z`Qfl-5{Mv=r(jzoWIy2GM$mk$1J1>AOE#OiU)VZ&}YjOO?H8zy0-P4O9sc?O6YmEGLW}q z6uE=sX%ovYC>e1Z*P2$XzOh7#E=M{NOOE0`{#OgK)FI<@iI3lSVVlsf>S=qjeJZ~I zAMg`WF=gJPq>3V68T#ypRw#M9&R=3H*nH4}aDSo!*$lv_KSShie@IAZi3mRrApdfL zA4HIy9z*DK#MTO9y@3davA6jkNEfA4xF*x_q;T&tTt1<|0hBk5sVtuZzk~e?M2JWlr2<_o%jM z^nZx#WwD#ePzKs^ihc{cJ<^!?xs|PnQh&#ei#SO5)n7xvKvupD6E?zQh|XdL49wVS zCQ-0kqe!ee8lUO~e6$!v&c(HE_0EhEA+!K(MaFUA| zRhv{Q0^}7(ND%yRy`!j^>B@&WRE8N*SAi4PMJlGrOVxb*vCN^nivhDaZb4@;FTjp} zhmo0ZcMPA<*UF6Csqx?fN4Hwc$w3RgfDMeVt~C;?pV_rPZ*lk!y14JYJutwOCf48}l^k-b(pUb>=$hN*w+*u7cYmKzDC`8)14`7aCmw(gum zrE9;TF`*&R5J9gtMM(gsC-h+N8R9jTSM$%{b{k=(V-a;KkF-1bTgzkLTH96(?lNi+nIeno<2F zK`<5)u=sEcAWJ}6XJW_4luqi9bixA3ZPZRwU!w)TGg_f}PjzJFWqgeRkD+u7!raUx z;v!=ara4)7=igN@Vxp0F;(znm?gj}d{qJH<{7?Ds%%H**c#Uj8?g4*sx$0}jbuxxA zKV$DKH%oQZp7-KSB{e-xSyp5;hmjgnyJnOL>@czwP`0fe#47L=PVVa0kVJaOKZ`|Q zA&V^fTQm%jP!iTbM}?T*JG+)t7!QRgeN&tUg*6%5ZW1vZcoTouS$}iFT)I+ew~XeK zx@w>9hi#|6Pw{MR9?*qfPH=Z^S+ONzJuNu?Yj{Lw2n`OGScHS{n*70H zr!#CSTNj!At}~N%^MADkjN_z7i%!69U;JYh5Cvy^ndi3R6tMQ5Ck<;o8-o3{fh%3Q z#{wLVCEC~nP?1{+W%P$fL$$j{ z0&+$9%X88+ZiZCk!!5GpQ|!4Kl%anHm0<$4)C9d-t&3kr1>{^gh;M2Q*^mXKO~xc(5J8J30I!^vE!E#d7(45O=*87 zn&M?%H0)vKXXiTOhi(&3i^?=mhhC|#Q@pEg%)3$HaI*WrbEo=uS-a} zEeVlusW;4@&%7vj#c;5jROQISBKObb?(~Y?EOWn~PzL2S_SMDnvAgb9LoihzW1TA~ z%8=falYf9qFoEsdG7_**=XH3Y;s-cn`Ls+XHP)krf2rbRy>1_SQsT{VP&v2gWeHF= z_Scd+2_z|BSdx{J_!wgBQC|wU=_o(uU=BzUo1BaWe4-8;+#cBT^`8+nf;>%5QL?(5 zQ=*L{gaBabk|`F9g$4G(Lv@TFiK50b^F0LQX@BmqZX-OB0T?rk4u|^qqN}({(t6_j zCFb^uW_{HvoKaak!}aueamXjqyq6VW(HB;XV(kF?q0B{>RVaWC24=e?*uBFq5Aule zk0MIu3)yvGAuTB^_R&Qypb!w`5@5Pu6$ z9VqNvIYt^*A*JcB)ibi7EYL zjK<+wMa!MK*3-fHkcdCdX7?sQj(=doa3AQv`sA;FV-49?-5=i$-%(YJ5cdRJdhu)< zmgqy}#wzt`$Tv(~SC!R7Hy5F>`H^?0&7eG??y7M9#5zMDR)f=u3i=&%Y0amE?u#j12e0}!^9i4Amlh60buh3Cy40^d%q&CIlL0=|E6yRQ^DM0V zf*rW+42Aer`1vM97&mP4Wq$^KMINhYRoeWJpE$D67(k-TM~Id-M+Q%G?lLUk zo7z3?PMS+@;W8E82jlLO2oIvv1(6MiWQLoIAW`q1UsFIJ)jb-O^?yNmS1j~sPij0H z{_5y^_C+HI4Ua?gJzM-_6z7GYF#!Nd84s8XQCZsQf>>AHa6h&Yy*gTUziu z0T@3Mn*tH3Ad7cE1d;Dl$>;&EyKyL#?5fwz+UcI1pio2iG;9Kzfl(@mO*AG`kz8y; z4(#IkjFB^3A=|5{_Qj6`jYg;hcZwnAp)<8 zxC~eA7SwQ?shCy(d17_9iwumUQNA-v`K38$S$pYs*koWyKolnK-n=WwYKj?OhFf3k zp4A9Rf=M?ND1Ty0`AO{v0qu9nq(?r*$bC!ez(i{Prcy$jcjd5qlf2}lT}%PGo;Sk&2JA7 zOBIE57z7cj<4n>cAmyi7Cn}EAcFI!SX$jCjgIS^suYXf&R4DR-fR-d2Ktf*aTLaBU z=U&S23d+hrS$=O@+y(kH+H$Hs3y1!vhEco;+R6{w;gLK|=X!zHeo{D%yQd6*hW+~l z`7tkweMw^UwV9!BVQjdG0gVTN(7$8+T$jQn1I85s*qj5Q!4&CvHu)-@^ExS>H-bK> za!OBLu73|l;q*C}(w=alR1)f67X)eTUcN0DRk``^CbD(#h=OPZd0fVbjG^yb29IV6 zLGt7vod@81yq08Q+-iJOu`PCXXKwaEU}6j0?Rzb0=Y7}mzEd)>bLQ!UGj~RL$z_I@ z84>o$H~2YF72_*#mS3`l4N9b2So&U%1d_MlM1N6E3`yO@4D7+ZfS(lSB8WS$n$|@4 zIx;KoxM7Iou%c|n?a!xd1Pe(yozWD=xz(`?%X0fly`5pFB1dc}Pt-aG)D2*><HymI&T>V^i&LEg+5&WPsg`4Hztr^KVWBq&ecR}`_%Iu!t8F4b*ONAuqeA)py%_0tzIUa7wG{sUbQsR|0$w+SOSpX8gnwA% zKljUaF68(P7af_k*%KkNKc^ER$J59xCYKmB;>#ZQGY2N3$nlQ)i=d-z^>V~p`9G5b zw<$Ec)B+hW02y{`_zLH%QIFVbcbBS*Q{T?prXZVn$m=dMuagdN?h`OyYCSE=M=_B5 z4pTq8L~)Rz23_Ci^xJ`Dfq8DZ>3_~-+$p*%inqz1 z_JVswwV8ao`iyloTlu%0B*#+pJMV z!lf=!8&iN+$;-Do9s5corCUV^dGUCN#VY3aN!$n}fV75&QI)D-E0k(!xw6v*iC2#r zUtWajyN9jaLcmS3Q4g21L`}Z_kHNbU+5Hh=>tT;|$i8hd8sMbMq=lO)5K0s1mdOf` z{84UYE7&(x*D+RA%-DJWc7LSC;7s;K?lZ!`=IC_O^;$tW6zlqKPR-+3#&}yL-tKbX z9El<%B)i0Z{J$y{_dMC9TZ+S*&ne4=O&e_Yicz*4=@FFjks18hcEp8c$aO1oNJPE0 zudAm;Iy~D2wWf2oyy^zJ&t$St#0X!EAm2BuKu3OtzYE~_iG#{=?SF|;wDSp0(6!mJTU50{Nb?EdixDj|T;Ag(%QbNoNG8?*>QbSU z6I4v~>3yRa(6CLX)_<;>>me?~H418btTR~eY}~Mt#0n5#bdb;gf(tNmey6ymyXf9w zh*$vsk-&xSX+1V1?}tlRZ623FLFdltdp?7UPNY3j?}NKuIf)1#gkcsY=jsSp&MjWq z`Yr^X?5u{56WSWHxEt_IAMmIV*8IvN;jy~=;#WnzPGwVt!+)9mq9QsF+;T%w{+1f( zh1ad_zi%m@F24d*eh&cTV%;5Hp#WEiA>)Lm8G+%o z&Nrh~HHu=Fww05Lxm%6|M7=!E8J-0)ZmOwXS~Hf@e<$KFvw^iF>y=Y!lKjvz<-o<; z@as0oAh{oqe1G>W^m@(rk5^gV_e4symTqj)!6b4KY!}ssS=T*bRENx(3)7(@ge4>? zcCFimMkLT11qfn7t7Xa07!*%we7;a&3}&3TN?T8{;-Vc!`%TFwp-~(5Z*1^P))*rY zSku%X(caacmTb$+^{0j1r?Ah}W__t=3> zg$AlRs_y}6(2~*LCqZa}&e0GsHx(Fy7lp~1<_9nJq$@XVZP!vb-gBQvoDI0oOU?e& zEjbgSY+%b~_nJZ-R+LWl_&z@1>=VM$nB(eNtJiZG2Y^pyH#b&Hurgx%u~3H88S!(c zGVk43?SE)TE+$*ps&`_d^>OKF-gcmPt&kWemt?dmu2AL$bQmj8ivDT5+(xwhbuB0`VO)VdLQJfTwo z;cJWS*5qO%4k}FMP|uY&rFyqzPEph2+&UsD`hRhwG{1HmK*tDCLrVp6>VT|X8FkiG z89bWgWFfp2c;3NlBJFpLYSh-a1XLr>@ppJv`O=m2!0SPx;^UHJ@*&OD`cJrFlaDR? znpT-K+MbL~E%yRW6b+zuO=O#;b{=m6k(?Np%^qeLdUx4g6XHmb;!ZQI7o7azR_70c zD1S8swl&%gkgMDV+=Eg=^?-6PG{?*82jqCVbCb*lah40Wdxls}A}jQjp!c%eh%wKs znfiXsYmm8+avM-b4+7R3(M-SEM&4D=Rw$w&&uFX!YQ$S{nTGm>3Tn z)^2ScQg}noMa2;=4v$lVW|Y%zawFea(SNQG(leU!d@u3fJymw??MhCwbyVXkY*B+W zDXHBrnNwN!ca~tTT3wxj4U}D_LgVYHHBAwW=NW3MhruQb+fh975gp8tvlM_OZFdYu zRaAkZ)3=|0>;af8IJsUBWR;4B5&LUv8II))Q@nvBA*rMReDm)FH@cMo!i@GImw#UW z8aYs{(4F0lL959HF6sUktaQHN782^=YTGcozG?vm+I)`9>X1VQvZTxbA%D_H)zIgQ z_v^*bNN6F&`DunI#`=!boOSbNuf)_SvW3)1p_KWZnxDvb91}_7DAc)Nce>KJIxw!J z@5Z}}uE+`M+|tS?edE!)O;ZtHv45~-_7B4#WZK5~!8*TBj9@{ucrYQcb(2+msCX|u z?(8CJymDs+Dt$@#LClRdcjrt6nb6i8M!jS?uZc%1$tR;*q4yQ-P^gyiQ}j)6w7t1q zT1HqHx;tPU^lmWfqT(|z0PPx%T88nRbqXdglu%k@cBQG*@=VfLJE!~WgMa7g<(m^t zpk=Rkfn>J|bvN}3x>BlMqTHG+10o-yDiMFeuTO9o&z|t1tJ~WT?p;zY_asyI13Qm2 z`Jz?V--OtuX-}G|#hqzEumGK1-oDy+ed&Z=mV*L_0isdS$vpMJmgi986S!S>=`9)P z1VthVn9)RGc(?v+B{b4{cYmD^(FSq&GMuQ;BV1S@GPllThsiKLnm*j4ctR|vp)d?e z{W@@hAzHhoT3~Yep%l*BZ%s%$-RV(}dPOhUz#VIWkof@tMmG7HbcNb1HL}E% zvXC4&e%;DJLaWUb&06NES!dsn>EH!aS=42D`5!7esL%6J7rFhZYe%=t;pATm!n$w$ zAKa5k?47GT#77S~$nG&ubvop>Nb-2f7+Z<|B6oO*WDA_$OD* z)bA86@YS`8d4DPx-6^f%AmzxoTv;%sFkEibf^2JlE(8*f9qk(WxQBdrO{w{3I=_MZ zFQ}toh7-uZIqDBWhH}C^0wJD(N|Pd;g32tJ-+R6BF2TWSF!z_{9}3>{-F8x3uzb|_ zIgnKi)>`^nJ4j45`mdd$qmng2`glY@EZaS+cS{fAB7Y{sKG{yg*Bq#y$*&%%L_C73`8`ElN+^0ka9&B@_@N#U5xL zM^r~{OtvhR1Ry&M_3OFFel4kGTO)EP?ZYi?WfxQ@{`|6GZ2Udy)Nqc(QL#W`5SV$K zb`JwSmVZSuF_=i&*J^*d-D6tg;666NmskuIbkY~ObD%ihw3iNyf7d7U6`&WZDvHPu z4O5DN7I2>c+hDfF!wg2X7q82E_ZxtQUKl5|!??c2%>Fkt0ouw{c^4W2<0*SB1*nc0 zI#uA;O-(}tP*K8+pDu8LqZCQyB~bTalPCwG2^;O!E^0b)5V$zINM(M$Hd7DdH2_j!D21-9fy~ zpWuo4n@OmuzTVc#6Gt4oR)RcAsKjdai|v0{k0T_q+S8Y0@(rW&JT1_gi~!u|j8^o> zV1FK-$F zxhwq|vf*kch_1&h{{!@Aayb@S+kwo65Y=)sb?YV1v`k!Km+N`z8ec8v;h@`hrLPET zz#&G$Sf)5bQTQ{Vy8R9WsA)Uc2Om!e6~Vpm-2GZmIWhtFX)XhI?XSA+S<9}gh z2R#h1%X`v724UW}8W`TNl(wHz+oC4)RRjzmhikX`LgPjq6RL8#V`2Hn`0rFVD>d2Uf zQHaJcb+OE#5DRy7x$$512~0b$n;DPX&*IyA@~SHPOgr|J2J~)(biInB*?*6K| z!Km6z>B!p)k_IqOawVVy`dS=mp)^9YJZ!zYO+EFwk4T|0y4ExD7o&Y)6CAnrS-Zca zUF!GF7ZQHj-G}j-Sf|-`xcr`6qBoQ~l2hG(MPme}csz)1 z69u(;OJ*HZcaJpkU@NPV5m@HI*{0$jMA)?KGyC%j5zi7|+f?If0DskNn!!wS3}Vb< zAe%fN3<_}h6kDH?S=8d63+nIy@pN|0){>?L_a5ao1O;KJzh4r6Q!&a-e`5WY{!KRk zk!Y+ZyZA7ctvykGYC@Qn%wqc*e#f_C$tQ>64)>aY29gW7VuYB;|8+@!E;Ns8Z)IHc zrP3g62T2Huk~(~{GJgc7jP%(mJ-;N@HIgVeWMTA=&O%J^!m8#^p=7}5)x|ZgrT(2C z{Xg02;Q}xHL_cL$oJ4r!K3A%$hV}3y)cokYaR{UQmdRQLdL6aR?Vr@pS;tqq$yHmA zfh2L9RKzS0;P4NxkbcC%rnyXn@c4rg>670Yu#sAJ_pR;7Eq@mIa2jjB4`o+z*t;aS zRi4OK2F0IvtVs`2)l3PaPTmU~PTN$d3~#M9Z!&6lfRR@aXWj=;lLE>ZtJ!g1$kA;%K5_`yX(O8zC4^ zUZ)e)gpjEG>whwLx>b2p>w-;GOn}_e)Wtc>mU@l0T(@M5LQ*B)z6P3N8(OW2W^#W% z#L~nwVzF#QYj9i4iPH}FIRgG(QAY|a`GSqqQ{|FmG%})i4xbbOdWf|`41DE|!J*B- zLw9z4vq@ykeAqFL=Bc6+QTS0{YmyfrzE2V^-|uD1(|_c8X5+*w#S{W$^2(SlpX#>; z$OOl262wUJ)pWPw7j6*4EwJPHPZ(ij%9Qhw58p)2lxJpN&OS^{SJpVds9uYp^exxr zyo9z9l-?MG^YoKN>0Z4SafJ9Qb*60~&SRyQhKh!rW(_ zE2O}RvsX>_gA^n+0VZ})QSJ-Y4%m{%k;g7OML-?gD3jB`-E&SzSc>L@GzKW!lJVSA zJwA+%w%wK6uT0gQ!buPHy6R{jb>bs3-@Z-Z>3@z+1>1Wf&z+x*_=nRML871|pCS#q zrY&AUb1;%x%3of_d-4>t0R8O%n4V!J24zLHi^)=>m%iBCWghk^&ll%UuHsRMT%a{} zlSQ z@qY@FXx)fO-}%t*g$M(=QhVb1=Tq7#?7aI3iYg%`saatzd8%}Ui?kF0|~UJT%=Y)|4u=@7l2PT zklV)1g8xEK>$V%KEf4Iixsk#NFv@?+%zw$19?UP_<0&uw)^RayB%qKfDckBGh6Ya# zvBxvlW{L?xR@>@nZdRGeDiQd^Q zkdR=sxu7jb@H>}=4sxt*nrQh|&tp)K5=FX%&F}E1A0iy1_gz%O@wB;W5g&A?IDg3s zRC~#UBB;JTm34a`rKo}r=OaDS^rJzq*9ts+6V@n2-8BOFPlD&|?(&i3@GzRMehdZWRZE z-!ZLBzl_3_irl^^+sQw{&4y(|>gD;GX^OoIYtzgZvVJ zc6=@ZZ8lBEc+PF0ulK$_r~g+K4@380zf(AVfuc4~fWSW#XH=sZy(bgWuz%P}@#hz{ z*%FCZjd+dfAh6z9E&xk`OPz#;SWiSVp@;ZXav7;&4iZurYJ2>dQDOeCgGCZbHXt=O zGu7*Z8LpLE_0G{`*csJPqjZS($+XIYcxI5`Y?YlAl!aub*n5G&ys6wNWxq+KMh{It zgN`G(lyZ872NluF6b)w8oqt#&o$BG*qn`2W$e`=-5I}U8Y z6l|h6He&@j5^}a9TES&0Z{2XjO1*kZ@?0#NMhS+J8{ndB($plu_#MzN97~>7wb&vsmW((F+NDF&R{wAArZUb1t}uI1nsANFqNt1#MQ`*6=X%QiWas~=R!x)Y6Z zvT}G0%N&;-z-R)68NX{WKJf$)M*z(WG5I(_r!W6~Y);vxMI&R*xkA1WwBssLIniB> z2j||YEDSP`C4Z+xkv;Ghf$td{`d+n#hl+LWd^Fmjrwq+n*SCMWz9OPeL+XmlQ9=@V z!^6_PDGx&Be5kKwprW;bfjM4QYxYrXP9Y*uWQNLISMpyobW)Ac0jmLJ z>_7`bw|TiT-AQ%&z_wq4V>DLO5^|!oZL+94pIK-=G`@>37CDZ|OBfHBO%C*PHh;Rr zR8FPkcYmcO;`BcQ``+g}S-<=}arcAjf`Q4{jJwCfRZXZRJS%Qv%EkbmZn zdNshv3qHlJ^@klnOBnX7|I26^rw}}|l|B_l003aoNVIozm3Z+^EtYhx@3qEO|It7` z)_IX=_eT?Xsv?bfrZXnJs>%j(RpUDVK% z4&)z2c(@k}DUJ}zD>CTXJWtklOI;|C9lIG(bXH+UxWVdD)jFafuNAHMQEH@HEyn!d+aZ~t3X z?>=UxTUyQ@yxQFV;>G|D3_Jt_5v#E5Oueo1V0Wfn))3|D=rR1~%YE6RrIzpd#frSAVQIEZAU0V6%;Se;1He+rN?CtKgzegX{D* z9gz{Q<(Ey@5W(t?&`rMH{xu(D-)r00MjdSo7pgMXXHVg+&B#jKCa$cKk8KcWE?VhE zwOo~X*RUSaO)Nz{0Kmj|M&`}BKX?pp7&&hhkjt{ZYe3#-vs@FsB=*kxPXPfHrd zbE~`9k?ia^oWs|gOqfcVicPC~^?EFD$QEyV6ShRwcB5OEhX}G|fuxoB+{Gn>lvw;u z0dl7GtN7NVHt0w8Ms2GbF1IR}0)O^*(srR?Dt}I${a94hm60jKs^jqC!UQt})Nw{2 zArQlbgQeNcS;#rx2rWBUikZfbHzw%UdYDf>t!>VmiYM+-xYgTX(dMVjduMawNo@82 z&Y|=OCI~#tT}`y9VU|Mnc?renhB}_%s-K!#>a^}A6xAV(SL4DoNviOu(SHg}e~{9G zk)z!;BP$V=1BK?7^P!sKOa4H(VfKtTqU4B`CuHd*JdDDU9;&(Td3i~HY$op+j=`deJt_8>*}seC4a2PJc8u&x8J)|zl*qt>u#E}D8;1zXV$K}5Ilm>4_A33 zLX4IAliYfnK1BZjRT35Wo;x#4${>RD;2GulfNx%x{C-xet&=F+#(tlFVWN`k9v)Q- z>?JfBn1niQK1}##f6C|mG6=oKEoXK7{c(UU9_98Q;f@u9lQseB3V(u#_UXoy(u=Bb zIJjzbIpk?=RqLTPFv?D%>B{;eq|&Z@f|<*Gza_nyIb;8{8ck5Dm7gWL8t#D6d5Y?3 z?ZD8SkN{f;rf^R-uqp>zgh0=zYoL!{J95AEGdsDi&3o?OtFx05QL*dS2@a|i)UvRS zk_zKj7h55V#1;rFgMVCuk>|w7D^|nQ&#D*?h-~H#C*V!(b*m>IWY_BaY^k7gqAxc*-?@wJl z9WmWpOGkRm!rQ`8%Q1uvHL$5vJw!Cy39IrF|Fz3|A*=kmlz-9urLceW-4W{|)a1AH zjzzAqUMb6RfzRff#)~E*+@=bTp@7fdsBlmQRl*`|kU)M6uO}F*33+?y79>b=y|Wr_ z*3y$NFfZO4e2LWM0}u*aMppvk?YSJA!Czw>b|`wJt=T02ojKvmC$K~z3M3Et)J**K z#o6A6DThIA6@TZ77R96&Y)a4#(z^iPB-lO;_e>z)-1KVH7m&EGz~`VoKH2Vf*uJtz zg$RuoYN3wy=8S2keD_i-`BCn#q35t5DhpN!LT3tYZ)%fUZxNF)rzt&cRk>^61E(yp zCIVab;7>mFM%PI8R~nDelt;8Z?D)iViljkIl>WH(@BS1D~vzc3fa%pKBJH^umPo!SJ zsx*CRw0~#Oa&m@lie!|1&@++XLt@nS;q6o_r(pBo7g|;BnLU-PX2yRQ&Jl_Y@<4ux zLq0^Sqf=_tYN(RV7WXh^gd*XFCvtrEa_-&#iDd{+^8>csUNq)Zi=O_~j=LBrj%`J@ z_bR!noN?C5l>*CD9HF2C_<({-RD>Vc=up&IiGO4ye4!12VB4=|*MFZ_eG1@YuiPZA z=JG_tyV9LC$_SU>Q@`fnkS$relldPsu2<>7&CPgYe2lrrnVWcq>KED9N$xnJRqrMw zXTkJ9*+WTQsDcn{kxHI4>hW$m(1eZ=rM<@Gp#C8t z{V-hez3bhrfm+nq!h&C7dg^MhY2v4LF*BI90!HR(64&VmP)IwEQ7Np)y(9Im zvPA;ec;)kjTjR7=t(fJYj%@n!g$c)hJi*mV%VHH-?glbyA&#*Qugr}SO`b7!{eS6N z=XYygguxZD*lu&xDpo)ap2Ump3biOROi8K7(p&`2iuBF$?I|0qvAay~)jH6R5&eic zWR8-Hd3Jq&2^>Jt2nI0W!wqAeC)zN?Vi=$Yok!j;4tGFusg|sZLIazhZ;as-sb*J8 zoN_9~ufv#JT5SZ?f9|1>NDUgl8-Mh1He?}@ngg@XI;`__{YNaS`=kxi@!!|e6c*PX^nw0kB*l5|0J&Ie~uVZi#PNd zQ$~L;1skcS54mVZa1)CYZ*j3$wpW=3An+%netP#995FWuwtXp>s5eo2rGMDZz;?II zkMUGagcD~{(tbGb%2+!aAL0=oQ~oVx#(jLqLxWU8p`ktG%M1E7wt;cju_l)%%c`n&v;TJxsuOR zeSjEMdK-(Yha2(7@}`@r6@TSIEWxTf#(vb|OVe@iG={(M#O7rYciPqi78ofVlzJA6 zw-_%FlGw>#Cr7aS-uy!kNcQVnc~(?7=<{_QVSKemA4eSyu4Wcp%{KplunKn3DkoA} z-cc^20kN>^VZ2V8H44`s=}A1uuTL4hP=K|uvU|TL7UB8p)N2ph$gY*IM?rp1N z+*z4P(OdR$9lC#_^nWAZ^I9fD#{qd;X4^*bqBlbg3ep^~R~LTh!E9#AXjUpch{Yru zf-un(88E-i|4WV~CUKD|6LPo$c>hI~mn>EbjDOZl6Q%no>2;c+o+!5i0V}_yQ|S}% zM1$fa9i_`bn5satW0Bt}JjXVrD+ElVQ&ESkCxXVVqZS8-!he14TzrW;tp^Vh&KVQX z{k-+pLU$C=QPD4=gh>?2+QGkUsVD-KvGv5+$4eZc`jnmG>l2xE%lYPHNlDrTIF{~S zhrutT+~5jupdJn1wj}a#o>eA<)u^J8%7VY?xM77}81{95T^{S05^eS`1b(o9|AbWV z4_B`O-n{t?Cx2X9*C5b(szMkRrz=NLO}+>VIUxPt6~Alh+~brPp`mcse{{d9h5annC&I5F`3BSEMfu%ec|Tnfeov zhi69FCf(wmV@P|^+|XGV%~I6U+p|x0(6<*3?Xl{^D}PUsJRAUqDJ8|u?|Ev>pn$Ck z_2#jZLBc}j8HG|I$B=!;_zPw#0$HcNdI)1kdxZ2(BFX1Gi}n8Aio}O@V(KUw|Cij{ zYi1hZqpI!dl;iJ=$lRJ`L@b>*+f`LDkOJ5F2pERzzPjFvIsTKIz{kfn31bbvE2_iF&XF|F zFu-LPX0HxRup3(tqRTPJiTz@?m>j@WPl#tH+%dir6E@7Cv{TQPq6#6_M?v6& zoqrAsx1(b?t{dtxNQEbZkv>6C@H6H+?^Vh#3$+-7ftOriWLDA{7qG45$VOCI@q=ki z=V0x%e}#GKjuTAWwxQYAufx3bE3ozJyAw$f5Ku!Ny1Y6Yw~^2C{OuSAlXk6lt#O0@ zfwNi92BnR|YT-Fnk_uQ&bpg#iNRB^3+ACdYqp@nj=Vt0ECEnYrrozUf>;g_?abptt#)D8VM!xR1zIL zjE>=ja`C_{X~t`*OnGv@lVX^qDQ#?i7`i1)P)ybclL`g0uM&pzD^~aHiaxPP_J4E| zVZq9+<+pyuSFLD&`!WkxO?aH5v^}OVvw<<^vS|Q*QXt?%FNdq5&h38GIAIB2GxK2B zlANbE2>O2UBqi0^62TJ?Mvu4&i4Zztk^Z#o9Km2o5){jpCG*ks=(sH4V9A8 zOu=oy!vM6=GLg*DKY4qW6QQ5vM1LKYeAFRyCK}GaH$R$P*&o-5oy7c58id5mbS}mN z$8AP;W|>?MExI+K7DWJT+8#c4G(EPH03bl$zZ7b_Q@yTt2DHsKaa?(WCyaxN0&2qd zKZY5~{bGw=8N9vNkQMcViIxAN5Nsn8Dt?%0rN;fsz~QA6SsNcpD^X(@V}Edx3~#4x zb~yjg~ZCt zp%hR+Aw_E$9cROlqX}fhr3gyY@ zX+4f70DQ}PsDFZ?BYp>D{ZL8K>9DsY7 zML6F#H&a`)Ui`wdL#(9~NxLhwhME*Jlq}FVY%B2V1gH#b3U#+lC%4NC-IM!((UQriI8!co!87j$# z$^f4^W`Fgp%q~#`IzgfD69>v_o;yL@ScB{U5SySUwJJ1h11(J(7HJG-OuxRlud&$l zm+J2)VO*X4*#+nps5TB;o22Uce)Wd^*)jJqb-E3y?zzp&quSq}0oC{2Az(L8Mac>h zQJv5JmAKjX-Sah!B!Cy)i##RVEo1j~gkeck9Dn&9sJ}n}oE@M#k^D1yvpb5_j$CN^ znK$jf_A!tGl+MDZ<(wg^RWYQDrRFSEt4`^Z2qbY zdTY<|Ly^>6w00mTnMQY(?*8|Wa-8iDO<#`eoR_{h*n2)LikUPIY7YN;_1md)P`~jc zWPkD(t-KE;a&xEBIO1d4!5_Z$4FVbCVs|oQ6;$%FAD^K_CTMSueE_8v^Mh+R?Q7i(weEb;v}f0X}qNJ(JEjw#?%2AglZ zZBo2@=2@0FaSeS(dyXZ~Uk2gG;06NBNl&@Lk3gQtqRS0%v~hgZLeURHE9X)jApDmsx7jfo+@d_!&8GF2Hvq=M)p|6w*(X*kjT6=?*$PX zLL8m7xDT@JIm(1qfM9WxT_)kZw-+&Qf*}SSx?BBpUdt~>X%(ycP=6>{dkt;Rjezw4 zV?dn0CxH_f4hCE5HU^tQls)56Yl}lVb!8@y$?EM&M-LZ=7)xi+V8C`i@7el44XNL#0aTbrHs;iF&_GV z_m)ht__;za)aVc%TB5X8H{yTab}{u|aP@x>NQr*@0|+t*TW=Ofb3l8BKeGG%kEmwx zX8HY3izPHA^^7s_3swsQMEHs|x{iwpKk;2Y~*ir=IL(RLUq*8HK-CFP?vFYM0KI(TTkm ze&~X0=P^&1Q-4JTQ~Y^oKG%%OZZq)yws;wk3wAH*Sf0pN>>2dO$pdl!8qwV$*42iO z&BHkQEkhd9A#BRYl$}9QdB&P^4*t6-&qH#nu%rG}LJV0zPL>AeZssMbQ%RWmaSeug z18YUFzWi7b%7k;h%2$6Zu3m`E_w(Ig#m(0saIy~M{751b9!Q7%O^krNv&?~T@XVeV z$CP#R_ptAivABKyKqN6O9*K3}_IXt2zj@%{#5HWT(CzuIM;(h0CQ#f7mMRzCU$F`* zn?7bBDo{%*kD1!&TyEvlp~<97b)~Wo2_7QJAr>R`q_`=)nh}4OqnN9JLDSL#`EgL& z3#E)ra)_mfZ^w^pTHmS5#FprD_ew3&1~E((>l*{P z)eMU+mViT6(u_)gMY>VHP;9e@h*YS#3=34@5Nbob1rg?~IZ!U5Uji~{dZy2Lkn4j5 zz74kkBK+EBq;P-r`qPp$M0G#8{!toUnzW%rS)10`;kgenzd9kDD|3$P390Tvn^X^@HjoC z`V04aVdq*0i`4vPJ+~7+xxD{(9fUEGnb*Enm{=hH>>q#mc@M`|-D>r>B9)(}vrpsp z@GP^1_yO$xyx4ELyPTHp+T#)k!g)pl?Py{X&5sLTaY1_)cA}QN7!Kjk(N4-mthL#B z-cwSTIUtEOxP%cDCOz#DGm+4#%qvkl1scF(lsIg{eSYv&hb(7N{2gC8ri0$p`Y$73 z)&4nR40?aqbpdu4*W&JbFRGxf76C|a?|Ood-AL=te+=6=f^EosnV|&&>a$WG2>zzc;|Jm7btbwKEPAXX2?LAFWg*iu<*+z9_=wg8+tfi zYqaTFDYY9pg9idv;86~}ikGKWX?v{K3Rt0Okm`SdFqpJK+nN?rG-H^UNQ1H(An^R9 z9{w%b=kVvG@pmEiU}!!-TGsg`0H8{0F7z+*pP-^ac-Yl{#I9NaKb}w#0vi=)%QXaE zq^{8hoO58-S|wFHbQCce?(x54Olq&^ClWg@1krgU^-R5qxbf9SV;D7aMITFv|PVIjpJP|eG(d)_~lk;-8n1gIF6EkHr^tPF~ zGo5ilmFV6WS^#Q9)*B7L(GS<=Gm7?d4KX=1PKw!i&gnA61w(bGy0D}SE-#gzpnqb_ z?c08%dgh?fnIfDyW+V+SHsTZ2y-(N+41z;x$YR7PyVOtp#^_8O2ATqnhW&H+%+}20<3*OyhoV^K#U9MDywXl zvyUdx67X2K^S01{l7Zu%m}+;UI6e>5&~ufp!1~+Kc(uNnh zmj_NLQyPrD+02VSQX7@VGp{aT*t<*cuQ6KwJN{k${Z(%^QpE$<3k*VDFUvM-=E|7n zAao_Q^ofg>a9Sh9!BwDvJ?8VP_!Vybvq7u73?X4yDFY*mZ_N9FSIOmN~g8jr?oxN&p3CM`k zceYl)I>Gs5_u++IWEQXh&Yzw?G0k0h z8uB^%`zqnEi-zeOg1jRyxueNHGIS68Zy6#|xbIFmJa9I^YSrPrQ0ppt)o^%7;63$} zVUl)fEqc2L388pg`+?HG!~ehJ|9^`}MtEVGb4W7#nd||%!d0jr3$-qw*m`Fq-8Duq zNBJ|0M%90sYO*+FMvp0_ab->(D1(up^nw&*(lRFwVv#zFFOBvg2LvnR#r1fZzgzNm zl#t2uAPOBg@w9`)|AUkjwXuL2IT3B?N#xJx=R>IBX>mE%|?U=9X`&GChw!78F{UNKH&l-l@~bGXMrf_6WKR%&a&6o zihh469JMKzk+!k#B#I?nfKOK|E5CE3XiF}3J#@MD!7^_d z^S63vFq1%!_F#>;dK+^6=g=p1A;t)Hc}SWh*BLb8-9%OhT6!xx07QUR_}c_mfyFlaUPV=aGN0xICy zs6ZnqH}RCZ2!gcz?Ce{&@!uIb8ogt=BGGgvQWXu!G?B5~3?Cgo$M^iBg<@NR6z$Lt zC(^$gY)E@?y)O?9Vv}E`B_EWGxO1)Tg@tRRPLh2}ZS%<&n(5^XTQLt}8y!S}OOza5 z93MWn)PVWGJ~+RKwd-@?IM#m+*GI3;o=R`(I@14L(+XgvBEJE|wnxb8qDU}PEjZs1xsl&P_-k5Z zCP>?PV{Qv24r#F(_}%ksspJ){y5lorgO$Z0S)n-iGp~@rH6(WHeu;msu`GHGAWIo2 z!h*UbK%LKi*#r4;#250LiqF%UDrzBzcZG%5`R}G%l3+1i&j{ESwoz7$L*FZhO{Z}P z?b0nyG3Hqb_>{c#O^MRR&I}r`WeF~WB6s0hMN|V;=58SI0ppPO`!G2q0~%t(OiQ%) zgC5wg&DG4bG#a8~>ac&n_~2VEyA&-EqId|&Vd|PUoDEO-=ijl;x~O@YV}TOKwBrx{ znhZKq74H%N?k?t!ZLT~t4^p4nZeZ;Rq66i|ISCR}Tb`e>E6Po?LrL|_YV{Qm8>S3* zX10EOyDc!FZe#d33(`-Gb8~$+L^M(|Fj@v9w>MjB5D(QrbL4*vs1_Z_y-t%1-!`in zoHpMSs$?c^I)$!g_~XBwq`HL#kG(aAI)@rJX~Lu|f}rwo_()2nbdSfnXyy4rDQ`|< zBlbN^t6OI8X$)!2S7BfaqDmPl;pdU-LK`-3S>R?}gmjk95n&mS!LZqJn8NN&{{qf#Ho<*3} zK`3UrFi$&X?s9Rf;DIwiZ{~Ha2ij@Va+w^e<2|mdy$XLOYOc-hPCcYvkJ1${+X?3Y zM(04beKJvyQdA(v$ekbdEgY~IB27R@kwNVrqd0j2pgY$@MqUJO%%|aR@%+b3|NY`H zNW*&o)QlfX0><)g2 zWUioI`M-bC!Lk^5B~(t$^-w^g8uE$4melZRubufYzCYo=?HtXUWq6{{et?`~;{jQR zo5gHJYaol!x+3ewLeMS?(8GF85$o^gIbpiy#~$T=K%u{ zDVW7^>ru+wnF@!|=qGMDC=#?aeBz|t1OhEYv-*E@l=krCP*Kd>_IbU0_E-?vo&2y z{B@d%odje`H$z4k5Z`d>E?@d{SnP|S_SB^tVoB5r_*b$ zoW=}!K;4~bT}c9!?ie{3CVkO%AmaI6jclFVPj3cu}(4(DU%;ZYEXR_$ZDEU}r|*;D{-T=(7(U3$SmxX#(AHS4;WJur;razT&kFAf!ZQ*6*{X_l$p9!?txQ zQdH=wXS#-_uv;B8hQyK)`GF{Qz(6x_d6C(J97}xLQJY>5ZiV+Lk`0{gyr-^X;fek$;hJ-EPE8j8@az8{DFUS-SK8_H7ft$ zIutizK`*Fy;|*+Cg#u~gSg1Q@)d*;D*-3@=;qtYJoT{b1V&$bTXORF;(>})=mUwvI z1R*2tHKK^uB%|HGF^7Xl?Xsm(p41o1;BGlt<>M6j@Ick_GaF1lMq0{A_6fLN6ta*M zPfSp}9Qzs$1KSc10opMtL z5-HQz-xDX+fgI@mo%>ecyp7_pAAyS5;faw2S4thiNA#wtlg}HDVaGYAZj~_v!dW}A z(l(}?`E(H*GJTfZ*j@i4BRT{8*qvJMEmg-?9JYXE$AC+&mwSIrTVv<87xri0o2|C9 zuRgy|6C7=6BU;jIya4RY2n$;6T0L$C~ zwu|Rd*C^2yd^z57bXb^X;AjG|%6mNZ&h1xL0w!R=ViYntJEeKKM)R@(ACPfWdDK{3 z{(aq=jD~GEaFV;uof?l z$v_K?&$oe@n{~Bk(Qx@t<8eq9o+ezq3r;X<6Xz@s6E^4M?OBC-mm~W6XsAjlUcJPyq%JoC z3acj_)SfBEx1Zz%{=K&b=g1fvuZ6RHva`^vx|Ds!B79nIMo>ydiHYyLE<;EwmtXHy zhQeD+3LAzgfu&|>IEn;Z_X*bJYs;^TF@t|aI={cA2|Vq3qSr)|4o>6Xj8mPo4ffXC zJBxzNFpdvVRMITsWhJVK4454nLgfeUfac<_Os$Zn%bVFS+W8+}OKsQ!Sz5=*f>eEd zZAwR|aE7#n%RYuH?{LVOy`!k>Qz4q29-z}<_SY?31;s#4 z*tgeIL;B}g-EGmA(&A*eNT={J@j32TuTUHAky8-5R)C$%ME5)|=&%_!pT>lI@}q@} z;na4$0NsFl?|EF8kr)yce=}waEvS&UR1CK0Cr;7<(0`SaBvafBggcjyjM_ctW*P zREU9>uj?feSd*7EP7ODy7JfnBn^qPXjpuekjk#708JToQth@JkLz*j*D2TM7K+0|% zFbhK4PRduf07XaK>J!z_;oto88FidSom%=z=~4hW#b(1w^+*Jq(_Ph4stF~ z4o`qLNK~CgsxF~kJ=r0Pw;es`_IRVHA1hdV9mQcF(NAUSx(slV#3R1$s&8t6dhtBb zX8nSR8xMCJn5SdMI!1h$d8nyZKA8d%Vf3-P8tSZg^sJjA!lPCsoh^a zN>wvV({~iHdeiozfegcjDR(OaNwFio{x%7Ex^Y3T!@Pe9oO+~cCTz^T0W{b{7sPDh zVl}AZZ)%e9f3X$n)vTk5uXlN2b%i#uBW~~O*I}#|)>ha}EpdOgFyo|bnf<;#{K)R< zIDmOkK`33@mq?Fz$!f0h`*<<1q*%7#)yT~ZS z0j|fz#YhxBiY+cEGcU;Z-m8tApP&%ivsAxO%oYB! zWc3a>-NU@YS1G`$D#i4j zIkkBF0OEnUSPGI>llfmA2xYJ!-_Z<(tdNrYv2rHbn=ra7J?Y3V(_62sgB@MF=2!Wf zuk&SVrJEsI!*LKlIUBC_ddn@Rxm-z?0;qDze@5c?kp&tCa<=Zs>i5K~PV0|3fet`h zw>0elpdrBezWI5FCJ2DAv^jlVzJ_V+yo9Dn|5yRe9+U3s^!CS<1Lso$VhU-<-QjQK zL#OoIfY*hX6-QzGV>oyi9g&(l2g|{(VxR8_`-bQI>_$>V7rY+GO-G^kZ}gtFXcqV0 zf2$$pSWp*wZ^h6-zbWXelc}b9{F!BF7(Mg*I2S9ic zp$7|C%@4URrG&F$&UYE={W+1yJkjyrk!QYpQWf##=SvGjb?{}A!iJQ*mm&rvSD35T z6xzhBGDK=?rSvF4p3wc)iE(`MQC`LEe^%ABa*!MA_A>m=$MyDKz z>a5&Frs35Z-pmkgUnF`6L#}WvWgID?nix`sYk@vrB03PmjWK~++$1_~0dX&Uf5*Bf z2`fC>Gt)DV!(hya+54OgKwJ@vqk=6*heq zx=0p5ryu>7f5?G076F9%Y;en{X1Mh>=XEukr$(k;b*x1(9339Z zE`fSeri+ohf#s5Ey|LvgO2a{hlK>QI57qLq>LvcfwvB)aGNfPZoD3ewTu% zp~!Q9yZ4b48k41PAipj4f6dUWiPvuiMCo371H9*?M|Ya?mMeNfZ_klup|T%2rdakQ z1wy^-4oPoS8-O?bBBA6qyC){wpRC29A)Ctcg1(x32s6v0a7{NC!gC)e{hkQ@aNmH| z*|byg19-&o&~r-`lchu~ONr(3nxf2}xf$dqguH2sV{>FdMep7ye>YE$-112xf%Nu4 zub{$Bc-Z!O0Ut3xPS{G7_Li8^OUq5DTuvsxu4qW}z|_-MLWHV4mqT;I=Dq5s@jhP% z#PLgiYvWUNiGM4kpbIE(i33Ipn`p4^qGCL6enP>@C#{?f4(rkvK2}LWi(b5H1J(oZ z@pOt%*r%Teyf*Bfe|`3eQx#V)IfLt(#I|Klf25LQ2>@&4f4d6Eh%SLiT%44p=mL@D zbPJc%&5ry$QeH3WsxdQF*=$_2r{(8Jv#duLIy4ev`M3v$z~}=5Z*#HR2ti{_`6vAv z%(&kvk2apSXdy0q_)^e_~8YM)BUa$@JNuhtTT} zaw}CAfXF@7kGM=>4E^pSG8svD8nYK>x<{)C7@@6ySI<*6!;aUS>SkKr>QM7u0J?ng35Y()vA zWJ`tsw34K8)I{)jVO1{iq@ruWb<*Yl+?hW)w^;y)02O*Ic-0}|@p4Q|#=ofca?!G~ z9YvPj-NacbX#UI@^#KXP|00NWZoE$MVE)SHE?nq3e+#GuN2amzEbjvbpP93Q5o>k^ zJL3+fDr=N+!pG~PWh_jRDmxN>RQn0Z*Jf%uRuTYBy2tFr`;D){RGi>Fn@VlTlxP(! z>(8>z8g3|z*MI`7?V^oR;g0!H5#D&=o}oq5yoZ*9{Su^`!2{b#q->TWXhLbeu^hP} z?QCe6f1fMAyB(kdH<*cFskC-Vh&h4M-BYn$(h@9;$mrz2`ZUoc%u^xn3kt zVt({mHP(D_&_0R4{fod2WH(`0VO>f**OEWc)9 ziZ4G{g;F?51O9eLW_5{Ols!+ohH@>&>Nc43e^&P3Yornc5O4PWKqZc3d@ z4Al%fx}m{#$h+@2pvV4TNIGFZkzvzRsb(?Oomp)jMB*!?cz`}DoN)p+L~}3fh{I*y z$k$=pp!NlFd*9Lx(I3%XTuE_ml~^W(zRm-((-()UM;F+s?BQW>gWk{GrYG}&uO6jUh7B5h%h zgFx`OC9Wl!nX7;}>z*4>`FOpGvyx53e=+X|viPrD0emES=fdmQ@wT=X2u2T;8I}tI*^qZVu+O~QKMR6lQF8%bh@`5C^*&;uN zo31p~$h;UpitA#V-Ym~(FZSIk2iNEJstOWnb3+v~0a*1O2v)oRVlplkFT7tjf0r8I zSN+8Zi2zh2swzud^q-#}&x3hepN91}wx zrj`h})WqeX0#u^DzjunZB9%Kxe+Q?4cCu}DJx*-*JmB~lyn9{=KQ8%8v-SdwFYpFH?<{wK}giH%(23@?JA{|z{u2#83vVk>}WG(b&HhtLJX&X z9X*Y^wIV^N#;T!V`Q6W6y%)E*y@Id30NeC^Q8e6;(CGsUv6X`v19Ju6f4+Y)+nRxQ zu0?$-3rMV|fjh$q+GXxc{PI3mM*C0DP zWds(gv3L-o;2l6T`mEZsfA|-pv%-N1QMc)(Ej8~N4QNBLP>t(mRdpp*IcIpcOf+wx z0+WcdK~o&kIBrS{8X~!fPa&!@;mg;Dtv&3?NE0@wF19vK|^{0 zWv1biMQ(Uqf6PO>zPe&8!CbqlwZG30 z-1d+?tXJ!^#O6cA6?F$M@LMp9>D5Fd9PR)7_&xMk!H`ssxqz)aEXc0S5F;**WXF6{*FdXemH+jCP#62dnv9G-NV^T(|Ajh%~>%Z zuoI*(G?KM@Tg7u>KIAVs03ZB6GArWS|HJzm0Szhxf4#ka--rOWnUM<(f=XO>!gf5Y z&{e=8fkD5KddhZ$y7V?YDq~YjQM^M_B^&&t!o};tl?M#>9^g|g@{_Gx-0cf6T-O`n zgogg#cn=~ zM%A=#e}}3!w%6zZ8M@{efa+>jGE_J!*P-)9{a-_p-f(K&jK{W#GDXx}kUXf(%=l8C z5caS&XK9~K_qj+RfA;JEUX+tfF>77Y-96Ozs?2wXq!jlQ=8kC#(C+p`>69o5*=Z30 zDgL`FX!BoQ<}XENRAq=oMX2*+*EeQ?0>E04e=xP|M$c<9)?JLz^2Wh`uMUYVSGwQb zDCU>m>QnFgkfdY%{7fzHjJ44^q}f58g>ya}|9#)%Wr)>TYw0ng8H1EcH?G|8R;rf`u)KJxcX@0d zf9R{k!OGu^+R9iX-i&R?<#Yt>Nl(F(Di7WcQv~3pH!~N7`y8Q#x$J2GA+e$I5R9V^A4+VZ)kjoFPrBpwoiETqx=HlcP& zPtTFu=k_Xz<>r&(H%*)0hRn7r)F{M@e*myV{m-?hrR{dI4k15e9etbY3uar-)WBJzkF^oz1r>!|}bA#jkq8(a^)84<5f|*#_?~}m=6gE&aP3@CLe<%d$tgD(ME8^(Y0(*7gvS=C96;WQXRpfBa6`MU^ zEK+3-3GV3P{8+`$(kBVUn9bs|GlvD(NEsu$G z8HTQvw=F-LSzB>PII%{pc& zt&Dji8=?EX+YM*9qiUE_fsK%kQ5;I3{(rp5=<~8zFZs<8790N{=Pm+*mN8}} z^u&&sOJ7SEki|2`cuXL}f6FsYni(7U{4>fssMhpKk_sw9se1a&BrMf!eHB)ZQXi}i z_in+1=FAMs7>fb&30E<@G$#`7TZ(<4(F4$d=RSxH#Rl)HW4F)DpR0k*P#_` zhZCd5Y&^a2qaEglvmC4-O2UvlUcWGszAVV^%D0LWY7@TAO9q6#TR&BTyAiMB=|M!3_ zu*shFlm%KR3~Ji2a=%zbU91eM3qoBZF)0QEpQ{#O@kPy}3x}k{RM?XnLobEp$><_l zNsTvWK7?zP48OK@1ynrCvQMhEftsYSx#RbDi8qNL9^dCIfBcTNqs!udTypj!R}-a0 zoQHotgNj+yj1v;r@D!tiJ+lN{R9xnnULC$9dteE0#VcFrqnJ>Wgm}I~k{7 zs6oHP_CXSqDPANP9X6em>C*9h@zum-6@(QHCJ^tUM?869To0*^Hc$BLW zcP?PNhuZA-Vtzw+tZl)kk+E}J+2at6lP(H4oqsv?YxPT#mP)kaQwu z61M%S62iL!1{S1n0(wNx6!4bYat9PJuzN;xi76Jhe?7qw$u#~I{R4!0)7eO>Sq~Yp zXtr~Oy?=&3fnZ|LfPR?@r*EIWQkCosXYMZ$JCJ3Wf7JTDjzgF7i^hlNcrm~z2=DP8 zHp|Hvtsiew6hKo>z<*zw-4Y2x;+%j9^?<-G(n%EF93=)=Y_Q0s{Pf7ExIBaYZ314Z zDM04ykNOHWc&Qd#6y5~QqXP-m zD?l3IHb1AyFnyoZ6M7o?n`2zWyYg4~oH@Mzwq|}~3GniC{=xNdY+>CqD3IE4N53jYux-YS-2^j@(_7dvB%TQH^C8O?o)l; z?=4@T|5duqJHZaqpc!!$bOka4cuy4Lcz{_7^S)4lYv9^36e;?2P;o`KJ~>!{^MryN z*?Js`Tm;^F&amsc>m7oyWcMleL~+p@e}h(g@CG;N?%iA8y@Z^I}fJd^lc)n=WoIZq`W+fl2NqKf&>uH+du zQ0OFtMu7nE;}gBceqBE^E9D?E-l~Bjon#Y4ldk;U|9WHr)Q^Hm9Qgpvb6f+BD#006 zqyZ27$-e_Sfq#h|$-KObffSAnf5)bfgT}(+(uOok1@nQZohP*6Foj$jH*^ia|KZ~3 zmaEQV2~M6Ss4&!fnMGN&Y-)0IzLoa9-+13c*lE)6DVvjsJAgFI_Aw>tfl)3Xl z*W=Jq|MI8r3d-s2V#vsxe^IHFFV!h5i3qR7_f{_etvR<`309!CNVc);tsP`aJY^p z@6_~8fPM%+{^+T=)x6hb%#2O5w3&lv7SIv@dTwqHOfQ}PG`FRSe~zw!zP1j`hhMvF z>!Ex9uYNQ5Ll4{cV)6Ybv~rhgBB*)Ga%56xV$CyTqx3>kdI||kNQFyg8hzwZ@n>gBOGma{jQ~j3&_XbHUUj&+?3#Q4mv-lR=>SXDo zIs)b+3NdgcCWcbwe)E5`H?G-3K@@L9-|?H4SM(+RRXXY$e_`yor9Q3F!sOlQ0F}Gz z%%(kCJ%5jHn^Jg$5*%2k5q{W+*|A3)opX$wuruWxn3Nw^XbEK|ZH0r};eAXEOIW@VV%BfnaXOS>0+xm< z9nBH?eS6>d%v+^1wShK^dYSP_&JBFMbv(8N+VDq~{pbYk?fA$5&GHV%^aRg8FVmTj`>)~|H=U&M z0bHiWH}J{EJ_*wtrFWSr3kB(ZXT^sllYt&LyQ1l6ty~c4DC|NIus?bUt7i-~%8R+f zqO0)7kbI55vhQP#m?!~E$PG~PcAr~VA^Sc}f9hZ&lc^LvC`HZbF-3oe{|XKw3vvoQ zGs}+p?BXna2i~EDJ~))D-$Wd$x@25)xUHj>V6whldmeNGmO$Wc)}HCRdp*fQW4ZeB z(;G{&#k7QD30&rn%a^Q_=wXPR<&iuPn_PzZ7Da>I(fNE>bfw(=mP(W`Tj8t4UHcK= zf0~mcDr&iUYi=`Plr}pA7uSGLIHN27BWV87vQVh!Rym^7{c~FKZkQ+BfG@k?-Z{JMHtD%&XLJ(3Ja0AOK$w*czt() z{;>sVwm;s_nY$#3oL(RGs5wqMzW?w5&QWyY-`4P+6^=x^W$L5$7*(#uHWRLyf9Fo+ z>6X60LG?p`ufz%A1+vI0g?dfXN+21m&VUvE9uRZP}iD8E?ihTgNFh_FL z)=w@(@(EMa$u_dl(s5tTsyVD%WpykP?IN;PibY~r&BwHoSM>xCkyq0XNR%&dJa6f| z1D=LA(4gg={Y-8AXw?^AvNUcqf1O)L0bG>D02x5$zsY-qGWjK{B&{;wre|yTQghKg zV?UY8Yf?BUhY`iGct0z?%5h2W06PXi$KEI#{ILl($Si$XSi?j7ice!>Bk_u!q*kJH zSNTkb7$)MbwE_kQB4Bt9MZR|vH%Ly_mJq{8#;NN#NXn2&SFYEo8>Sa8e@BHh+kd4o zIgi6|#!7vhFK|wYtH*QRF-|-Ngu5x7r?V1dwI}10KW9;Jk%@=&)ao~k`g_~vyVVFo z#hi}c%+LRVU4E-{gw$R4j-0+MG*)mO z&%DVFnZEfL$(F;MzOYR$mdW%rljMrfNxna>Dwb0Hi24%ogUjJEqdGW9=^%zw+ygLp z6BEn_D_a;L<~Tv5xoqa&vp^rO{{5T^_s^n|;qxmxkW)Ld`W_|Af7RiAZ-3mu0zH&m zH3PsltOv0VD1ta+&kh$&^|H-NoxTcFTfz_fr+4KR1(r`l@DTA$Jo%?Xd&^k= z{YRW(ZkzxY^fWR;{sb*YSKp99ct+Huc4voVmmxfhV^}_(e??90P3V1mE;@%fzy8>n zZgY}xCOlhVXn9fR-p*1;qlS~m@JCj~N`$=i+AS;L=7C{RpoJs3w4VP7fwUlL^QKIv zEh>}2A#JfWI==STJk1*ZJ~7xolm2mHnQL~~;5i`-M?(PoaW)R$JAA{zI29%9zY1 zSNAS}ss2&|q`FeeFr6fl3vL8e$^2A5Z_ncF60f6mqp7x@^s;@ddFnf9`MnL>`} z4kC|ZlA!&wTC9_=zC!xk8P0nbsaRPGbJ8gcrI(TeK6xvkv4sHTz8qN|&CHDBYl1bQ z82nwhOABcOC&U#;5Fv4H!*Zp8)D*oxvr0SF!lx9~64j1)tc7TD(~ub;{2Aq`a{{=j z1Qp>Qf5)Puys&$ia610s+E-pxD?w?A*(I#6@MM(i0Q?+uV~Z|Yu3mp*Q-N zrnMJGo&%kQg@a%Ku1I8rkQdSeksI6@&Xx7=Qtz9pCXrJe3HXwX6D28s58kfcf*Dis ze?ufEVWf4ZDLYy#Lma<(E+v~rLf90jj<=Wg0K8sJE+ojv6&1*d-}@ByJ(36^Cc zf5a3m3x@p21TFCtUKqoPAu(%4t*((HJl0oINj)iRp>X*%qK>kZZ zTedpj%Cbpjo7=csC_(>(Qu+KZP3NgMztwO3{B@Er_44a%8DvkDX7=`G9RRE;n<7$= ziAUu2N8jLC8<`*X$)>X0XaXwW!7@kme*}y$6A*8?#_7nIIISm019iR=$!pV0nBJLvwLxxoJ1gplY@=|A9VLctN1iR_g|nbsqWZp;_QHNOv)nf6fqS z>zttgK->+d?er97d4nDLpeAS`G3m80{tY##Up2?Yzx@iK;c(o6{aHrhDJys7a*m$B zZ^9DsR~)uM@m7-2k}*K>Sz&;iTa-qS)}K_542Ey5d*N)a_FaVF4OU?s(nI8f4xO0< zE}7n-I!x17=p!-X&A5u)N@kTFfA5U^YDGu=zXewvwrq*hK>ReYOQ`)C&oA%wwpVd3 zZ|@=&M2kYuE2^$zktcv~m7$%?u1q+EIFs~J81F+qnc6?1hD4u~h7=_pz36d;p+`{( z68g|gX1bAYbIELsoW{|Wyn>bzIA>|zIFh+$NbJv4jSoB=fA^V1G8}_Ye;#F2aTRhl z&5$u-Ia^>OAtU-R3ceCftUwH6qtRuty~xhk63EbFMr=ia?(?IObJV3+0@M8UMZ2H9udyKjDk<_ihlwLYi@Cn$@WR$}(9x}hvn8?g6rhhj-!yXtZ z0gT!E>Waf}MnpZRsj9^(+wVg_oo@nLaV!RiQVl@ok>rAt2c=>&_W`N|fPblfpZc(} zqByB+xEfb-)rpcm3(E$wo`ANdq>DZKM$w06&KO1mX46JiU$2}Ln&?tqUa!=mz?+L+ zALM41^CYNyH8=0JbyM^g_YEgUZXJR=d=dv4OAgB+lT@>uO*Al%r_e8n^MwNny);X z4@zJ!Uy~ZK4{HR`+yJV+*n(@_XksmeJ zMIkPO_K;Tp<0sGcHXDDxO?LTFCN!vMz=0oC?zhxgzA0V6NBksVGJiaQbmk0s((`g_ z#_Ryu@+~p$K%mm#OD41+#cE>_AMz_X!~68{jo<`(-U8F^Z>tj`vd`xe294VcBe)Ob zpOAK3*@mvtjr|U1du`n_-2LzkwHs02&N-_0`?7C zEMg?|vfckx1f7MYWq)A5`cFMroDdsKKie-KL$=_vm)RiFFE|O~2Ya6;SAZIlHdY2) zY_pFUnU5|z0Z9*SWBKWbE~jTSm*M8InfuSK7MOH#%OtuCh6X+T422+QQLW%$jfN$> zyG#Ca_(ga%+QmG;j(*v7?+=NQ>u3)ISgMB7ZMX3Qi87p$19VS;+!1 z?gT?N8}Yll;Cxa>)LxjciqZ&%^|NJTn3IX|>IVSgkM=vx4pupz%;@<{^*Zw}WvWCc zwqM-f56B5ebZ~^YpK;t%x@=ANO(cQ>=`_}4D5%)l0%tG+n)!Q3!qO;6nlX}*}uJHH37IZSycsqYHKZqCoJ5% zX<*9y#i=Cv70EM~Gxqn2y4QnZuJrjSiFmZ>sQ zV{4i=eDB!-7MBKB%ECzfRW(7Stv_=@#r~9L^FQA>0b>kyj8w$cN@tV3a7PCS3A;#a zA)1`IEi6+drgUaE^S`~O)NCh@2nc}rE%~^*6jZ{e z@r~MeEx*q~?tLb|X)L}tuAv`Z86L=FOg`~r-r~~j;qR-#lF{9M|1M4{F2~3mTJ^2f0nGSeV@E1P>m}#5C*0?(TRx;XoG{bAzIh;v+{ zKYzd>XO>_MrX5Z%X6-IF?;9zCT(y%WdLkJspyKC7T6^f3J{zv`3z8Iidg>L3X*_DZ zZi~Jyx2~Ws9%znLwV2T2y>@Iu@pP@W2+5V5R z|5OGuqDdCR1~;rn5xHLar6@)> z?HGB)`KKgeIW~+TTsyOp(JkYdYtC25q#VQJGkABi zQTGB>R>LM|KmBe>8_E;IYj1kPi!9Nne`+|k{0ta^lIwR4rHkiH@$!oJ^18rhjDH9z zqx#GYLHM_HZTw_uj2PW({W|UdYoc?U^5gFo`LGf|hrCx;24J`1uo&#=86l+ULhAnK zXQz}e*-Z%<3@j*%^E3r`uJ|NFPdn6@;ouX$bT}{eOZD8@M$W2;rB2r zA;Uft^~sfKDyn&RfpSt$-_%4eh<{5$QA>K*+@aMAMU5sl1R3_z@~BCy+!L7hQwLp% zi|46dSQ=j|jM63`HcV{qa!;!IIY&L_CsC%jTxr@JRF#IqS59Bqt-j2I#_ zkgvpps&K<4r}}%M&g%x@+}yKGN{sQYtEbe@;v_hNS0X_&Wo9NHcgjs@zJFqtFP)O_ z&e@&sQo(rf=XNaYVlWYRFcM~e1K_RMMA^sVdE0Np0-Z}iLZB5Yi_W$^^e{M~IU+CF zm4H27GK^34$#IV@O*~*wJ$&n@jy58JqvDpPySEFmJJR}1IhpT&vxZels!`^yQu80P zn?~aLtlCm*hwvks^GFU}Xn+2&XOrF>a-cmI?(!rsRBw7u<;lI5eAdeXCk*A>qNXPp z+mO#IE3EV>fdfeKcRnD#XA75V9fFIU_5sr;y$wBCeRn7B?p*B#L71G46jjrQwG&Zp z<%wcyA)T!IT>^q&^Zd`goX@9*pua)M|)h77L zT4~>HX_(mL9H~x65B~(P*5l|8%K=lf3(GT9h0?bSEb`OCp%s-);(i@yJdEXrtb)%B zf=2e(P?)kDgk-}49M%0V4>_s;xn#x4&oA1=OUSULNHF$`ou)n*+ng##wuUQEPW186 zy;|b3`5OWu;A!M{Eq{2g>MUlC={~(DrqOk~$oXe2CtjY;*|wk%1>dZtkdeA1U?VzR z#d=+uGb1(W^MnM$eD%?H(SuP0-lH2j#hD?vM7uAP3Gdl3wNmE(D4-L5L^~AX|pX5vDPyYt4N^ebqW>r4H_nWh{UkiQ7)CmOI$nVmS>zG5=7cymD zV;62|u2a7lSu)pxwUk;lrcA3|3UXw!Vdp5za(-Yx{a2&do0JOuAbj;mn)+nbsmviN zTQ6GV{aT07c7FhP(btO}96r(PZu)Y-Jv5?FqKc&cH|(R&{Ezp6GcBd*K?E+oEpzWP zkCVzWtMtGbLS2tRE3>y%5V<0Jl=K|>1Nd})8EJl_HSVkX?%P5JieDKz@92p#tuZ<5 zhqG3Blz(d`aQQB9z+ZsuCL&&_*m2|CxF(onaHpZ{@=9AwwYferXW@OHk#iV(GKIEC znM$alt2Z9Mf0~jkc^_uR*~h?*3@JZF{WbCb3mFsi+u}}U^|Heuic<5+)ip>ONrBO_ zvqzM+r_fI3^Nt29Aag7`2ZJMSmF)>T|*R46Z%|Qp5e$xQqeIDTqK> zke<8z`_n6Bcj%8kpezE4gO>uuZ-Pj$4kdEA`+#r?CTVp=EW5ObAoJGJ`m`SAz3~k{ zp;5g=G}i5?O?>|9|GPx?bO;`5A)hXYCr53(B+MM9SytJ7`w7DCnDwsgoh^kdx4?BM z^nc3mQ~U?lM2-}6?Yl^4Y!8(8J4Z?3V9%M&cZ|Z(p8k9_Cyb!`tz&c(AZ39>##%FI?*bs?Oe_Ke;~DxR7#U=DIi|NPipA zdq~a9jjU7B=Am00fK&=cgeNa=4Qk`Wh@6CP)N%kG2QJVSu1y+~#H16)bGNe+TDxaa zMZNbO^u%^cp(ncpjL|guqhUlcq>Mrn+6mG}J}zpAN&%lW5a*amQU69!4r&cxkxbWj zWnNmv>A0)7qJ2wG1|}h1bT9^b9DlNdY%7m6`H_MEfz_>5(8e~(_#-}>E&VYBs~dds zSYC|80v1kHO5^m8=k!_Iwl`aI+jK7b(DygtiCT>sWVLh$VSn+OhtCHG zfu{pDF$);Y1#{(1xnIHA!6oKM!0LK@c*HkiNih1r3t4Tt?8bd7rkTjUA9tRF?QG!b zwI@)F+!VuAOMdwYh#;ZbeK=bmu&wp=GM&K>?h-}|j2If%)M^nl62|~= zJdv;d<-w`K(chzexUG}1(293jBj{)t{!bB==N!C5$-hYtYo|65IF|2X$Y?l_0bfZ( zWxTKEBbn}2H<4dV&Wiycgfu5hwu~GAzl%C<(U@Ospm1A=>_nZBEk8>K5<^yPyIBl$>>E)90%@d{%vefex>}HWa6thU^i*dK0gS|T#<(RWTKsfQQh!Nmd|IsVV`H= z=2B7QvJ3<>;3NrYlYc)EXd9xTdRmvCb7iM>KM`w$_1Du5YE;)Ax7T5LJ{nBo9!oD@ zWaTGx8Ndiigq#K(<`I@4C6OCFSFCV_!ntjaT+G|}FI1w9tz@)rsAq*wkpVlkXqLvD zvOUFr)ei{(O2}!z|92I!0C=MAhNKn^lHt%3gakaNI0=lj(|;wxg9GD(R%m}$GTOi? z6h@g`rN$~p+-vu;qR%Q@^58SMM$PFGm9Q8)$`8Ana?%xCZgVx7j-N};mXGg?YC2xp zr8Fw>142yauY{DN$FhzNYfMwf zwh&m|D(=q{!agzw(jj3p_2Qc>K8*56^ExaA!7y6p%wmYRNwY1uQ^pZP!YkX>%bf*f zC9-+;E4{o7E|-(~YcWm`&~35NT0peoZ1eIiM05x#OMizcppQM*m6V3)2-)}uK-xF$ z&vYiSl??6FQ@bhFuP>@ZjRO$j{hyoI??SK}U;rKOYs3KU!IAM=Le*mvx(N{g2}owN z=d0B_^fHqqWppnT1yWv5P{r+(4>lI_ar=(klsxCy5NEa~b0?Tlzvf9}>sk3l5{b&X zrv$%J4}X0&lB>)QOnNld? zh%lQB2D9c5rYJ_^3-vvh37d@gDUWApNEigH;IgT|t`FXIdCCsrYaDQxF-t!G-;y-! z+AoS3cR~R&Afs^`tiB6@T&qw^X{+Ua237-sQGZagK+{p+t^%<_m5RNLXLSimEmW+) zT{n!E6cr?m$fM*db`x-pC3@q}&0=Qz{qJpu5)Rys;Eqe8b8{#m7NuCOadqk$2eTSSVkn`~UNUoRlzsyhdr^E?$Fl04BeUh~nJ zvwsXg`=Pox%cgA|hyJ{#VKh8!F_)M^r&3)*Xy4pZ7Qq$lP@>!7dOG z5ZP>OBaI0&*VZ2NN@~)QEbWMIUW%it3xABBZ}sCg+WlUdBAa+TOQ&mbQISq31fkPr zaHs^ebWFgvd0y2Evu0c>BYrKpGZYuycFXPQk4%ui znG3}L2aH1%#2P)6u8e0Rbhxx@-;xOOX@2Gr8g$MDcAkpW6z)SWOrIRIPIT;;m4EeE z08POw7tzff`z!Z&!9<;aHNDO#l0mE#{~ni0Pwr>EM`U}Wzg-NxZT6T|RIJ_}wzQDf zumb)0jy0kP=&xj?b@(Wwl=D{ctwpIEX!gNlq`)Lu$DilLIrSMWVNR$!RjDbrT9Jj! z$e-V7%+|OZ-^%R?m=?uQntuq-M_9Onl2t(pR&LrfBoKRIWDc}LkJM zk868ys&_8zQsu}xy|Cw;@arWVI$>qhP8H2$7X*xX=95|S0T3ppbbP|ERYnNhkXs7)v-f`8hU6l~VpdXAi4&!r4 z_IvWq*zJx8%Dp?Y`15VH+2$-x`?YZC%s{FdVCr#&KsVVvC9 zz&Vr=M4F2X=fojm&54&21Dr7&RQ5rdzqvN`KMv6WI@V|rQ+V6Iz>PepS(vkB+$~F92^GrYO@fkg zAY=Uhp|QB$wCsEBhqP@-W`W9Dlc?j_!ZA!PgzLrFcH^?3ZT8;4*~%dj(mPd1`c0Lw zI=D+?SWNvO(tpLr%M3&I!)N83XD6C1K8D}%S+df)Y`Q#@)g; zeN!aJfaMv`idQN^VXw%uTN=DvPCLiEIZEUx-@9Nv^!xxf!{QH$D9U<%q^6)A_awIy z%C}l=9iu)eFTh>ptbCc*x8Y#RpIr6o)o^pHOcyhb}u5A&Jkm_uRyHGnP{ibaTdaH5QN}Q^! zlYpv0z<=1*+pZ0!@!O5Q_BjPZe^_%OK`m(P10Wnu{@Q!zxxL;QZ#0yIeU$hk^X7~| zVYi@JDM>Qbl}zpZH}uP$Pj^B;ZAJd=*_WK)si{+^L`(SV{kle1|G;4!m7S!-2aa2r z1LX6?Ok&jh!e{(v-S*EJTQu`#UpqNqt}Xk&4S!d*$$Q8Uv@ud82K^)y6CgD6g26(c zPkd=C!76bHqf2v9uXZln4)j=w@;$<(rW^14dZ$4KE z!|W^b`mD*G0*5m%^g~I|NJp<5Mqc%M?i| zet&>)mRb4W$KLZ>0{DWIKP?l9!8h3g2v=v35~YoiuPROvKX_K3H1)l&#Hsea z_=ijg9F_Ag8~#T<$+l(1qcDK(0_AcU0)KOGP3EzVn%+^S-g=99E4y`Ys^3fv&8##A zw_Ps=X|X`L8>Vv2<;0(tdPSO1n=to&-i@;+lLOFG{3e%bLVB^y8b%jurp;sGzfD3y z&3(C1d0my5(hPWTx*Znt(qu+<=(n?#`=68{8eaTXG4ZC8J(``E2FV`>}d*%2>JSd&FWdy=0B!3Xlg<)^qTZis3__ReY(H%-m3*EL0!9(fT8|!9gwXN zIHxUl@)cavhcQ+PC;xyts@R{|$E^H9Rb6I+R#Qjk(lZ+EQh$$7wPt}1^MAHTa!4SU z`-Sp=pE|C{fYqTqwpse0?`{YZ;~;Q8w(7Cj#U&P(9_3sH6iz)~RO8^SR~%MhENce~ z5!>=d8zQMK@V8#)NHUeU7>nZ#!-Jrnb3^ecF(HthiEloKB!dIfZYIc-U$U}e=Fo4D ze|w&5kq~~X{~i@jjvF9@UVliF?~K$$UjBBFq8JOLx27n5_0nCbpYtc`>Je2cU9$a( zih43eCxJZ69NvY^2PbNNm&VBl(lDv|y;I<4$M?GtOXJTWqwK2eyUrEF$3oELnV(vSIe}-?jw%67hR{gF3J^IajnS zdYR#^^(vWg6;W3I@P8(cIL|F?6`D#MTvP7McxtiR%4VL4*Hg0gT{|QDcV1bvj9d=EvrG79<`h>BxOpz?yssUj za=*jnc%SbJxKt# zAyXh(!8S?}_Z>4@WSY`hy)sN%%GlAFhkrsp*Y^+G&Snl)$ig?Ycq{xYi){v%MEA+$ z0%+kYy$WuodwQdOrSaBNX1NG4tWoz)OxUb?&vKL$?}n_GNo^vtT)Ej0oYg zE&0~R(rZWFV*-jr!}r-DV$N5Dy>d+k5FJ7RJ)4l$oBj!pgptVQ+~z zjZ_UyBGZ((gA%N4kY8svxS#r_&$P~p(7PS0Wxv& zSbr?{D{+|ckXvkre529u5VBzrq(IiP?Wxb~qtFj?wq_5_fBRqAXZ;u?a#-tYbXq+Q z_GSn~T+G-SU0_03hbNoDlbw824O<)+O~w>+wu!;7O)Ben(`oG6+6JjU1WfCbv&9fW zO^p`RA5Y<8l;(8Ov(X@)RjhOKp#vT zRnYG5O5WRSHe7;<2>sf44m8Z`C1xLn(uuu%Qh#?R z0ZsC(c*$#?kr8;F3pirFajj*yNjLSMnvC9`8m4SuLGf;n>7#IARO9eoE8X$>khTi( zaAoWak2$`>sNg?bYg!(jwC34-z{8=TH`mW1A?wYvSxZ#o$bbLZY$DX-BQQhtn?yMxFAu?`RZ3Ex}V zm3XjJs)s149Jz?bin*=&{Mkgc$JPCHg3&8*Uie0Wl2>1*Z(~baj)QMqjDMj0ZM{7! zxrk5Fe&Xke8)77G!{ea2Y|`gN{yob@OV_`6cM*!h+i@&m?n0T~Irep6M8cQ`S%mwS zT@?)abDoECt$XhFe+=M^SZ64q`sy21z(V_ljo=oe4FYY@ukELVMEhg@({mojpq zPNq!;yS}C=xcddDjl(oh6@NWKVsCeRWDy(8Xj`hkNE*bEf^ki8U74!Hl{GPfwvU9= z;p`PhXkUh)9fvPnm=!DnY9+uiFXL^KY_;f6t*qiVtv^pErU3wxE-Y}_0<8eR^{Ts5 z7?2j|?&&&iZWi#@=S0rT&(ck}q^l*_N21H%%a{j}Tn-bY1y(O$tbZ&b+Y4f2u^~kM zLO!FzFPK_lpQjSUxt~YofztXPR8;WDh6ZzaphB$cHtsm7gwp>k;_xY>Ch>&NB&>H+ zUrN)fwxRFsBxbV|>_%nQ+l7HK>h2GPmP`Gjbgxv`#)6CP@|p&k(o&{OKWhY>$o-AA zx`p{k#Pn(B4}w~6`+vc<{Wb9xZAmpeEOJ|{en1}6Rf`NTay3{YFyIi87mL<;W!gVG zokV$tLk_nbh`w5Y*BAi+ouDoHQ!N9Ab1dU#N1y{c zTiWC(nWpB?H-EL^PJORvZP%a{HwRuGt_#TUijDZ4HTR%{z*&FQ>&AJ(QWQM#I@M>a zo>y6X{h7{tbBW);Rnf}v(lkJ}5~|<}bG^ZBjkGiUs$j*-(gg^8Y}!sj`4u>A6$Sd3 zN15D?$c?rrLMNR~ZF999kptu^&=Gf6GGRXm$*gfh*ncDiHg-p3K}*FFTp($!-b6@{ zBgTLr5!vl5yG0-PCKf_F=OI&JkpHsDnak>~94kp46w88lu{fZoSv}PI%Ofx={`gIn zHLJC%|6*hL$d|vc)0I_SKUfEA+{5p`;_LsRMy#C@8rX+wBsrH%F14kIoO3UFj4ENV zAJHQLK7Zc4Ra2iYS)XL6drFwlA%+5@x#pUiHxe7x*aHw_qLsC24QO)-n$2?vgP{Vr zsD&``uDX~;*7_80S4I&!#8cej@)J5;-MPpy`qoacw09h;rcZ>OrB8&*%eGX*kAJZy z8t*a>1fBTO9e$nuzt#-*M|pG+6i=0VR7#rQB!8$T53`Y!vmKH#`G_qq=-VA*S z%QRfa>z&1XWUmfY+#~)A&rbE^ts}k(PeR09d@t zz<&+S(a_&RUVnRnOAl^=@$S^-qop`f(TJw)pNUqvwx$Ol8-64p6s_K9d83OS|z~Gv^MLRR8-cH zLUG;gb^4o2_U&>Xy_(Y_@RMxB--!XfNqN7~^R(3$L2VVNK7Bhh%2F{q)~kx{-)4G>YG zW8jc`)}js@iuQ{Rj44V<%!=*UtAAbh>Vma_f0WpMdbqF;Xpt|XH@A;p3NId|W^c8^ zS|QA{_D@g)3LM%>vMiq%s5kwHQ#yrDkd+?QP5_~bc@j{PIAbi3q_mcqN2wRDu-dIn z7y_DYb0@viW}+|X0VNGQhMA-KLwU~wx7qv0aTm~{?V`^}!=wGF`wErGihox4^n4A| z!6TKbu>i|gZ z<9qW80YsLh;_NwLMaO4QBCu6DR5pXsI}=?N%ckvv3|eHz>nw=lEu=~d_soUTM^c_q~~XU<$p ztC*NEAwDy)kqM!Kk=a<_NBJ`UAnHjmTJD&Zt2PeM5l+*fciva~qknE5dqchP;8xUU zWmKm->(`l9+yo_ASartYHXjp?Gj=uWj5;}Wj-YgXn3jZU$gkbD!hZt@s$h5eUl={X zW^TN4+rI;gK)LZ3f)6lbd0Ep#W$l2;ql59Sjl<_>UiV0}&Ypv-u?0=V8&{0Na z+}#C(Bj>Z}h*2BcczOaM6W5rHme6^>uvZ5O zh+V!->YKOHk6n7u7$KOmr%;<;jJ*lMF&r|nE>Y=>@EB77*imYesaX<)f@3xXD2SM2 z^}}u{9;sW~cV!?lLUjp?-h5T1LS>VtS@sEy`PJiupNH;|ApjnMJy}r1x`} z>TX}M89@D8^d+)ISnIgSP5r>7NbUTrA37K6rkYuUp@N{R6YS{>RB&a^xTgI$_*TCW zqEggRbiJ}FQ-AmA#n&mGYEOUSK+$};TXd^VV#>HJeCfJjt|nyk^tID^2Hbd}BLBn; z6-~pe^UNPNo$?s2w4IK<#2p?U#ysxm<6QGTy+?*?79EX=>iEN$(6*I2@{4SdDh-wN ztU}R6Q(VNIu$yZ)+q8z3f4O_C_<6n1p~G7 z^4^2P9Pt?d1t&1mBnst=g-UwdqVdCCw1ghmqY#0G+({PhqVD0VFO}4Z(rR@QtxUN* z(v#gy|H_;4XA7$SCrFMsHPu!Xm`jCUH0>;TUyJ~%@MoMMRCjh0qCj>p5q;bPMQhVhJ>TPA)E^eyfTw3Qgvn?n5{%WGdb8yzGDG?!rz^=ivfej~ur?ZkD4 zW?0b-P6%X#RFe*)9?e*-oc4pcDb$1*x$PGN|JRcNB4Hz9+K%dQHKn)JsD5BZ=A@ui zKIfgdGJ)q(ueQ?SLX_94KglsSVQYd%WUQN)27gAGf8^|gbTW_Kb&hqTTaE7L)_@*n z86pp$UW#%$QLU$cy#2M79GQhB455(=qc4UvL(xz>t|89$N39>kU6{b2m`cx(TQ zA0WPoHQU}eHtkcS{vjsxZN0fYk2+5nj4gs;oA()(hEt%W%^M$Bxg&j6gRXc-p}S!F zl7AZ5thcn(<6*xkVi+RHt01-1(WUgh9#Lut`&{V%VLuy;6E&u=#wt;T^lLezg1g4M zvW0*`yHz_7qK7{eC?jVaOvumCuP^6A^CvFN9_6~w3~gtMCI=!<)J; zdFloo-3ICSjf_Ab2C{XA-x9J6=Hq)Ilz$A!IJina(6%#YnNhDRFiqxPG~B~rY%v%6 zj?8IMO8*wvLo4dnK?Hl=b=X!Us8w?~tUpVzKd1g2jU#0Wq4R5ZpHk8r0|R4s>oeJP zX!tZiFV6jcN#CgLqG9U3s9E$rbt^(i@um~fq-0$jf-YEHKR?mQ-v2HX6ZZB1A*%+hCXv>6ZRQtl*H@5Y9WlCg%luL=E$<%hAN=HUJ-;wtW;)U`t`5*ehvuf z2y|_ikr)yce>Gt+d>Erl-V^FfHn01iE6`a}_DnLEF`oC3EcuMP=04=xy`7#iFQ_}4~-Jda3sG5Y~|_)bUs z97;60+=+}m39XvkopFI*I<6tFwoQ^arz=$GE!Ci-e_k6;kcW+X%#K8Y)WOdpC9Wx? zMWtO9qEBc?e63)$Nu3gvQ8d1iNcX>p5LdZO{*>^wNM-23X$c;>)JS`xTMl_Dv}zc; zYu+#GP#~%>Ch+WPXmf%KntY%K!oZr;8V>{ zn{t<7f72qr*Sh99C;YgdcJ7So|*eD6Y6S+1peeo$Wd^dd1P zTCA#5=<2E#e^*;e)OxM6erdL_oOuWX5V~*z$Id#BK9`M?^|`ax?avuHvUNVd28|wwjK8;WKg6OANe@_ZRF8QB?h1bj+Y~yQ|Fj4#hrUHX9+Gxpb zrj%TgW!enR2?RJ`Qh#bHCT|M&gen4!UHWrsm91bx`)~zm37QWYJ7iM0>@p(jepLVDePj;qDRLWqMVx3gmb2sY@TPcw74ge_W zXn;zJDP&G<2fBycUr2pi3UYJg^^{M7uxVOMZC{!}+Wi)ErX9twp={y8j ze!E0d)je2{lL+cF+tJxgx#p!GEqqCMB#`C^LPU1GrMgZtdM(btlarHYJO1fTA>^U^ zXH!7ZK_4l%UTIHcaj}i3@!k8+j@Q3nm+={F{2#@|-k-eGRXr;0e{69Im}3V#x)9p` zkOB0yf@9x$bvTCz2#^Pl%qf-DV+7d+#as)0Bmq=<+&Q&pMd{%U=rIlPmqK7j!jGs8 ztujrd`x;A-t2@!<`{Ip+95Q6qqdq)!J6l3ou}@oHQC=eJcuaz`0vQk|7>E($jaG(w zi)Vj0bv|MCi}3D$e{LJM`PLg#H()%jYVyPv-NuAWQEh1);gF!6vg<>w7MFa()McSo z;W{#oH2WYoe72TaIw1{NiXRNPfdDl~%_ELvFAu8U>rPLk?WD~HC~kHDi;^)v@qqSZ zzb!^MS5Thi75Oy;Sh@Ie#l+h7!phY)L84FY7fLpq?*4Yce+?ilcGz9+wIH1XhG}`p zvDAc>uM5?LSAXHvDzn1E_4L7+*c2tS!N_zyskxP;jpOP4_VJo$Ws_Y*EvxtF5~O6G zfxHzvIP#&1eSy940FAhVqufNscYA*v;jBf|e`meOgu)JIo&Xpm?F@+zv|b?Pz`#?W0UO-= zM7*{Jkx`uC2~g?3w9oWai#4i;bM^4C9zYE3lf0?Vf9jL+@1eBQWhtPa!^_KW-%CH! zM6eMeX@Z+IQ0Cpw+L8|(Ah!#}%Zhq2gSA`X_z$u@x?yFZf2+;JvmO7EKqNB+R*jJr zivTP#sWO}3*+4a>8hxcHnwu0Yam7>RB!RqKy2(7ynkYvPB?NRui4SIV{bEpC#vX?M z^Ui%{e~>eL$&X#z@$%RGoP4+g(pimvNxn(6F6X}g(1NGCNT$m4A(Y~uO~?7(4o!5a z=Y`T{1BOk7e>s^-E*#G^oFi~+dp}VnmYF!sH31*bZy?maL+Ex$z)3B0&Z|-K7QJnx zxX4@Qf>1!xDD(G+v(vD{DZB^+;D5Qi+onbPe{G-y7xoHpn>p_oM&)?*-4Y;7?1 zU+$*SVp}Mxb?-0x0Z&gc3x4^k%eTyD9{~dpE7*|}@Vg*7hGN8xy9v#z#E4e=wW;-} ze}N^lg3#NN0D*Ji%ra!CE$i`sdEMz7%g}_vJGOXPB!*dirmxUIGi-f1cpu7L`cF?_F{{0oxe~!K*ZGYc>0^h2-y-m-G?#9F==fWX(CTtSH z^wS`A4FRy)K&LmH?8Hgq(XVkpGWu>ea5|Yxk$S`WlTd9*kLO^trL?{E3*RLtY;@7i zdzehe5z`Z=Gg<#d6;(pp^7yw}nL&6m7~+*7KR*oohC9F4AQlyrY2E=;_UEuM#)rq21iZRMC~J|>V53A zmT6)t&T{kL47ZrVXysZ^t*LB;dmLeiFVtyjcU$}x>h;g-Zk%a5xtnK5e^u36Ep_LT zPbj~DaP?qtS)oLU?edfh5(J@_qS)EI9I3}-r+?B)lama~;`+NT@>m2RiAI4|whToM zC0f605qLoaN%p#=7U#m(7#jIHIda2$5ty5`qE8J4v-krJb@gX#3^w zLpH5#M?HaejL-kLr&+@07sc0iyl}=h7;KiaCezw({(ZFX0u%oMsme2K;DWYB#PviL z`>IqG1K#IA2HTnN-pRb-F4JAMwPxOD00Th$zgAN%X6#3|pgU^`e+T6c>Kxto3A^l1 zI;nj_=ouQ2W|1$yQBXnX5jGmh$x*M=n!}w%b=pUNwo|kXpXvA%d|S z9`X@gjm(n1VuYUI_aBMx+cZtP9pFeD6N`ST+*G@O20#G3;;ZBSbFrOx*#1@;U?}-C z8d8+u`Zu_3)*o{FQ`9fM^`J^5smyGfSx(ZMgAG_;J%auSe{+SfV$Jg(Wsd?>W-I!Z zNE~0=*6yz9pg@ddsdw|C%DD~5$;K?H_=@m6-Ynk%=k{QN@C$MYN;UE!MSR^Z&Go$f zs}5iT!nI6O-gC1_`W@%*6gB7eSDZM-@XxMwSkg@aTjJhyFW^9hXYZ?LXYP`5_-JOJ z!73$}(0>Y2e_Wt8^sA71r)PL|g|4#gjh{wNm;S$jC+@$=pO7>g-{IWPrlMH4A%Dm^RH7fFOtV z@S_m9*qfo7nj#1|xz>`Z@5XNM)~-O3!+$j9@? z&_G;52#$)s*Mn$UKmMk(-GBf#Rm(+Hd$jw+0Qu^CO7Ex6Gh_N zUWQ#>LB)a~E&=Y3-MQn)Qdij+heOe3HwG4}rA-XDQSkS&of ze>&IBh%mQ&rX%EE$iF6Pg&Q|tq~?>ZHM>lD|93hul}e0NuM))g#S$R5qhJZdxb?U+ zr{n_|g~~06*4VyHkF2GtsHNvm3GKhz)O{?*9`ujEXTqJCI#v$kJA^^WJ}MZxr|+f2-0zVA{^-BWRc`;_Iqr6DqXX%T?%m2?@X zfnsP-voIL7S!~1l)OKH;fh)-8^3M%^`@A|JHX?~EPbK>XU>L4_;LFL^(J zVDl*>F(Nm9aux=xEE>!}nrs5Hf3wQ_j^U2quHM%E> z5UEmDMla%kD&MIXv4$J4`{0H)6?5PYJ^xeVT$nPZ6ese?d~`$KS+{X82}^aKhLDR`P|>pd!hEkZg_BnUU1q^d$_^l>cVPd?hKhT0g*42!uE)9 zTvXlFzc&7GTy~X@cyKf^72?(P!NF6vQ>D$YP>T! z(@7e1bjPOb|Gltwj;MRNovNr}B;9D@9nN-J7pxN+4k&&d{^e%J)7gaU{YAKNr+j-Y z=?82+d36CDkW{`gwFk1P?c86Wv!TTms@>>}f(Mqv=BarkcQR<-e+5%m?Y^*)I)xUc z+9AVjx37*8Q~fIpneeNv1Ny>u1_Yt+akq(I$wqCPyB_i)aAD;FWeZ+Hz!2@}_~JEL z29t8q(`RZJUNw{>niJ2P5KAK4zlhfW;J|d{!|Dl<*;BEZ$aXjBzC;*YxIgFYoMCDSoFbT=IkW1Yb9qNgTOLauJ}=5` zg{7STu=Cb>r>WqP41eq5L2=R|Jo5eIX-qztN;jmWaiMptu(8Ra`}t+Oh4z!lJOsZU zAl=ZJrdRFviZ~Bg+GiWAt|NSk@bqI&L=O63G#s`P?zG7QCw2~mVsx0OP?FYfttu9v z9~hB|95Bu=foFZUuDHt$i4!^TI7>A;O2d%t`eC&&)?kF#oPR;;Hfj3r%cTqxTN5Qj zvv<3b4UXwjgAPsxis@fz#XfbKQ3Jn^bF<`3CFUb%kC)V^Wx<^;?MlUO`)W;7 zgARUP9t5XIC16S!juwX)+-8xQwwlPlIIwMF*Djd)ecz)E82$C&L# zzpKDu3WcMNnU6lBLh7o>BOGM_llY{T2G#D#nmIdZWx&%2y%mL}S6wFQj6m$WAhQRd zynlW2bHxXyhknAN3>&l!eXE3;^qj>)5?M9&!$aT;oSlpZG?DAobD0TP$2I_eBXP$3 zvK+S;fYb)km|Kr~w@)lMUHg&G%VRG@@*gQzBg>t0?}A)Sby<0up)RJ=%NJ;)P-w^WnJ2d^de*pL-S5R0Nx}8jQJb-d!yu7YL3W|$oE3z z8%X3YLNdb)+DoZ=j4DSZpxxF@M>0A-94ER3(Tc)tS$N4U32vIs|8uTjD`hU9yn|?cannpD#qP_= z%}SU|ihVB4OZe{+(~rX}!FS!01%L4P3{#o1qL@l6X7^<;U?J8nvN~@uNonYHXZuhl zGa}IZ-d!PO`07>5;uk=a2>&*`Nj;8Z@Sg(bK>Te>1W$v_h;~pDS*;=fadx+#_$2~$ zw4+p650$MAaC&=BZm#THvwl)44Y#(UHS0aYK*wsWEW-aGPJh7^o-^5JVt>I%lP#B< z8tnU1ovaFX7DUB5xZ)QLSH4I)lYHTtKy6S8PCH!~5*HD?z-sHq*iMyRWCs3QP|$P6 zMpm80PMwRrK?%6;Q{3{OID8cVI7s%SU9IdPVhg#aKSlA5Eiol2+En!mB$gePE+;mqRdAH1Fcf|*7%7E<5z2=Cvm%<_kz0TE0_z#6Jz8qZd2~O z7*smmV0W^kmYs3#3cAL7{4c+hWS(9edf&3AmcLwMm_LLR&A?R8dw&4sqS&9hWZ8@^Tv9 zTD`V@sR?KnETtQdp@%I6D|q`yg9uJNcB`dER+&@(Yy(jo@4e_e@(g&AsS=Mc`NjEN z7-OrNv?JXc@nNMIKpJxqs-C71R3SCv5y18WAh$;q2UDRFs)D644}xaa^EB)ux`UN})o# z-<&^ZsW(7Tjb4Xr(TBDXl^Jv)id8!zs(fNGvw*J5;fN(OAAcH7=_I3I{2pAmPR0dh zj(%nufq>wXTzoNR&X-EQ>bfb?{+#xiu9M|;w;)v zON4-lZ$`XU+PYE?B(I4$k!nz9Q|WHlnnny@0MnOs6yeHNRUs0^sh@x>&9F%P00;N~ zJ~-x(J(D(VvvU`qg+%EoZJ=FYCNNoG2$jXaQj#iW9Dk6koZ~Y|Dw>}k{IYbZ%ynYg zVHt@Zz~JV;F+=|dnUvWs z4`yEVDSt-oF$M^cp*vBg_MsFuM}^#fOhvbw?W@IZ>z6N^b%g(ux%+>_T1BsFn8J9U zuwVp%6bsH`WLQr0935R7y#6K)oNWM3o19DFBfd%FuBX!upInwp`L$1_g{=D6X+5v? z5n!dNjW$tVlPZPsEaPuTf3KOrQws_?E`E3-MSso@fkM&4>J0-dkFAv}-Mg?A26cqo7KWe<0_SIs~P?02u}HJv^=jp7Bwlya`z$KBs^kQ=U;surlwiVSQWh9SC zvgG?Tf+X{U4k+QSQI}l4f0Br^YhndgvAVks;vK^-+0+wPz(-5BzwsIeMjP6ER;_5; zv`?|uN(Rn;U6Fv>ZjPrYc)GM=O4G(=PVxT*0!Dfj$>vjtCx@pD46V6@+n@ovZ}IF`gdVl`5Yfz{=BM2 zgWFHEA*U(Y2K{YywF)=$F8}j?>Clq}VW5n(do2j}8kw<8J_pIPU~bVNN`L*e|IHLf zzB2EX*<(xwP5DtCo}NgV4>!={(Mon*dUgJSHwu?rf`t$Ei)CZivj>1UwYjo22x5RI zpbuK11q+;Z)X3la-&m&qVSpkqbyDB}$vq$a%5A?ioeDkBi-<()nsl)!MA<7AzgjBn zPqeekN7kZ)$xG3Mc`Wcp*?(5+=kISfIAf>%)B4Cdn&J$0DG&cya4z9eO~>^zO*Fmp zSa*GmDv2FTH=&9;rG764B2>OB##T&gIDp|;~x^K}gQc;s`b&HmB z*DTd;PDfZ%822R}!tGQWdXAtmliKkiX)s%=;Y^@3!H3R-e- zIUQ9OUgu#Kw?{c^{i$}WbECBecwrgqBf=)P#U`qMpLwDEvO|;?V2HW#2SmS}`!s)7 zZd!GN7mWeC9sS#o5##Gbf>n3^@wbd$_9sW9CGY@zZWsWz1%H9fdzPoHQ?~3p=F%kw zgUqDOvT^8&awwW(6tA@=k@$`;B!CgUq2XW8U2y&)+m%&z_AD(uWhM@0JxkHp<`r6a zN$Yv)Z39r9;Ta5ZHBqxSgdhK4J%v1)A<{UH&xs=H+q!rS^r5();=q)4vO{kV#_Tfj94kWh7p~ z>0rXgGtbecT8_1TEbA2|(xSqkD8xztTEs+l+Da68^M67;n9ZD|($X$(_%UL{eEz?3 z9p=N?L_nWgtaq)&4CdZv@QPn(isy`a=Q4JOmyVaH7f+8c0C8~r^?duAc{bQaHT9w? z31dJ>x|UWhOp<8b<0jEPYqWs?h}XOGuRx%2EY#$sfwE1WE>+q;CXNIiIquXUbZ*gk z{;WA*n|}bjAVb{N-y$^n2y~Q2z+bzX&NDO94!F^pfRXZgPV%GY3c_WY$}e**M-~R2 zld~S}4?=|LcZy^y^(}eN2^(^{2q8>gM3lO$g~NA!XJSxRlz~P+;2}p`kwQnv(wTDN zA(-o!n6phl>N+hrUR(#YCuVE<6f2v_Bjx16Du1ra)p|KPJ=4Nr^P58}=9>l>M(X{Y zcln{RP9w%9%6D;qjn@@>?RAtqh#TETyt?#a>W$J3SPj8Va2gGRqZh0no<$a|s8Sr= z;^>1FRZ1!oeNv$|^+fQsAP0|JHy52p;x=x&N0^MG-?UX+VATb?;qru`m4> zn|60)Gyeke^9$s5SmjNs=)C22ft%K1Os`Tg~1vTk|B$XWMBB=a*+Haz<7xEKwgdUhUrKd&bghoYe(*iY@n(2Az8*qHQ^6Vqtbd~v zEe6zG;Sc2cYs1sdXa)dKOXShFdCxjL`KmY3>Mw8E{x5w>@xJ+L*berCgp@Ads-zR! zLGgatUcJCTo1CPeVy2|f(I1*ia3Im*`;uo`5XsZCr?MO!!P*`Y_hA``T$VP!?e?*I zF!o^2KC`XIU;g`jotNr1$^kz2C4U|4dLI*&LEI~n%WT`sPW{3NkzWLA$(Z)DbsiuD z*8MVXJ7DD=5PHkNAx!xK+PvHJ|2XfEkpHkEbWnTBo#L@MS_uBZCB_cs>l{!;MRdNp zy_TK|U@-So#I*hntvpT|RdfdPN-hLc2XT4BOz~CJ@&QBXfis1r(x$;LSv}vXJf?hcSJ4%*4H?nSW%yA$Rb?;1Cme8n1l^_EeiAwu=}m()uUs&Q+mTTPJ~^ z9)EP(SP8m#xtrQrZ&xv zwUE~|LrODEdR8`aEc0k1-eWg85R4g8#)HE6N7;S;DPGrjzLomp`hWiK8g-Gyu!En8 z&)~l1B41oc*b?{3eeKM27TCkhQb@f1%G_Y2fvm?F_#q$*l&zSRVCszOqr=Z8KFs5c zb7`Z6hSOr=!+|J@&VPUDIEr%Td)=1*uTFABXACbrzPF->KHf4g$-DHG0yk*5vI?d@UzW2rU4=-grAk; zr4QJ?ARG}bfe6#50$CkI^ja$4RLRhwG~TMx&&>DK-Sx2tpTJuKR`I=(YW}uC6bwFs zX*qk?Jva&57wZVyS!l{6W4!~TV7OEk*}lJBzY+W02~i&DB7eWIVAJ^G9&`OM6(ww5 zZ=bcv>HVO~EaUxK&Rlb}Gt0j}l86IMBk_h%MR3oD=Rd2*OCYOs8k^M8VQ z@Q{|ffoy98Y(VGw%$->I;jL)-A)DQE4TRAJf!tI8+ zPDUB(9QTw{h)oCz9(BlRW}t}c+WQr+>KRro zxZfrquz#^Yt&Cr%zz2g8cfxU_H%+vY!G}YoROz16*BP0_(`}83e7IZJf*S zR<3(&eoE9MU=9jH@zo`6 z>O82iRq7e3AOTMs;d5OFW~2oyvwdG66fZyK|w&)L0EJ`|2*!4&MtE z%_Z;Ig7{VeA)dWaNw&y^GQ6hYTMW=Du^(^)bB7DJ|Fb5XizG-zO}RA)jAP8!MbtFo zj^O5(?#J91J9|I>`qOYVqw$nxRXiHI`+t*n7+uynP|8CAr0PP|dYxX~RS_S7jGs=- ztThNWSOf_G#B`Bqzoy&zF$@9(`hu6s4*)@4*bN~_em{f*%K%p?Nf@s~RNqX_$zqvQ zkI5v}d!2pI3cXOx(^aKj-JCWkf4z#Giz_4_q*!rlc)l(}@)xUuMp-!LV>&=(q<@Pa z@cK&BdCVV)PX^GoMxrE~@;CjNc7wB&hOZM8@$?)aNDa&Ul~$Xt>h$@tSR(#h&!v_O zBI%Px5jeWNev)FHoux(wk|eHfNG>ABe*xTq_f51HZ8 z_AQC7*ljv0-Lar|WlsI=*JF4tWOYP5F;X@`H=Js1_Rf_Nr?CuYKi zC>Y7F2Nj6&$)XOYzC*qFU4Izj=5McBVsEMgzaTkP#^-Xje)2u}Tri8Y^O6606Kp&^ z868nw&NFwXflPbMwE*VE6Yb0@_p1*9E`N}SXj?1n;q0EB30Z{it{C`{CN*m56LNs* z<|bv4@dzQbH8@bWT(%Vy*?0@XY}BPr;u39HcDheEw`oY8ts|T1wE*IJ%hOr-^NS7Dc)lUb z$E>V&z*H@`YjYf{O8PYj!4xw)ot%H#z;CWi76d*oR$ z=*dT|pJ%+X4gi=?(SIE6#Xe+uJm{sph!vKg$Z;2l$q}%Ao@8FCD8xp^yq0heuFAMO zFRqe~3r4$HGZRC^3%wrCdF~H+Uaq)6;D3H{p1N(}BJ|1r->X4|a)nqq#LGnEPX+S( zQXm5gzTrU4-Z$M;z+B}3`_&gqPbr`iEvMJ`GC?Aksu;(zdgMX7<2h1~&FUJq-wM#^!Mm?7uTkVyJsn9n-Fx2r&KD6qbz=^dm-qi0w#$ z11A;FL1I-e*pYpP(UgF;bXOGVWS)()6F?jsTE2{KR`tA`{7O1iv#Q_#Km!QMd3*g-%N893bR_MJGj zc)G+G!CIp@)?qIM3Q~B`8qrFBoPSzDHq`r%Ol`or(qA&EOOvo~0DVjT z2v>|Z@DrqJm#%T7a$jCw4RsT|?rZ(v-a;2V>0HF5mw&_mgYtcC^u6k{%8{rSRB_wS z4FcXoSGp>IDnHcHj74|I5(?cROCe}IOWEE=PDUHrkf^9*C8+e6hQ9;E$%)$`#x{bu zD}NI`1aZ&pfZm8ig_=trH0Sg~5}Pn>+(gfNZcezdm%9>@vPBZOHxxE6l3435Q@&T6sAlC*Zx1NyqYg#;(rHR z7DC0&_3t~jbLJz4njApOwSnl|;j*jR>H&9lLQBIQoidmO_YJcxBz6XkI}H zr53XP$N_3jGV;5T7;`_7q9P^mo3(|Ahd{1QV%0^5Z8_Ya{5W|PVc@(~b`g{>5S1dA zp^CZk3{2lg+!Ne2a@tfbW*Vl2s@rYl51j+MdWDr`$=%zqSS3!qRF z^I3Lrd98z?XH7A}F!;NTn8XH9tKksZ;sGwjH1&w0OCsN~3Y7oUOa+u)lqW2VC4sf2 zU?wApq}LAk;>mMmLxcY- zyNo4ZHm7!euU6I`Xsqx~;Ba#yoEpp}z*IgA=`z1F{o#Lp@xtY$#8Fn&caXAK#Qs2L zNUSLkMIf?C1+cw0wzi@L2??|JAv=Ye=D$dl^tB!-ypB0(51?(q zF~&wnI<+ZQ@RdHq6B!p4Uo_Yvqx5^t?2vO3Vn)$?y7k%|=M4VJ$%qTNiM8&IEyZihoX4BaIlHo^bSCRZ*|OHirnhl^nL_3Fp^=Tx>WMOCE}-AP$9F z1bSuh)K$Vv5|6^z&yIw|bJ+5LTL|#KV%BgyT;?PPpf0DJr>P$t-Pm zt-sB+3&0O^$~!;ws5Ro<{4cefs3uO@t&9Q}B9Mnh==%Q)iht=!G0;)+A+1pUQ?R-B^kwn+`#E@v zf6egUCZ3P#>xybtagTsKs-uVp#U#BqK6agZrFeT4UNu5MG6DJh|NNWj{oj`Yle=;0 zDg;q!Ec1igvn!T8dWEpX$Nz}J16xQ+UBGgUOHowD+5)VcbVPuhkxx-{eBdGOG= zn#zW!^%gFH++g)bds*B8?`0LAu+DE5s&C|0<$uY~n)|BR&0TcWUqNL+cUQ7nz}-RV zeZ7PWzRNs62phL|4R^n`KV%WnN$_QdWq`P>4^kK`pn`PVX*m(UfE6Fbu|nz0zqH!O zv-#cY7J)T#1=+cozSQjTAbSdSx|a51X;<^ac!++;DN`!MNnJklhoh+(Jn+aj!o!_< zkAJixyJvw)3h9n3N&J*5jxb-M$~D-TP}@tEm&rd%k@Gvg7#8SXzsVyfupk!YMH{q& z5raQOh%9LGM1w^A8_eST*^EPxEw?CFwsOAgp;V+6R+(p|wD4nOvE73@Oqkix*zs%; z0ZXf|D7~Isr9jILVm)ZmMc;l&sd+EJ>V1FOH z3IPGO!TgtovnU(1g1P7XE?w5Z0*^E6^tMTKx} z-&W)eW3gE+tSe~bIGYf>=ic$t(|vr>T0@nDATSZ4xzVv>zqa=+Ve}%o0mwKC}|AWiGV^853_kM)OMQ$l? zG(J=Zk3VHO4wL)nmGMI`0S);!qt%r=oi!<*y6bJ`RpW@3QWxi^N!1!}z<=t$moeYe zE#cvZigq{<~AP!wJ)w0+R>&LOda0ry4m&q3*@+yfY;orrH_| zjBm$t4(U>%cA;ox7ut5-tABwOUB?Of)nWZs?l8p(CSaYC-hc#==8YW0>bmNSXUySV z{u{Q>V^_>Qw4&m%XMa*&(`vPmBVrJsEi<4rmeFiNr{m{UYhLEw!ybM0@VhxU4>9mD zjN^Vw_ltC&h7j~H7su#`OipZ0&W_(Xl^i4EiQAs8i|s~!!p&bC_=MjIF&Q+|$5}y)&loUL$33FXutaw@riGzAo`hzi+QPj# zN+pi}H$X|^HkTuSPnV~lnXm1lX-;;)(Ton{hP}c=pg2^lMxJ~?_T>B$%o8iW_MG7` zq1*Gc`?knDpw`pQ_J5O_U_J_c7o%~RX*a(jY;mPzy0{>^=B3$CSgH0`FR2a8cg-=_ zxsH!y5@cT%jy3u~ z%Nzmfpa(k;%j-dd7A!ct?_@ovv%8>{`&bL68S=rP(W{bK6@L#d_clvao5Kmv<1;pF zs^lIs>n}=CoH*Q3(n0JNg6`eNusz`XuIc}^*@VZCDfXT}a4Q3k3F@D*ywx^d3W_bt z=jFLKCJ)wZG8rr#VMGIG892Oj&n#4_MOlgJAvC*0($iU4^ieJ8GjRZ-l7f7QhdU#PvDSB$%+ zr3~1MWP4SiNTN7zp8%S}?_u<&jf*opa}pHXUh zXe%}Y9O;_6_-#<^t-x!d8u8pjtLDda*yxucA!5S!M1O{OZE#7Xhme6|yKDni8g;+C z*xE)~IoxvZ_x$P}mIlWr8M|rD>Q&2R3CL3ZrBUfDP>B1p;LPR|l$Z=4%~nDnUZIY_ zd4f~FZe9WE@KcSs&|Qz!hgJ7&cq4({(Vt)SgX!=BX~1tseU_-5xXW3)JYTiLjn*oI zfZS^=M}H-lZUOYo&}X>d^Eh2m~q8f=<4hqiHr}@>RZV z@6paJD9S>ARXaXq`(wnU;2)u9@m{sT@Ic9p7Jr%JAOBzCrt3-yeLf1%>!Uo?q!<)J zgK-ZBaZ+zS>`PO2Ht3_lRCj5c{_W2L% zBY#~F87Wo#{Wvn69-jV1^>lRwbYhtfXvnR6{L+Q@lzNX}gqzWu=4*fZL<;||t=ymW z`TL<$#soGbjqU^3>`f=&gcl1(i*%?X1hH(lN*TQ_0Z=`0%kgZ%JONV>ii%ER%o~?_ zgvl#x9!-E2RK=-378Ttr`rk4{gkf=@#eYcc1@DkpXXCo=*$6 zMh*5?Y^j|O8luF7@ZvCQdRN3Q-4Bd&f+kJzv2SS{JKcDW3Mq)U|8aaVcYxg;ix+t! zECX4)3sI^2>TOtMNH^X^d??ImZc8ZMTAV^N<|W2<69Q)n1)aorREbYe6`njc6n_Cg zH>P2@IK&kSHj9l7CiJV9RfoVFj}T^t11f{v$Lf!LW2(}u#t`Vc(i!8dB-I7vF6(U1 zTBw1{#ZFHnxouXMOYZFa9wj@GS9m_)HWPV@ecH>=Pj=RQ2TQa%4klhr=+q~qCfJRW zr;}9|F7;FMcKL}7yd(b@;&1r%XMfOIXZrFb`RL-+tUB@Yo}A>D!%AVsjyUEr$3OjD z4xz>co|f`;?h!N+UWy^B3CMr4;yKU*gAo%KFl&7)61TbI-qxnpdl}_wFDz7l8O<#X z`&|apM!8(?&ogyt@PENa>GXeF!Pu?ANX{cEQQ%tkA9u4bN`Gt4eSwa3j(^nMAPW!m z8NU!UZvFb>CK)(ALg;M;q9bssM|L8U&DjHACG@s>>$nhgkzg&w(dv26XLq=ULp8y@ zPPp+=jT!8BSk-2NFV&V|z`kw3=J>-ot^hq!atEWoANp?F06@+7gMS&wpl!?3AqfI)9)vU=md6gsi&+tSZSwky-09B&P_*aD{>Fmat&5M_X(c z>48hHg>}(J$Z9p2!-77Rkh{XP1eRgg$dW%A_UrwW2dgZc#P{^(_L(q=%wcJkRl|oz zH}5%G2a3&b!!3^K6%%6;wpUl^ixtePHW3}2SLeOWKDKuizuc%F8-K&Ac@MNqQe?qR zxyF}DR>94_OCAHlk*G$yofjlD?sxwuV=N*&}$!8h%3C|Q1WB;SpANC!!as0}?lKBy;1cr)N z5JN;YH#xNzBOiqsK6tBxcpmQ?d{5uldRRwbi7FtMt{W#`i2K9hnwYWfG6Q? zzDy?x2tI-P^V-)X88RMIf$vX)Mw6IdWiWyiM>GLO3b^|X`!f1-KGZEkDHSoN)i+mW z5#pjCVs3S|i$cN%ole+3vtuC_ebDziE1IUWM&;>py-Q&=w`E~2CeQ{ZUgjxFzWYZf z>3x?(NjHhLQh(`i%v0ElG_R*yc#4YWk*oHnjc_{b8rW(W=LUzz z(qR$)9;B3&RK3*Z?W)H7D_qPQ7b>feFuQ9QE6MMB#rha%eX;|kw>O**__ z$x6l6cZ~=(h7@D^c$2`Zz&UIN`cCcIOcvZB6pCs@w~K13m(*%MoiGmoV)8^8=ZK9| z523bNsEF#@izOth*4!8o8-%qokhx>ks@`NoHAPD|?9k7~^iD*I=ys6?3YAps7Q&pL zZhet8|9_)0IL*3AUlmg;?z<)WmN{!@o=EzHsD_Hl@I2u*VO#)}jqh|rcUodmuo3az zw&va)?HU-srKe*KdBR@#c=XZ$ETa+SUu~xx-J2S$!Ak614GcKNApJt6P=PKm+Fz(q z-vtJAZAd3S5O2_CI|oO-!M3zso<9Pd!&n>dlYav&JFQV9mYe%;p+fZf=P&1BL-LA~ zt(kt!+so?96m&IVM&WwBX>Ot)I-BwTm{3n`tR%}Xi7MEO_(s8#FfIe@2-yy}!p98E z_|OtDrWei=W9M*Fm0Fch=?T6a$c|xjG(*Lwy;nxK;t-zkET;4b6$Y-iItQQ+m! zm#x8mgq4qte_g40~d6(LOG?8WQd>qet{TRS|Uw z&|o9t6dOH2p055v_y`ePk^KV-Dk?d3Gmew>WxH%o*djUm))}y#k@ywLu{mHNgU>+m zF3OoG=zFu{uCa_G&e++_{1|aehJVsoZ&iCOai#^;eFk-(T4Xu9MO4;yr@r`kTR532 z{=9vk19DBqb-mR-=|6z3xMg7%C+_hc3ksI14i)15D!mnlE3;UfYSya39<0a9o18a@ zj#UR?^SV%5l_GwUrBLq|5Nq6QL63zIZgWIq01yM0l-{*QavfwiNDljBb$?*@tVPMx zun)`Hy?VY(;eQvJd(9dX<>l_bc=v;yn_LmUs7SO8Ilja?{IREO4_I8$q8#>XdQ~H z+x#Fw01n(QI2-;vb1k&AqeIg)6!AdFsT&LC#sS1D#3pSbN#rxMjg zhGUu;wGFlG{r_r3(PPsbxtbRHp|WRIF!R~KE871|Fbr@RC9@qq(4IjnF1BYQT|~HC zqArJwVTh^rej{6iA7%o7r}^BVs=OxGdi%+0g&AGBu}|kQ!-#&GB2Ay41e%u2wI-=3 zfw8Oz;Ve_(N3Sgfqkq5|N(=??1uTF5Y3I);;4BN)Y zT|tCW!Zqa+#Si~gDe`p7}Kqy^(2xIYPgK;w~HEg>Je@pzMny#53-S-t#b_#E{{Ms_E~ zLYVn&ig?L82JSso#Qi|eWVEdAYAisnKeOHBrSf&^C<1THGIynf{Tf+Uqa6DH9G)1* z*OQE-a|x|@HSxP_3=)zV#*=@<%!*TAfXyeMl5y)3A%C7aS6@Ry99Pj7ExT*k5p35` zGrcBprlU@LfPXem+M~cHJT)ODYegZL_+HnpFp$&1;?}5($Y?9D3Fv<3je$^iD^y6+E<B5xTk|W7ui1)u5 zD9FUmhTP|CnK&~TqpgXMh_7Fa`UEol55oC;E^rU2PJT#AA;JHS)uUcnt#0#|r!0=dVCHM2T4v$9S_ zb(eN(2N1>t-3Jb1U3cb1vf?Z+KOr1{y|Iekyup3s;$V?fu+c`q&U!MDJ%OGu4LC-f zrF#+jzFW6xxke{m0{(LUk=J^cEszUZU4Q8}=FrWELtXoWf0l-O8zcVP55VrZEv?0Z zycZs?D5wV=x<#N;1H2#muMVAkXGaf~nTkANq{=m2(K@J4H4bD%rq4IWQCstrGs`PT#Tyz#fj)XoAz<0zs{)qzVCG0dvNu- zBs~OM;#B_y|NRA~=7&y}I$BZD-^tVgTjS=sTx->-;Jpk|0WFW1&rp~l&Wm*~wp>;P z$RI0zz0)3}gtMEg(6ay;K<2;2Ab)@Q0K|n!@;w|;HAGpYbz{X8!CWwP5nTx;021ff zB)Q<5E}wGaB4*Q~nsF|SpV2W%)I@&lHHK}J`-uO}I9+-ybgH-@OZUd1$I(M&zHfOL zoM&0np-)}k!+@83qi~QP+M}?;SKqq2;EdsObx?^balbP8zcnjV^}NV`)qi{A6*4TI ze+f`&iS=T(nrM#f4c$%a%BrjJh$ zNZ0Iw!bVgk@e0tma;(VVmAza>XSS&f!odN)-9{3_4GIw2TJ&{cDN$r8Oce@}JWRG| zIO?!Qjr)i_&_)cz_AjZmynk2rmrJwo9%Q`3I5YfTd`kvIS)yfiGaa2bNUmSx>Q|}YYeR!?Ar)i>7~M$=61!#ns+f`iJSvTyk(x3+ zPlAR!FO#XRiATsD+j*-+)0KXUs%_OY_ehu3qS-CrOREtzOLCOMh(~Ls7+g155jtq*@g{ekmGnBkyoZW zmszuUWG-G8gB?pCLyDPT97iqU2R!;!g+(|n)@A17T3DcN{Ym(KJ0zhZZ*24)*3IsU zWDm$SG3tNFIt*#R#Q6?*WW{peHjm&&dN>%?M?uScF@Hr3jQgB^6(BgvS8Qt4$rM!m zoPs5-=e~7@m!N~Fr8KdW5E#}5MTs@z<0&C)mg=}bZPsVt=4fL$2%7^+u}LIwcQcC+ z5Ut5s47sXhDW@nxokF5YwEz}=G#zF#Fb4CLA8r%7DgCEe0x7u5q8}Zs$px-XZr*xR zL$L`97JmdS92aqqvzn&TOfu!T9;ZKaN>K@iu2-^gsiIfRE7KM~9 zm|sUfco|XzZgrWzBJ#i$mO}%*s*Z6>jRu-soI}s54UK@P;uf>9A+jYYgvTqTi^o$* z8?l*9yQ(=jG9~j-=>V=tx9~3?Iz{!W$|3aY3V)hm-8CzxMM$dEn-wP(5X~6{@~Kvy z3>(boKtk9pgsCp%PR-+5u>{tJuG@zYFigm=$7-lK_;|9t1aEdfab-r@HY%_0g{I9o z`>j7)dDWjaNUSqrmvtHzH}185hO*1(-4QhgZAAM~ynAsBOnZGAyuYM;Lv{h(kAV6m zrhkn3?Vh*I5ozR+O5LIe{cJ2UB^|C+$7oOC953!0mlDdPTOg~u(&CjG|GJo(b`)(^ z#|*jdQO-Wbw}=#vbbr&~Q2MT}gsc&L4V2`V@lSK4YEwxv!MoBn<*&no9sjh%{!$@B zWV842G<2%$hT=`D#-@0c7-qi4UiuRhGJm;R*9)DS0&F`8<` zu*eAXE}O0POzkB5H^@}9V;+?}J0;%apZ<~Lhu1Kw*_hv2V8%-<{r5>Lddl!dfKdjidf&HU{&L{opfWzU;7IAE zBfTkH?jFG}YHHfcy5@q}8#$7we$|U%=y0}liOLYcts;?Yi!+dzOK(?6qz{{Hnsp`o zPBN9;I@kFxX>wFRs9(9xZ86+8UVn81N((1bnLQ$eS7vX6E*j!HTP|(Rbk|`DBZtpu z&L_eqmxdKo3?p;wiu`S@~P+jM^Tm{Wp zmB3_Y=2x8@Lu_5Vx@u|$#T4fbc29E0{gfMLDX|+Jm&(>_9vpGF?zANqJjyy-f%lY-D-v9^J z9tsftyXogVCo-5E5^9TsWO@Nc*xx&GGFvA$sw*(vK7ug0QC1Lxc|@O17_xr^YeY}A zqf5ZxU++b-w(gmKLI2`^o}%P*_u)kITw5~JL5#i;j@8|;bJV>|%5EJ{h*<@_pzr{3 zVI8#A0CT8HLQ2}6zT%lmZ{dt{BzWY330b@h^Y|tTDAY>lvmPiFBun7sHzEIzWd1%+5+akv4TEG@B25B zc(0)C>G`s65RwybHn{>G05N27=F3GPyLv0K(sWJs-%p-Pcl5_iqe_Xg%|GF+Cz+Q z)+fC-$Ssj!FQrZmooSttOBSa!ibtzxiC!ETYf^vD#H#!XU|Lz@sv!1fwoP=i_H=I( zF1QiL&EB+~aGKMqU=moXX~7Jo1>Vkk6HPz3*GkNy|%oz7O+Ml!_P z?T2a-jkq325%urq4XE_WUT_td+1_DpzwyIIZ*uz09YqW{;4JAdKEhL}~o7l<6WgKeBb@NX5qa^?&B(UmWz z)Xi1jL(dRn9_sGD?ZW1E`5_rYE(+NFTq!WKZ;$sJyLmDDbvLeLHJwu+m@u`ae58$c zAb%&F3r-zl+XLUzydP|_D_w4{0NVY8{cM!eH>izOE(V&3;12$=g^JXT7;@Td>whm9 zFBAZ2xV;nylXRg;M$d9rq^^+y{Z-=P7Y&hCOHL1!{=`XPY1<#+=_+%9} zGOz0iM7UF8_l^v}0INt5fS}NHW`DbJWbEU8y)-R(Iua2O_ODuBONb*+;<4)doF0}l z^%R~2lxH$ALFNz(FPb0hLvdc10#VfjK*2U|Q7G|wK=I<9?Mgc;|5$$t!8))($iAWh z?Kv$mStL5!0{PEp!|^H;%^l7Z&~TDySfSXs;m@3hjCr+NGgI5iQh^})zkfamMSvNI z?tNwZNhr$4C8o!q__evRX3mfPGmHr|lD32%VN3UT9QiXdtLXjiWcg_n(J~`38>ms@tM{G z)+@ulcojOOpo!cK1}H6Txn^I8$W@qNWj^(Ks@30M*Lr*pZUQzHs&Zl23okX904KU4 z8^XtHf%JeMIMZ-JDB%-v4(I=&D+3|#DutW&DZRFktgaUE#dF$mkwZL zNGGK@3uimY(xczpd|H^^nTYK=%FS^qo@c49ip`^17vMQYM5|e6@#o1#STahrpo^{v z--B`q){rS;FMotO21wdGqU7aza(!*0Ev%TF=edFw4&VmuF;LRKrk)EyEk_>2*h% zCYTsql+6_|L`qpSBIKkKjRE-*z>+KhsKyLuCaP%$O@BCbQ;Z~>7<@5n=WwSM!qFO> zqWFQD%uc7uH$t$0YfZ|#F@tC&5F8EqBp_BruX z?0))hxJtR2DUR}ZcDP<^wyVPgqm3Cu*R#j|r+LA>82VbQm6^Q~!-I74(Tq{eQ5`Az z^S2gCzsnD8ZiduzK6($Jvz-U8Tjeu|R`#N~bAP?PjjN>N3G9xvl9h~1&1-?eCR!K5 zP8^7J==UAYBv*EQ1O;q;KmcJCliX>FW1vq0>8LCWafK)Y6b8%=#qQVPVy38~755>a z0Fi;_Yv&@RT@+OKOV$h*FXJ0|#MWRTBcudb<15%5B#fI;lvPtsL@jht_$vKSyA)YB zmVaUL9irv_uQYEkOU@iZjl#S^(i9vY$gT-8XA;!qEQQUqLb!(ur)))L^iLne(0+4f z3@LU`;wmt|f+z8_Rll;dB@9NSDMLle@RYcUq~y2&apw$0_egd1F0O15C(e82+%CQMtH@lEH*3DU1V|a5W-i(lg3w=!wBnjulO(!dak{1HvS^7z`+Ik!* zJ)VnJS5xf?@QsMI*0f#QRi2?W^uUN7WCSTr;W*72JAkADjy zL^glRNsa2HFRL?#DgM5)G-Vd!b<-SYc1r#Bj^pb zRnDg=>3ozO7;$c*#vYj$bkO#sLw{K)c!0n#ff8`b3i9@|aw-Dt&n(b?w+`zudxMOAYjRSmHblTrviN6j37>CAY2r zQCae`aG&t6Mc!oHby^6Y09tD3|B6h+GGN5FhLs%xv=_h();CK`)nXOBFMla6QY}%$ zc%a3*{Z>&H7ST9{R>$Qad3hv<(1gooSiEqs*aZs8EKyAO7TcoSUf*kK*xAI5+zrwV zN>&Iw-Y0$AkS{%L{mr-0=--^Gc|{%LR|=moro-@n08pZd)5W**$n=FRJ}sHOvcd)> z#bJ0IL&l!!loO>_P(Dw+iGK`eurYZ!(IW_Sbn`6d{(6$Gn-KP(Uy#toRXmvp86zIm_*Y#=F)PLrI6QQo=WN^Ib zYDKAv)tR&>aikC&yRxf}L-W_dEx>7jTWdgdy~W4pE^fYJL+eF%d3UDva( z6~soP(;0$skjlyfEq{z+-AyA4Rw5;34_O~OGH5w(Q|=q6zu!gt5(Wk#hWJ|3#WOT* zJN2$TFdcXma}lU3)9X09e>fhnPA(LS5VUv+OJy4Kg!nBBhUXV}kzvDcz$m6;z*vbiFsb(-i`uhnt8>SPd}$oU4$IYk zSS55MeG)ema(|FuCt1X|Gekq1&*~(MyUd~eGQD^m;Eig3u4`#BzUcMM4%9vX^%HVs zTKb0GsavPVYD*$m{n}L)%4vZ-j!I{LbihbrhT(c7_k%#*LMXAN(f6e64*bjC-b_Rl zFRFQ5Iesv`1;fLR*_no9ZeHj~@i>*Y7TAj)R1cVQ8h^lXm6v77lVVJ>g-Sp}qnvMO z&m1S3;mns*IE^!O{0H=SJ#}Wm$#rL-J7M=n%?PH;0{tk{17-=S{%U(@3;^sVZ8#>+;l7}Q5o-6c?19P_GbqOv-``%&Wd?fLjZqX_u^wA;~ahS zk(t%ooqy4|aniF#=M7>wW}zsH+lYC;4i#zEguK|&tG&}J{j&jW_yq#~d~Ks-RA{e; z@nPVUKT^iL3I6(m0s}N;*DC_uDMm{8hnmTIBX=Iv?-#$Ax720CRj;dOA89b0EUkOy zthiPR1pE^IC%_G;z-vzPaDPt*e_^Jc&7yeGX@4Hb#j|khZGIa`D0J$M(~0qzOgr0! z%7KP{dLOPog^^esZlTkTfAR9S6ZPK>k5)PK^PW&GQn&DWffg@33(>L~F!SqKBKBW=Bh z0a)APUOEO+**Y%br(f{v(XhcBjJzQM{%6LaNqD&c;#M+6Nf&AeAIke&4OCd8hpb=M4F>?KWB#VB5T z%KK@mkJQaYe6({=T9DYEjE{o=@&i#3*=AV;sU4vMPusYToU*KIMD z?EJNXb$EQUJKvx?;T5HeF=s~%R~$%Q*Z|F*)cmv2T~A~o#7fPB#BaKYk%7m3v36;zy-AR z;EO;TSzN5ez`iD&&Wm>rN4l>v2*Za1&Y4PNU(@+tqh!~n3#M`sSWRAe36Km!`-dir zWa{6eZkJnBYU_%6OxQ_W@V6$n*F@Ho5wUtV&#%GyYJY|3!)fKXJWIWZ1)Ypo7m;X%!-uf_Eebm@^ z7SO8KgZ)d-Dz<#O1Gb8i4oPQ0{HGn5PPxnoGo3ORzUTve1U;p>FF-nu9{W~Rn?0@g zy2)$OOBw1=DR@)W!ql*%VUDGUY$&;4C`~+Wr*|Gf*K|s%`+uf|*EX0NN9U|Ugzs$t z3w<2?qguev%Vb343kNr8aa1*?9JyLVKl32v9j#0-)k)Zm;WTEI*9o8H6@btF$teaj zO)LX6tGJmVzF)l6L_6zwWp8zQlsGzfr9zR5D`Wi8qPxWA%FhTG2x@w`bXf!&beAS`GF9&FdGcmh%6^u^!nZ0Bw1o`Lp}---qL?W!t!wl zBk=t*4gP2hktW4`(}I*)*5LpN9xl1lZ52ym`Zri6+234{iL#rP`9HGsDE?d>?T~MQ zjnPs5W!h5ypfMM+Oc*SjByxGA)gc#la|QX@IO|^iUJ{xz!6WjDV8V)%fhD z&Wf*$Tv`z`Dn45si?}NV2T#NT@+94K@*wPOui2r-FKiT8 z*Smk^NnH=rn$6|z>>6F^CnA><{313z`0e&6({#bqFN6Fw#UCetK^zkZ&I8F5UbfSS zU4J;NCg;Sj$|2Fgp%0g1ntf8N;VT(_Pou zH4{b2V;9r89g`&TZ!g-#8FZE4giPK?z<+k4Xa}>;Yyi{u_8I3CV5&g;JQACDl6usF z3-hY0xl|c^dA*=*5N*KKRQN_RR?-FnLqqhnn8psf?SWg8iuZ=Eq=$p1=qvBR12f;x)&s#MVE2dXC1-o=QVUzSnRJ6ob$<<5 z3bVM-VYL;Qof#_An}Vt)=!Ht8qHtP6+}qx6YVHEDlpZyGd;zlNPLh|qDOf<@P1Ffg z3@bSAA`FHrAY=X!jBj@WTq(w;L%u~U8y;D3fnTRc?;rEsb~9wln2s@Sm5?6PmsMni zAjKaDX~cvy^4*!N*o1Rrg^Q=72Y)|>d0`OIb+6BrS(h&*!%U;()DThOMJIPw7CaYw zn%=;LRgA&{0`AG5{F=M^Onm}E$&aunD9blwoC7{Q9%73P15wZIn zO~gar7%hmVghsr$6EfOa*W)pb?5zvj-?RT4KIpnWwfOe5u!z5u(@CT3$SEJcP(y^0!}j4(=Kz~e`m-9$OB)WMNoMt2mOi?Wy{JLq=4TV47}vS zC`dQ^$Q!!$ip(&R zOwlB^MuzP;2^VZA_au1%O>0RO=1P@7MffE3Y4#|`0{V4f4J7CAY9?rPH$Z7yJZ8Y2 zoIW_~BoYBDDoZ#Y_e+NKl+Mzc*3?qUu{X0p4uv8lr)YhMel>J8 z(A6P)vY+**z&1Bex_=bIBqSW1!FT(v8@)T4av3H|5IpMz^UZH#hOxp6l{sSEH+i5;7M6&`@c<<#dZ=aOE0Ll3r-b7Inu8u!C!Q5B52Pb`~~v%h!xT~ zADWE_=YaIR+QNmdO50c(%!(#vM8J@e%tN~06HjE;nG2P4IDeIq#@pd^13d9C-g@ur zoKwQPSf+5?#LNk0D~kUq0aRv0su zl=KL707YjeOMf2cTjK0>)`6z!5Ml?#gOwG}|1@Q+{?h(bpu`iPTldF4VX2`6#EfyR zBg2pRFg6Lo$$twx;k*^H;f}AjX?d?FNVtq>ezX_TY5sTmjif6odx6F6Ey`rOsHl>P zow3j}RcO#NjC?QAA@ECd)fq`%gP-*qKPF+zh{m#dJ; zCgU0c^Ezem4Nr--+E~x4#KFOQnh!~tfvd*^-4KQ6gnu)2Zf@H*p{7FoyP~J~4oK)1 zgKurq!b}OKsHvXxV-_8NPrA0cISDE>O^taWu4H)|PK^qT94g7!YUMV(!-|DJ zFxjFzc7Ls;x4!Aksnd|I*WDEh2#Fl{-MdkCnw~`zW+XS4R38{Ym{e)c6VW36y9exh zEzAZ39*{RRgY5K{urwfzH{jcYAVVucnbz>1gX7XY`CGqR+!3K8eYr6H7Nm%|RGlc& z|K+VxFNK3Y!xFkdMA5#w-$Qw@J7T1n(SKz>{C|PnV`PNCc{;W3_G?*@lcJy_G+K5Z z$<~-tMQYaWdv09B3s+1^#$7ow6}Fax_fo){0xP-ihd*zGjxFxZP(?i;A-br9OOTRj zQ}dA-GveR^dlkKEvWAWH)3QTkN0^Gn}A8;AO&hjN?g}{Uu-`tS*4qIG$Yq zfJ~pB(1m0}yu*bHYsGwxHJXsr#V+$tSjE0cwuma8REXjAhHWgN_>>SNd_3$cG7QfX zFBjHW-%NcuT}cqVJ%?W)f24}taM4_(Wq+gi)g4-og64)I)AIrYz@&fGMawC4m{f%P zNy9)(YV=^Y!UNoEk5(&%PZSdAxVYMzi$STPolV6OIOZ>_hDmJ9)^ohPQv~JBZU4h3 zF&AF>Y7t-@HIX{!F#UiS3A12pY^JMU!#B8eRQ^c&OI`m@b%gtQkOdGT-RVo1$$xol zKeJ1g6?8QN)ql&SKbzO2=*ZvTeKvE1{>>E$bBAq_ak-rXrC`}25r7K?emY_dHh{!- zqY+5PoZ@19&#^B(hngs+oR%Iaq^2n6oX9I_Mf%>+)L5~Q>(yZu38xST!8C~|rC}GH zH)rPb!2^GYm*4A|O(*DaaH@eH^naV$OZrOL`#KNY)4byD9TStoXYB!SNy4mP343%u zQK5QYsi<$R6_MkEO;|hw!fIglA7VwV5utn$Zi#AsyNuj!pbNE?xDKO# zB|hzhJL%H`)ByKSg{K4h2@{tmO@ zCb1(MPzo7_yFg7-!;X^}qtfP>WRq7&jgc&vz#$3yoB29S;ZThE+LiXv{;G8CvJ1-w zGr?TLsb411$PA$n(ti)#cp|fd#wJNV2bFON9quFgJ&>%;Dh4xWr@@9*-(6}FbSRn6 z{w@X*hTDCwM7$mOwf4FpDez{lxxVY+Ln)jy(Vm9cUTtlCTcfh)0?7MEP(}$+pGF7` zTewbAcR}(}=-^kq+hY z&5jZKcL?}aA1?wAdhU>YKE+~d)$41-z^_)Tc53YB$ zM>|L>a4o-zHy`0Z*h+);cKlg$|BvsWoqw0s&;?BSf<7oB(UeLp*sOBDn0Zxnt9NX3%ukIx>AC1xiT!vX@Ej?3 zTM?yfIsXRayN|Peu$Py%1F1Z-oEzDfVbupggImnq4mbuRIN39JWoIu`7>aDthV8Tn z(nlPc=4HXT_GP)y6Wn}mpRt`X4HGv(oP7+hKru}_et(48K819a{DuWLT^-TaU@wdB z;%z58q+%U&iTdx38Wc~jv08=ak~G*$geAud7AF17k`q{uq;&(d z+8(!13%U%z?b=v=N^t;&vDW2)pwQ0`^;G>P4sAXlCfJu`{P~FCH-7wrYgWR&%f(oSo2lKTmtNyF#sDznz zK9SQ8?{PO54mxOP=c)ZAH)c3a-I9 zQ-7??1(^IqQ*~1yg$WteDwQQ)AAQxNfmp_6&oi&vFGP!pLJx{L7W6ORcxH<>A#F4f zFyv&Wmc<1%Amo!Jv_z$6lg`n+kh;GIwjo%fTLKIVNMXKGB)<7dN@m=Ey+IL3M)Zo~m&@PAb`^e*xB2?PA%V|rcrsl~k~nBsgre}b10*p~r1CVv%j zd+b4w4VUbf8J4jJK>Yb`MOZf3OQas=V*OFYy*I?kjn_x|c&AC%iHYef`g2$i2R4wx zuLJb#&+GgNGb&=JUx@Qqnzce1zDD-0ZY7xJ--KbJ;H znw;XD6Q6|3!ii%1J&C65SVR}gK7T*|;^7h6PnkF8GDkm|H60)25X}2@G9Ma8-%e~v#h_NvHc3NJJI$jD#(#mv0UFRn zwI4qrX@6Ca914-FyJ6wz9s!eWn870%doyVguhl|Vlv8wTYgpgpVz-wejSU2LqL0_ej|k6{fqTwP)f2{U zI(nziCmonNY?97B$X?&NsDA}R^UL54?vtRiL@`e_Rzng^KmPs3!T`y7ik~0{{ZtEs zj&9{SHZ!HoMPlf6f%UW4l3sO~x=k&J+-mAo1iiv@!~fV+!I|ybp{ptJL&L_Df`)`| zzcZbN8x_Fz&`i0nbz@JRr{ZLt;KPSl?TL`NG=lfvbJ*8(D{A1Edw;}XQ*~sSi%lao ztw+ZjD1il^lS&YBE9eM6_Q7;8&W+m*qNy%XuXaZtY}#~Z>tP*CHq!fFV89Q7)JFrp zk4Q?_*I3TMH11Y(e(3;Dj05b#W|B2Q8m{Ym#n*#dpkpe*NMr>ekd*<|?x2myz^ewl z8U=;5H`(cI&w%5B%zuXI22xO7>DuTJ`y8GZ>RViAR*yCb+rw^?Bd3k1mD+aKKxBKW z(-Hz*>}sXh;fNfPXMF0nA12)u%pQ=r>bfA9?8-L_c%Lp8<$srj^wJqE3J@(D=fgX8 z#}y4dO7LvvL8^?0fnAVl3MyYZ!8I01k;iARhxcs}_D)X9Y;&bul(0vZ@e}|)@u?6C z?hmtbExLK5&C^s|=xyJFA~Kl8fPgEQv(&6j-I zflo+%v9U_D3x%kCQ0vF*B*T#QnDzd))c6cEXxB;*fpEtLCV@rJCe`&!CA~Uv`OY2E zW?t>n!h4U!qdUzOkc}yeSK9DYKY01`vNWPLyqZl2LVp-pDgN|7H$a0LI$`NF2TajV zN8lpSCuU9v1)e$xO~t^u8#F?ZD$vYh7J z86vE;4u9y-Q&|Fq!hkB2{^(7fxVFj`=Yj}FC!V1Kt6+hORItQsnUp)wfeAP;qMVUj0o z%xpwcsGbt3*)El`5=A{}_&Dk>(^2lBKmp&sttfTrfO{2-o{yqfCQFqLD|5L?W3TcX zklX^1iAo=x_Os_KhlM#_`KWLQqEM6)B2e;%U7cokbv7=4^`$$#AIFDa(3P<1-Zj#4 zn}1My(SiriVHr~!uhH%P&1x^Nn?V#K;11H7U-#jHw4RQp>pt=3!sFBXM-BHDAwgPH zlI~5KoNzmv6*od;R*`Vvy2l79)pdwuXncZn0aIy?VelM^vCdEhEkczCFj6IClGA~d z4O8u--UI|gV9`^~d(i2D0RSjVfaxVxM|{?_H$aVe&Is(~z`UaW;WaL}3wAb-5# zUOjKGGT`7Zqjmp-|EyQI7F9eG&Kb7$U42L&f!?HUB~_8+YBK%Swl3ovBmpD6RWzGxgrM>?ZR3;={VV2->>bC(99hc0mHuNI6sk@ULYmGSJ1Hb)OGv+MnH}a%x(0^u*ErN749A})nngbu`(uz|PrFKntaBu?21HW5EuSf?w&gwp(RDR`F>h^C_09 zGAX~2G&5#N3~y#zf#@+K@P8T_>wPo|)pUd= ztz66621e>`Tk-Y@J=IFzll z&%Y-(4~VbAy49fkD_CoAL~Krugz#Ftpe2<$E@kCxo_U?}?AXX~LZJyF`nmg(zhd{V zeUOWDN0i})i0TR*8h@{%GBVX z|3>$@3-`QQLt%fDeRi=Grbr4y&nM8^jZ>fsW`moWtynYkCy34v7;!L?^H&%c!LT&} zq5jNctryLtiFtMnICafJTrKU<%CyT2l_!aqN>f#-Dk#{Q$$yoyrm{*pVe_-GOYc#! z&)OGuwfh}0d68{nj|}RXTm*VN5#+}XJ963{SjRgvW_Y-4wQfYsQ)qoEr=xwWGn3r$ zh{^tD%62@2)dvNoDUUaPw|+Rs3$5F#Um^1U6IXVRx}-y z)UjY~@ah<%n}4Zn9hI6qlvbfSBwLXnb9U0xTx4x+aL)%18mjgH^;Anlv#n3Zv^=Xm zR3CG{;TR<)lTw<=9HBr=VJ_birCnogsbXDh?E_DYT%xZ3uZ*Z)Ir9*N{+ZWUlgs2Y z#F2;|c|iyYM7%Iy7nk?-96@LZ0p94|TYT)a(V2m4Y=H?L7UG|ehgXJz(`X4PX>{;pDQ_JLb zXFe17RDY**Qx#32CXde@ti7&EF2!1@zDucIns=l#Z`HY%)yKSKliiMF|7#R8tiCgz zG5&#lWLz8TjSE~Q9~#Jr^sq5vabFesl}>>9NWu%qM@}v}g`6rgok#q6x^ykVg`2Lk z!b4Mo!*uVnEIh+_wckF&r`1 zcuC7Lh2^vh0DmwhWrdFwDHmKcVK!2BBg#d3B7}p=e_b&t|1{Qu3jQu?f{{h}NeU@m zNUJcvP1jl+i_#U_ktuSKef(d05gy^zd44>Ah&cFktrE`1{oUIC!+@6c{jy0I9q!U$ z?tlM9DVKhHn{Wo-laKEx9$u<;!+4Yv3h1ZEgF}SkHzkRQaCS;daWqJ3CPcp~TE8|u zu*H)^4?RZLj`*CejvgzOaFdLe?P`@5u669Bi+h(?OGB3{Vq5!Tqia|dlQUnIWHL&u zlUUoqZ_+C|V}WBN^Vh7JFHQY{dXtEOJ%7=Oh=H})<~kEF=WaLG6AegvisCtKzl}U9%QIuO=i~L^QmUo86A3u z0**YKhoSFsY~MV9KvP2s(|zR2k)nk$jbtitZ1YU zJv=ZZVtT6FWvXR|NIXu+*eUECJDZS9wuLa# zOFLl;Gtr(QK?Z{a*T=N}{xE@)1N2iQkJ6=iVhyz@Hv8m?@rynBw&$XmP`y~2zFRNs zy3!J*`3Bmy8@9Jm;a9Q%Z!+u6uGVfU|{lPHYNR zegrZbRH2K;hg}}&fjc`B{FrKKoyfFMB684?IV4R}d$2kh9e>7b#Xgt+ttwC}4J_n- zkh>dCw2z2DMY(+b9&-PM@xzKB@NQhG>LN9bS0jSSJxLMalGPWt0b#^y2r4=xPHj+Y z7s1s5b?^!XJ#!}Udbh^jc`NgaEx*jbf!foC{5eUvIf7)|7;{&#TA=a=7RhJ``y|BN z`3Ku=+A3p&KYu}hI8EVm(U%h%m0h)P6Mz{UV-3@DfF4vq$g!d{AO6A)h(KCAFR1vu z+2Vzi@&rV5>LX=lROkc#Ae+;|>Q)Rk>dZ+3hBlYZ>q`9OpxyAX5t}~#(1xE*Pxr4c zJl(XGI|h{gVuLavrKo-!YiMU7w#;3wR=#$H_kjt+KRz}=6m}pr zFPMElfxseKU@-s$pG*xQNaDj2S|*O)GnKicxCjPfwu-2sS72IFr8Xmuin{8wy2ib- z+$2M#|9>-yoLLgK|$5U3}3HrvX0L=F`XCI$h8G}4ZjdaGn{g& z6F0yR7id(lCg_e;X_6W5%3-DPK@%8mt1u;!!=k}ALH);}@K-#bkuvpDG%V|0W?bf7 z;+hsJX#TcRMI%^I!YhlVBFJFt4@rf;gnqDn?SHQD5~2sK&=Gyf;)b#{Ba68xutUUP zV7mf~I6ADVe&e=2m-gCLj;@N1?nF8S`2aEuvPf0cRy^HpCP%dkAlR2blt3upOsc9l z+oi#f*J3nmLkY`UC{p8J7J-mf5jWA-x58Pl58C^)donFG+s+E49xP0;aBg^;JyAVM ze1BI+A3)sk4GRxn0>_R8R!dS{Bm|fSwInjZ<9-_#fmVf7!a@|p_!nou#{Brp~jKb%j)!5RE zD<{rHq5|B=PW@CQkiGUK#{sIv+57vH4u3od{2fV6+;>sDtfMq}sXsRrTg1nqy0NXi zDHx6vbOlCbCH&}UAb5}I>asywuQ1n&7guphT=8)}^UMC*uVps!YLs#tBQwoCb6$P_ zibT-*!O%iyQrT@WP_d9%jMAMW3Sm&cJ_^io?>vdf-rqa3dBKN}*-Ez|EMe#$;(z4h z-a*D-$k+QemR|~~9$`DqzBo-Y)1hAnY7ksCcg_lf3<%6({6_a{9pr1}x%Q2mm-IWLJS`^jEdA=_G|^{ z9R5p-KDD9zU2L)(h9X2s@b6EPfqztN;x=?9oFWZJ!b%-lb8NS1C)#Yr#GS)*KZ}q* zRKZ3uBCI$jUEBa5K;OSTB9jw&LXLX)?R5z*XT+zwNbgK?>;muE*}~jy8yoTRBDv&p zGQx-Zt%r#su>|8l)QTVNV0kE$f~#(((F4?jfDIA}{? zMH0wtAfVjN$R-#o2J$blI`3nx=muM>+)*MdAC_eK%VHP)@$+xO6wgB==D{tO%%_W~ zw1lUnP`I;2yQdB83YmIOP+}o7g&xJq>FvXBPxyJn>65nx&qp#5>CTD6lNc!2tQs*= z4fGG^N+t7b5C!6FM1L__6~L$LJ_k3^rPcestSJfd5Jxq_1cl^Bju}J_=b@IdDO$4PxapP7gMyf@-0ZP5NkI*gBpfw69`DR+ z#k~}&)POXv{Wy}$6YN}ocBLt|+FgL;yBxM2!K_SxXUWn~#($XD%7rV6?_|b^%-(BI z4~==yBI`5Zbx3`q1bNwZ)Fl6^CI%Juj!~`TIwP-OU}*lxnGzAU&^y~$Pm#sHo0w}v zxtV99p)*x?Z*k41b>kO%lW-rfyZ-bd{F)n3fgjSps$$(q?BnfWX5^r}sIMjie%I;@ z``w`*8Ara>I)6tG`~|jV_k4qio+^boWBOkwPLkiJYoZreMLn(^%On8 z0F03yr>sS=Rnefp0y53w-%|9^NiU1}Aeo4=BySTSerS6Yv> z`KGekckoD^?PA7Aw720M-ad(ow`8fx9L*NDi}Or1dQo({?MkWbxEYLZZkuEQVBl%? zYF{r<2jCrq`q|nPArQw;q-QCRAUcm1O_DWUc^r`9}AGN9&FT_0)zK5`dv@+GO z+8KzU*{C;4x3-e0ZjB~5o{Z-m#GwWBx#2j<2Y=4l`IH-HKixIo=E*iJubGU|;k_}E zek_^iKtm_($l6dImna$~Oc&TpX4)bmb41F9mAuEONiDTv{I~)|g@aN*W)h9A*|kRw zNezL4j6idSS2XX<0-|p(S;3kYC-IKHKVpY~ouS;Sjf<X{!oi%WoQ3l z|9@!*3~3a;LWD*83SN||OkO|O#jSAacnANnYKE9h=wX%gxjry=G5C~$*kWYE@pmRZ z-;xRJTL*r=B74dYk*1P0#54I9mw!JhH{%3RY5+I79^51Pg07C(1QNLnDq51c z_2F69GA<^yevr#!wR|4J?nuCB`sjia#HNW+H5j+jA)TW{!4KC)r2Oo|q0m_J5tmeI zw10)` zw#|VP<8WUR^fKAWo87?{X2NyO;a%D22kQuBdR_%#dwde=#IdJ zPVp`HBb#ZzA8)=Y`WrZs^HB##P{cHGmz`)ZAung{F=^;^n#ET$EC5YFvcC(BvI|pO z#1>Erh+09bTW(9KSA>S*Eci4Pi*J?PahKk;p~<)=f?@xd@?tFY2TUy3Dzn?R{D6Ns zzk@T;^LEkOKXUB=s9dB2F@S16FsOzs3kWIhj)k^u5~lD*H_59rQ|Q7@s(d%AX3EtZMjo*&4;snEzybuG07=q#-jLn8tLyM2Q{ zNjXk0RZE42r6rzk-6l$EEADize*)g>j;#lcSJHDJdn$Oh2-+P=2e!w-X7hioY3(c% z^LX@qsB3So1GK_Sq%)ebrd9(2BPZPe1PTGmgHYz`9HZlZ$o>G@5PEfojJ6Otha|;R zNGy+;r6;*{VS5d0V{|(usjvHJZn|t01(cJaAr`z@vMxPA54R6BX$x9?T~w6eus`JW zdY{*brl3s|>vYcNmeUV7Y>j_WNv~A#xw!*LsZH&6so@p`iLbA~Fb`|KxSU14@uZ?h zoj}Y4e77rW(-x!^J<@T!Z6sZUNsXl8PMXz(rt>jTLGybnD{(3T*^;jCx*CO)Jg2G3 zL&tsrajS_x1cN5)nm3dZ=#MOVMBKfu|6t6IEK%G|GnW4FHmdryRI*qB%?JL^h$Jqp@9~O9oZ6diN#w1Qi zW&J{lfK}WaXQN*xsVRSO3s`d3(x<^_5YY$`+q@~^$$NQg%Kz8gwVWfyWgzRwH>@P^@;cmWEWUDd_oQ$7$>H!6$ zYK8#T>s6CBj^{yWuzo?!$DS{R(Ji8|9U~%91B)Hlj@)>Ew2*)I)5)+!DTGHLxOdSa zWw2yXu+*o>9=A3YA&t!bS%&WuaqzY1e&BcI`do-Rai)?%c{?G1?1HRFu<5X#CmgH= zJt;vETB@eH*4MXzh3}?vim)2sqgyzyV&X1>3FQEA38<@sh}HNXf!Rn zVkS|E#rX|_lC(RdvuNtWtA5;hgtj@0?__V~oq%E+TU~#UUzo8;5gxPA*Dm=eY18N- ze70)cSDmd521_OQWZp8{wNiv4%5!3WH)Fh zv@l{KUfxWvpgwZ?KDr-&0!Z?NHZXK@@?~MGpBCzxmd)G=g>`)R3JZ!iQq*Q2TSUce z3CweX&zOHb7;?yYCiwApW3y}KH6!dEG2^)%;IB9w9ALONv!O|Nu3#Unbzg+{KQt6 z8DSI|(f8Oc=;sHRaZ4$FuVLV%VpReLZ>kcRK#G5WrFd)FJbb@#w{Zo^^KV~A{Je** zsn<2RucL6n0qk`j18<$xnv1Gx6Q5I5?UQE|oU5rXD!=_E+o_oKoBf0Ou!m&W05Ho= zZtbqmVaQ8(vZseU(V1CuIo}>7X2Etr%@(SJ6-x--nr;Ze80OTK<~R}AZT5TS5`JRr zag%>6SyT$@zwvyzMO}Xo$>koYw!=mYSk&GZYV@| z@B_Q`Ru_Gs)s3QhgDezNMjS<;iw{qj;_ev8&>XqT7Kn>xR&n_BdA3U2CRAWVNkQjPZPsEEq>&B|D!Bw*7McCG4rJDHN7 ziUKKPt_C$8=~&YU)#aRVxuE?l>K5A8gP z{;}Y&nKocCTlJ2)3;}fU4Qw34D%%r`0+ycn{NQO?^8;E6H1OzEc+P1VeUDBR^QM2u zwY+?k7H-UyG&fEdy^vF~Ny6?-h-!4gvUu~)HmB{dgevA4%N9}60<>S95a$MqbsAA7 zjH1wL38Xw$!5%In2wwd+eLYZsUb><`HIX|*IuNh3fr!b51Kb?gK`nrRz+><5WuzRP zam_vz_w!6lc(zrRVhu)(I}8|66Y+n9Z2c5*?^rB1(eokY0{EBD0h3FeKtoLd`aAUM z{Tw(PaU0MkE>Ls>1Pt79Bovez_2Wo|00NgBe$q1#{b7%i!Q*y7IzM-iMSvz12^@Ed|6-9PS%?5FmrU)urJSGzor;-Fi0H!)?$`Osw+o19CtZOSAOHguOr?V;$Hsg8i!mHTh|k3 zrV}1#=D#%x9~b0Gh#?s|$==^{ZPXp5m>wezZ(p-p1m5d=p7I?k1EW4|75dP~t&ry8J zON=nxm$i|As;vVuS5s!QRK+xJ1G|x#!eC4*7xYIRbNP5^zG9kJcM9Mk-|!>9iO%#p zFURC>4JNAuJ^FX$(ameJamfQ0nEx&sqXe|2U6GiG4f-+|t-zjfnQwm#mU}VX>^4mk zo6_Ktf2MtDp!iE}ZQO%A*kV}_al@~Z73d5|4KacYZY!-jTGv6}=y3)AncN$w4Yy?+h>UE0}A%U;*Hk{DG z2VwJzwPjUkDPtv+>WhD^Cf==Ft3WitQ`9xrSGsBc$(5Y`a63<98=G!odm8V5iIZ~! z{u&l<%$iB%8uKmjE(jO|5=O!eTm6#yPq^j2y?wC{qyO?7{`g?^*yLiIRT1TmKLLUy zBTl+(Vxt5Yn}iXj)Tn6&6kMNbdtk%{g0KPNsrrJ1Va;{Z&@2#Iw+Yf<(?bWPEt}dRRG#!Z?1omaXZ_AEJeIptWY?|hD+b> zA&Uc!j%FE#wQAGk7qVH}I<)j^Zo+lpr8nY7lO~s)&77E_3J?YsK)J*7Ohw_(_d$ka z<*+Es<%kr?CG=gLps!iQ;BpTJHp(uI{w6>GSJAWgr5&J~BMFq}5!ipqi^Dcsal z&VTNEJNAD8>s**<70Mo+WRAHOed+u>z))ipP8F8QQ{y7|s)3q00C=;{<|1qT=jPYS z-2$B(G{$zDFK9?-o}>_p;2ot|3?&@M)GK0DlwJ~rbpD0NT36t>0RHq=N_4t`io)(6 z6dKlzmJ_>3W8gn78*H~b;|l1=F7W{mMU?+2d?$Z$voO@PUxN;kuq^OEVF8~J47M*E z#L`>1GH;ZS^Qa;q^kV7OD1c324p(CI@4TWqgiZEyTE4*|uW>mXe=(zp!HB%$A3^ zb1iEFBJX4wW=xbF{MDZ4&zsk^CjbS&r(QP1mE1e07R2>Ce3St4u5PelGcKA};T3_t zvW0&bf4A#HPx#n}t!*5{F8ZZ>-okGz|JqYl8R`3hznLd3L04%;F*nOhA^&Vw6wLMG zqdfxz^);X3!YDv?>eH!;Zsef;_3hfE0RN)&11W$s{kg_iT#6iH=H^8~<|Hk-m_PRF zfCp|1*iIFDW{ZUE#4w)!PY;oJ=7x?wH9InuP^JL)&Xv<2kX?sT=d*9KyG$B*SR&mS~oV( ztv>#prIV;;!R|w$us#)Mg0tkmD)H0f$U0r(ItUyDIw3w-ecUup3JxBYs`(pwCSrfP zb9!LtmJUp{W8$pbB{fl*sj+%iLyuYKbvHL=cbV;%+d>n zqwmP76cw%Dv6g<`IizgSc%+5Yw00c3T02OE53gV2g*{ey6~S1)0k_0~#W*(x3BoIv zF&Z2ee>pH+juYALE}FX*L(4T*ce@cXnEXGn^#Z~iZr?VKXQ_6KA)jRj9<7~F^N@$~ zcONbvTe0z;3(q(qQRb2~tKMKr)m&kz>%XJ?GGf9R-q8D1lCbC1kYdCMMZ!h$)EbP{vv zd7pp{j9-=`0+h9}i*LE3Rt4(?i#QL^2uq$0fX{N#Y#FR3#9tpLK1{JY643hPsv@v` zj4ar!e{Kz@tv^;Bi*MGNE={sqWj9e+;oNC8ExImq{XiYc4mL z_?>`ohKLUberTyFTyxu;(cX$^0LRzO1JJ#O9f?M`khdP13$Vz<9QESqwRmELQi#p) zzyRnlb-80jje<7_O zT`>N)n#bjn&|2$1#QipkS2n^>9t?{bOkM8jJ@DXE%ngF$b58xzh6-Fmvyt=w&UDvh zTnVKk4&yS5!5JP^DE3bTN;*OUS&9CLONS-s$T6E`3(Y%k%sDCjSp?4hF~6YJgOE1! zG0hapbO_3OBy7p{VwT+VfTz_he>|}58r@v#dmk&etGy5oI?S4Iw@AXT9y+kwnEO}BCb1RBY?RsWzMZj9o)l~ZHcU_3V3e9M8-(U_OHm&E9DhDdr})r1&2&QiCTG21T9cNkjTYLtHvy5AlfRcc@mn1 zXwT7I@B|h1`q(RBRql|*@BnAVO}#ebeps_SKWvu_vH{YbF>^Lqv}Jsd%g*tIUqYNI zw0hk8mSXHkPIeLtZQA3 zd}by)qAd+lNFr9N2#K~ykzCkF=D~B|-F!&&(LI1o2`4v&Pg$TwA~P)<_pxPqBjrP; zw;p;nyc-9%5n`4uqxjd z#^&lJLgQY37iJywf3>LodpQbjf5yzGK_;qV8qe2EX>5RFiCH2_Gd82Y#^2)kN*w$v z!I45SkT3GHWqbdW2V4}O1VK(*5dBxPtV>@}iq-#shYSgr3>=i`yWsRwtXFXf4pmiK z+$#P-S$^V{0&8$7k%HD)$Vtx(0U4`L21DXEA3QM3P_vZne>)~YMm2c!oH#4<=#%PX zuLfesvxALZ@l}21RAVJ0P<*z5X?{Cv9X^Oe_0y>|r+(68VZ%v-l@z+l5`^0;O&vjf zw#}UHDcckwUEf}4M%DnqoB^>LhMtCAG;&?^)my zf&Cn)vlAT5e;s+7(a@|B_`;VsP0E5C;1}IB1V?}|U)$YOe@r&N3ysNpW;QH zilF>!HFCUi?*3)qH`y!HhF#?_rnf)LbUZ(bF|M)Yoc6+k`5Rh1+#AZ|ik1wJ6ms^i z^bvg7o7(x`MU|_0c_88Jvb9pTW*y^$oWX|OD8)b?e=u?%)gZ*v@rr15Xs`TV6cJTK zlK`D3-rRC12(;n}y*xjrMrBUfvVYK1jX7{~w78`kV`LOYLH6%2Y{xeMdP{o)Zu`N_4vmV}6`fXxdk(%XZ3a{xm=V5WiY2vz7V?z=HXm^2@E?GzVegP(Shxgd*BN+V%kUJ$eykjK=nAFUgZdqHv48kz!8Qy@eHfCcQ*(2k3%p^FgwV#PPPI#&jrJGv2YwL~{x2ji4y7~^SXvoA320%z|zY~vw~tk_Mjml z=OHhUW6P^?`-EPBAcLL=%2#4ty8~MI!{%8ty44J{8bCL(*1WI{VtP7ad)N@G+;3A` z!AubLlg@E&Lj28e91$hFF*o?Ps=U?w@xB%{`s`LesxpQ-0Odk-G)8!nr<7xwe|wVj z5;^KX`R0z4IfLc$TJrGH&k7=ujXJka6s7-S(bjaYDjnvDq5cZ?{i@g0I||%YZf*Kb z^Ldq*)o8vaWa-x{Eg%zT8>sVP@|Dv62G5Pb39d(uZ=?#4Th1$OLliW4KODjluacRg zqq27f&T6MbnHPn@YQ$N0T9ht9e;4mqNoE~y$Y~Z6V^M$Do!=%eaD91nL!q^?3Js7i zhs!~B%YS1bj5@gP+6&DgJEOq#{3?GkEv22$)EdBRbEkZ_z;K&PUFd-F+!vU6(Ns}W zV-ad~B3mSvz1aPzj3*0NpNZUn1SP{Dn?&@6&xOz$`5f-Sr2Zh!GFCjLe^l|O?kY4(<2;e)}?IP&j z1jJ2_ACH!N;=A-;QoA)gn63iDNRXFgvMQZ$*|b??9y^e;!wHK4i6j=K<;6l@uO-kd zDwve{YmBT;65?2q^VN0m@||{C=Efwexk2nSem@PPWk5BfyZz z_74Lr4D}Lgw16JC6PlydAFQUfMdqN#K;Dv>e}C|RR9o_1VN5B(Y8OI{WLm|WRs z##_cDTXyiapVb64@U=lHLz5?)7yT-_6%T%9&`l#_pgmNQIZ+H;e=df2Gu3NGY{I?m z+p({CLA@Zh)JEAA;C8Z0tccdzE1I5q2!0|JOgsyC3%4;p7B}{)-3<4xKgy-wnSGit z0#nwUdZwk*FDTGHi*1xfmPVXW1vG-AXa=HHW~>VQRW(fom;9kHH%k!K5O?rZRlmMs z(N5>-moGI&_R&x>f3^=7w8;V=CDj(ALA8P&Q03lIDT+IgC3tZZKb5qjkPam+{vjPS z|Gve!Ge2ctQ2zW^p!&oT_lXWXy_&U6qxlD=mh8JgWEGM~`JyYse;ojK?269RWgr4N zI!}SnV4MyhGrT?Gm63@={0?d?No^fN-fvmp6{pv};4Wa`fBh9rB!S?l`KYeEpwHZT z#z(v%hqeJ$+J(_)hBjvLMPQ(tn>yWKI0+1J6L=c0{53(p$;P^$V{S6BCy0{~VEJ%U ze4}UTId4ApC#QGaEg0qSUPe1SxgceXI}#kQ=}LyRQTj;K4HT)7SEG&R2JdX4>_eJ- z{Qt%T1rlUaf6nQ|jlZ^4Uzv27$JF8gP_*|VLh#iRchQHxf!k+=B+8NM;uZEuY@(;2a#wb`@?p4FAZ3wWnXcBT(VIgIcS*+bb4b zqcdt3f9e=f>^h?hq%mpEU*XZ%qwA_St$G{5a2C`s4sD(3J=0DgJuUKP+UL7E9~`tq|;`fi0s%J29C-RrBA ze>FQlr8fnLcaKbe=1oke=kAS3DKRd26W8+|_8fb&5ht(yi0YU)tY!R)(CO@fg zx*?9eeRkaWXUPdkFp|dc7(0>W6!sLKf0pfr>M6MwgK&j!hZu%1uJRnyWey(2g@dJ> zzU7~dra3$B^w<<{drTstOqGYSNp3^BzQ)foc=Ut^);jzXKTujw^Oa>_Nb;<;`|O{j253e-TZ( zizNPT2(J+F^}s9DJSt@i_$cVo=~zpmtRHP@{L^5L*DJj&wOzPM%#qNqwYW&Qsa+Kp<|GHLQGqG=@*J3=HK zD003pRKa???pMp*WNZlpb0OTHe`mp+Of^=}tk7fsL;WL|$NT5|zOo5apUsDP!$a`P zuWu5OB*T1y2Kro}-{PG+nNQG`_C2|WR$jkcRJ<{O$0BEKN#g!Oy1cM8lQP?#l31-4 z@w|OU66*zEdx|zwcj|SG5WZ~+U3xbM-sLqRcW#W#brWEwa->XlHR>v3f1LeI;hfK5 zPWo|+ETLjxi4;UT>DzK$s4GMCa{Kuk@gU*nvcGkAX3$Ap(a zC69N1B~moi1_2E9FrfRXe;hf_8eQQ^0qM&|b4=pw{DkZ{=tW`{Y%4=gsS z;n{f8@%A60fw5dQN<5QZ%OA%F&!ss$#X(0o9U=BUjtv2xx7aUzdBa6u#7oVO2(N9N zEC#KZ-URZK>-E}AtKVD*l@R4h;L_keLbd=40|sc}=QqlEs{>yye*^^UKB}SzRzV)l z@(#oG4qmHL2UY=qvL4AuqF8f#@TCY2NK1_fF_@@S(KP=I9=cx~XtKS(a{WzF_Dl0D zk~Btog(XFGjuY{YsWM$cwMQ?CQyR0-F)JXvoy1e=hCj2s3tCR3&rkiIjcMcHT{`&v_^3Z=4^G))rc5Iv;Lby*h$QoOeS9-Sn>aMQA_uCd`K#M6@g> zDAL>w;g!j!wFd%=?;WnveQsVRxX(h;Ntb>S`f66*Y0-;+7gG-iEnu~%H1Pha5o*P>b186Dr zxe9N%pbWU0&dBYsn@%#cW$Tg4HWiGiXiMyD}n$foq zt9tI(1tyZ7*HjCDZtVvocoenLJa1Ukm8qP1TBKp;$s(A&9}Wj*_qwhhO{H?YAQX$X z%`9PPONz&X=8&3^n1NK=56+Wt?Y`BU3Fkn3{ac`*>A%D^+Y;c`uK|ub_+mO z7$)s}e=b=BKFA}KNLSX%j2?;x0JF0&=|bB}_|z~5uh9-XSo~LuukPQ$%dsI%(VsQ{ z?EFHGAHxdf%-#VdN`$2xRf}2Arpu|VrMdR3PHPG{G+njzwmJ?ZV0kEr^ax^ z-RpnjnZR$(uJMh89Ri^+a1__cB*)NuLV{+;e_#KxgB0E$^VY)h(y;p`GfiOyZ>Rfu z-0Il89t{#TXQRknRwC(hr18?YmFNbwqAq`mtfnQ%UOE~{O^kMy-D}y9GIn!sURLj1 z=BA56I3cq@{`4;4ne7>i#mWmnNGmLbUxlWmZ&9$H{x#1{}M=>dzxYM)^q5$ ze}A9vPoCY4v7FPov95=jKevykUvrmAWu8aGP&+?HJeJH!wps#%Cw%nrZP`Qqu-^gb zahiM}OL!d9auyf0dUL^pJ7R4uZ=Focweg?`vlUxsR4oykCN?M;AD3UgtoeeR857Yg z%r1AeoM_WIagP`DZuQ!9xoeHRQ;&LYf9Y^#)!w27Di18z%c#%CXIHOjaOSF6 z0LQAADZ@P9gz`MccfD$o^-99zxW$MMVg$=&6_{E8Ry@Pr9|Fo+CPjHvb_nj56gsw(?s^&@O{noq<+43z5=vfE_MOa4HVzb8O#H0qf zcWBUd9W?IUjHDlRrc;WfzupH}k_D_iE+`WFIZMwmI(&|aD7}nQ!iAkwa~7= z%4W-lF>M<-tZ$AS8{45if5Mrk(4M&Y4V${gMY%@5p>XbYoEq>ejo7v=MVvn*6j-__ zn`6lsDiOyKKJd}|-6XOjL#qiJp!5l|?vgg_L7_De<^F z1_H{mPs7YTbB{R2aCopBb9Rl=J&5vYRSWzWjaR3bun$<`^dxP>e;g_l=X&^G!7{eT zMm10L(~Hxs(Rk`cIB}PWvZAOEPu}Y1^M#0TL5kgM&U^eHsJea&kDIYh@H%45PiKW> zpXp`q%Zb|#pMAtG6&;vgL~H0;{VVJB?YpKtRWk+1bMVyl78VX8XWI?6xoc&DzARIK zKIP@iO+bhfck#o*e*pAe7*}%k(PexqQE#uq5j;9S?dNxWA>YiA?yh$B^@UxXe~YTxOr zHoi-FTk_>TDyX%tGk0MG1Vk5;_z?kzmQ)SS_WF=mt#RFGf1CpICdGSW|KX-%bib#y z+nx;{CRg;6^YjAqkW=`{)yC4 z6hMm&>BP0c2(q}oaY{ltQ0Hr!hT1^YDt8SrRi!8Ae~B#nX?)2g@Mmh;)Xc=f<~qn_ zDeInlq%;h7R_P>3rnaT}V-13+T?tkE%|v@#s+c**c3oAwIAm+C3SEpyod8RGbc*;e zN6TAVkQsqD!o+e3UWS|4__JQ9mRIx}Wz&4#7jjZ1-j$ox@@}e%&kpFRW66*w9WM$7*`9l*RZ{J4gJAiRzwPun`A*PO2w?NH9O6*jL=(%} ziGdFs0hiSeT?X2}j|@&b*h2NWuyw5OzF7&se|?Yf>=`ac5|ncrpG!Nu&RWQ6CQAkk zsLx^zFQDXQkM~tG$WQ48jks4Hsg5&5TkTg-=R-kdhAn~PY7N_1{>00-L)=2(t*Mtk zx?hGd3=Ycf)QUsJmzwy$_sQ!4_3C}3v| zf9=5h9$&E|h{fWoehOQ8St@Boxo|dg!lgIKacb4<(0vCHNQ%(EDq8dEP@WcS*R(r3 z>V{x~ce@x0?7dkqyXgx)joY%#-=Qt(c4YJukAxC-|G!Fh39T<@QDj}E&1 z?|u-U*4@Beb+NAfq+KXfdfB&SN9#TPf8KA3>p|R~01ggbS$`$lK>i`j?D#pF?>wht z#PNI*pPI>-TRTRj1XW`^?|08#x3uE+{uP&~Z2&_G^6lz!;fhb_`)N98%B;E#7`XE7 z%ddC#_!tDS=CGAHqCahMGu!VkkPG@mkWG7m{j@&24id0Ujp@1Dkg+Pf$rviFe}#Fk zO7fm-!9(;!x5-k!R9QHVI?>t5vTJ^aFGNb=w>WEqEu`YbV<)5y9qL+R!H4SU$-7q|M?> zfyW&r^M8yy!@dZ0_FQbf+G1Zye?|kUt*87m1YOcH8j+Z6;D}yu$Ssk_RC`D$#f7p% zye?9&_CLVp5U<4NO66-H=oSP%k;p-1=LM@mCyuI5p-)~oh<1`X*$6!VsoZ2#US%I5 z0@1-Kj4`rI!um#EoBL+A1c^LzDHGD>|GZMr;fYrMvwMRSpd_y<7j|jWvlj%t}2#xg}3IppQZ|7Y}q)0ZHb){1@ZXw2u78 z*lbrMhx?ojugjES{EtO3}TIoFO+gG;_=+Ylud1RinGiTUlq5ANJ;S!xjkrsuJD1wh$2yX)?-mv_c7&wzzID1U>lxMP@ld1Mg?t;@aMC zmL$k5d?fA?UnYAI*6Xvz;Yu|UofDbckIHwT)d~5%aZj?$oPRqbyC7%qd#C5p7$qm0 zqj@;ug*S!|jP6hbeym2k=s`4j3^M;WNHOcEL2!QvULPDtlgnrB3tS#s#R>)5k@Y-lKEeOZQOUv z+nY=VVmTtT7bsD_kbF4F{b9LG!}Sg6052-hD}KNMp~DeXe+HlXY{~b^4&@k4Ua>g$ z?Ac!(WNLwpA4;4XihNv``2w@S!1v3UTN$m?BH_G;WHE@)*?B9SZp=^KXtnx7&~8zv z1a0xkfeN4r|K1^f+zWXO@Y2td3i%I3UW8eMNotmK68{(~t#~`IuXle-q2OUnG+~7F zlrbX6Kf=e=e+~*#_<$ifloakU?){7ay>0OS2(DM=rv2MT_mf`3jzDs|=eQ$zu2*n@ zgG5=*P(cyxtdsU zM}~^IUWFw;C;guoQO*&oLNb@GNx09;5>;OcY;R0xf2=gA#tXNjf^igW$IgF!uT<{D zTueOkpzVQ6C3ZrKGvN{PeyfPsz-a0tW2FC%x7?~~cs`d__yoIcNO}HPQLC)lY-Yrl{(qwr2n4gc2 z5$3;|R`>Owt=*=YcnQD!?5H&m0&|M7N)nV(f76k6RjL+Ta{zH`uFS1-Uw~Aw;aUw< z6e$!wob#`B%xFbRIGFsIQ8`<7v`NS0AK?9@oa3mfZpEypO+l3p4Eid%K`n5Wz$*Xd zT*OB}kO`@+iK`nHlshn^!M=`+gYS3(1l1)^2ueE#G%)5e_v_MQXK ze@{|1<@%rhy0H}HNfU{9H%{fT9E=fI#_PFso9_7sX}_Cb{-Vb^zAj-Fn+)p6B}@`9 zuiZFyYn;tkZuaN3h%}+`XUN_SCyUi}>hdPhS7cAg>9az$hYz^-LiN0w)1Qwb`qWbk zJ-%1x>3UBYfufr9vXQbhrnCIvq~mfHe=7WzyC_->39(`#uQUFqFatD#DcL!L2l$}vRh+M`|z$C z-%4yONB@!Kl9xeBna$s=kyaLqI#G7^-;RR4&0vowIwnk4UEHUkyJjqW1e0nXe@O1P z;0U4d@7C#lE%&cBf(Lci%R~l@{aZN%;%>uRP^@`#f)LN57O&GY813%V*;AoPWkMqT zR#6v1Y!V3Fs3YF7>qX#TMvz;CJXA;r^6-L&ml{T3Efc?<46C4In$AB$TR3FFk#(m~ zSPQO7q$`)n6h4~3o;OgvFB5@ee?c5iI(=6}*3$!fW3ed@pt4F6n3t0rRGah&Mn@HA zJ+8vlRmsU#{D{CSstiKMVBh0I2!8ztmGl1dn#C~9pZWnO>}~6(GF=u?aY1CACK5Wc z%=I$^CAKMsJ{Ho)3^~ewd|s-Pgf%v>IW&`)b#yEJfbOcTP0KvifF!EDf4a*wxyim~ z-6VcW_`*xnJD_m^(qdyLZ#`wdF=70xpstHD+SVZW0e*h!M|zc1l4dt}DkyJ%RjX=^zKzbY<=yOQohR zE5N0`uD*D2f1{Sxj#SBIbRhWDkVN<~2%ybo5TEU&?C2m$e5|m*N1PV}Zf?mOU(cnc zYjKmC@G&a#sF?)ZxG$5ZTvoXeeHS-+Q|E3zq$yl>TPnfrKuC75=VOKAlh=^Pt8dkU zQ~z7`!|bOPsR|!Y`1eWK$r%3=*A#be%}xs4UkVHQf4Qw)UK1qr00pxguM2r@3aa}; z#LrdfZ`5O6`!!O_6bVO)HX3~!XFe;`Q6rS;B5yWBS_EY#hsi>`hq{l1bG4nIv{zyq zck)D(J4Ux7s2V|q@*rYuvuiyFChZ2TnTa_u{`z%(T^=3Ctn(hSz_Ech>t}o@fiLdO zBP#oce+XH&>2iXVVcD%TLI3R!pgGzk%^qovQJE%j9Mp_0_enwayE-~hi8qcZes4v( zNSQ@~59Luzr@G~*Y?6+3$?c4|mO9V7RFcu^2Yrdaxz-uu)= z7UCj+BLkabCl`sZO!z7?tj`f!WGY3Zv8RfIH=n@}hM(<^2EdT$Gp1pi|IHTtk-|Q^ zf-Dtv=ZzLVI&+TJ+1)6h$4*LqzCG;Wls`<(%JV#qEHE!atFbeMHk{EV?Oj<;a4>eI ze-UBbWsF()_tO%L9a1M|DYP$Lj`%C^W0JFb^a357MLw80(_Fh!@Zah#13P`oA=&?* zg^2}dcpQ*;bwrm|Lg1BXv}gMyYZe=;7&ns|&(J=UXsR@fmhyf?S_Tz26#*dxS>1%K z-`+Kfw$00T9>#FE+MH|!0D!27!E5~4e_?tq3@D(0;pb}35ucDl{qzs6l}`Qp#m%?Rbm` z0Pe(A^9tZ5?c}8xT$~c8ZtwyKcW^1k{=~X9`T07mE|%B=in0IRYq85_$v2_2?#15p z8o|q)^FS}9SFH9PbyW#ieX;pYQXkH%l46!-Gv!-rap$^CLq~j@3V;_Af4OAl0TW0% z8uW3JYU3=-+&cf{eFyLcUf*$H6;NE)!2>`PKXfGYv-K2z&)Q>X43Hd9C|7E*ak@fb zA(({`cXfl}r01oQ1p7=vg48J-<7g-RL|0rjGRVR}6k0#jIbr}5@Px*aKhDD_knpBM z<#YR%_ZpB0_umhlupnjvf37=N4o~0Prtr2Qfe>XMy*`5N#fRfGwnpnr435>bS0$S!29fg2RByymf8)m)wq0cRehk8IB-?4Xy;DG0YTH)Re~Fk}cZb zFF7iE0V%F{SX-ZQ=EA;Um`%DeH#1zV647Bp;pYiPkmCclyg&hnt3q6Tyj8qGsAfrFaCxH=d2?jocGcq3`G>-#i zuSaVKIJbxVEUA-+J)$;tD}J`#$}O9I>-5)5^SI4cVSZRR(_M4w4ywKeRVKwgbmd*dh@1nEd-d&uRaz_Wg-Ws+0m&Xr$$XiwvFFjpC$WhJMzWDQEC+; zCGv5x4zR8>tf6?TSrx`fVbWj>cE|UHX_3l({NOR`1$KF?f7&9)pEbk3Kok*4&RFkg z39FRqF_RtW!Q5GQ`2Zk5-@iS`%u(93@QjURU0+U`$Fmam_YcwKkeY{eY+vPG!6qdX z_NeJZ2R*Enszm`EF{OXJe!Za3MDgvC&cewX1Df>RS0#bCKW&s8Z z&PD!(ul#Q3e?T412(@qj=JssBk})s0()L6lW_&w2G9biYU)(K}`gD*{ZCcT8OAp{4 z!-yFski5r$hgYS%8jF1+xIF3m`hmtQEKM%j{McG$NFz8d%|ITCFyzzpLR}U=IQcl& zdR-#^+A@^mMDh!!G@KgT;A5VN)zW?d+1(qI=z!;9bhML%=ec769n!Lt7_%@HcIe-J zl=0B6f3}zn&CX=3$-~aj&$4M>`b-p@5%O_S|A55s^LUiKwIzIe@N_z{xknzYICs)q&kwzrFlk}6Tzsp z(maYJF>`%UmK$eHy0xS%o4SU@u2sSBP$@6fG7dppBc!eu?3R;LUgSw@j=C$UopgNj zYpRi<(3UgHBHS%zl%&9O0<*q6^AB`7|E3@YfzTj%V1ljZ0W~5s{A=rjZr6i`Cp*So ze@_xV$jNxa{YOU&1oU#0#1}-oz-F5-xt(Pu3A>Kq1^DXrlD}Tboz5~bx_S?dv8@3f z0vBdOR74pmURd9~E3J(R8HaGxgk2qc4yyTumuyyi@z=hfaiAS?5 zG;y`J-iS9FIorDvlgqM!Cf*@NzU2{D3jUDf_o*7dTbjzo_r4AJ67>7g`gAfK)QF5!A}wR&F_jTDt@g$|K6kYKLT!{%255< zhq7dnzyYUVMVbh~b7K>dRLC;qe}N4o!#|n@mhC5mk}k&<$}yJ@%dc4TNAoyEBZkj= zPyP$k^}n7MtXKk$ahC^dW)=4#*eu`LEy$SO4qE9ewkdsEwRDQfgi1rsw{caw5*TAa zHTO_L8OYs_z$5|j%MEGfQ^#TFp49GoA*T*l**Xx5Unl3hMuzaQl!)sOf6q7d8q?o5 z2%}^w-P5igV5R0OxdK9KzW81|MrB)My9LZ`8w+cw4vnQnH-hix1j)^0J&MQ>=u%ZZ zYvz1AehoagRAhP?Q|}{s$W1>r-abf!P$r1JgXx{WLj(bHCM;2u3!HZ)lkc_n5SrQ6 ziBiZC%tqgKDI_^w_3#iee+zhUPu`#?>Q-I*16r5*K!iqKSE5V51R*g@_%aL~jaOWqz`=65oEB{u)Ilc~T*^83 zh;2cX_^EVP99r*03?B#~PP!un3O9|a+3n~QZBs|U;j79zAh+H|e~?!_OKB+r0+H_v zvn$!NPKie5sJXKNr*&R|DECGkUuB+AORpZjZpXN6u+x~L3+53ll3l1?N-%&^HuNR? zAwk{fJPiQ|o(*Hk!1hE%lOFYIM-x-D1q#^TNsQTtjb%A}9E4lggZWeLhet(VUFj=Z zJs(8TnJCFN0^GhG?PZzO#*JkJK;#4{X7+l ztk7V)Z&z64t$dz#eG)#yQmtd@I8_1wIzYw0Ve;O~JuM`bB@@pDm4D`P`3eu|vz5Ve zXY??*X3fIFytYm>xxNLqzA8$HW%xvvJ#`Kp{a#4avbm@A7mVO2?d8~E1dq`ib_XgB?r^s!8R?5Ub+UTb{Er1ouhD&UNt8ZS|#-)QfVeM?qjW7vi~ zUnLomnq3s%TWz)qEq};=ExXnm!Zyb-5Zr3q*$dy?%J_fSMZ$td<{jN+qq0+%aaJ;ipH3E?@hC&ruhlMVIx%o(bB|h zCN!?5)%C6X@fZfbg~;V(#1e`wJX==FjXQtS==Oe&6%0ZR%tTCE>XZ#P)tQmCStDNY)B`pU1qoMdZhL? z1%=ZC6TgUT&j;*(MFm@E|An>fAA3NFE?i| z>9rkQ=F!er-HanU=W{4zXz8fQ-0|u7r}2nLqt?vPV7U4*D{#cD<(jsi0@@yr@&Y)^Q5<9>N$@2@R$k!OW^;{Z;jJ*Zb2ys{OG~<& zE8ieo8)WEH;c+rCUuVPZ=tG$-_xm{V#fZU5;6r=S#iM;-+wt)r?gSNU;dlFL$YyVo znr?57Fn=f%kN+9(C3MQ~Y0BJiNi5x>V4eWlfNmDUZ-A4jb=8?YVKFlcCppF$dp>Ag znFSc-Cqf-osF@bTF$nyg@L^YYS{Rptxa(tjAX~Y@n}jmm_jsy;lE^8@iW4hRJB&)H zT#C2vrDC+W=IxhdKvx~P-W|=*Jeur7!q$utN`EjuZkx2HtpXLo(s1}9A2+w1tk+## zq6a!@rl0qPL$B`Oq<-TO^5yIR+t~QUW6na`lB-xR$TowLJ2D40qq6;7nnYDlG;Kx_ z^;aCUs(t!KF|Nx=WphQqSScr2hG4pim(sFk=!@Jr`s{3^%RDgbAtt(dF0f&{QQP!n zx_|Mk7?So%9@KOxD~Xjdzw^JSMv`*NYX$K|F0pIzbjkCO;pF@)pS0aW{M{Lir@7qywhi4eS%>-?Sk^!vLq2-@MrWm>MbwU*bdTwuIkS$LlSpeDdbOX zsl`cSHuCgpoi^Uim<`dC2X)Q(Q7+h?7=MYVeti9AlWaawaONXguXwGfsKYqGCmL`W zS@Q#3{+?>MT09nO)&qs1lZw2j&E(OcU_O?0bTvfR71Zj&13UP-f7teS*dv5XCp6pE6x#b#FObJ&;&X_u-yw%fqa9+@E~#X>ao(qL9hwr)O>On>OO z@R6}RatQDeH;$<8zCIvu%BoG@Fc_JxroFDrGj`s@l!gKxrFhONb%rjf-Wa*%X>Ce? z`c4%Zj6r3@KeYL;Ml8aKb^{e|cQj_AM0y>>R5a$wN%BE)2tyI~bHwS*x<$5faVnr{ z199DZQOMpSO(tkps6K4`v zGXuH+(0SXbtjD~ANJCcIBIL5pV~}tl3TMu?5t7~iOk2Dzw;?k>iqyya>CUwtRx&}z zO3^ija-Xk^8>iP`9rCl+L5YdzrYj`BUUDn!WYP(Y)9M6v909&lEVVFeT~ zZ?pm{ldjmwndCX47g9tns(-hdkr4{vrAwtF)Sou3RZ7y+g0{{N4#uYmN(V)EkwqKw zak9@apmra*_tF652w8Zz>-1Ea0w~J16|FEd#*;Z_3+c4w#x~C5%1f@EXB#))y+zNRqRX;Z@80b73nj@b-Y7BLTj6@Rp85cCoL)X5Od z$Q>iOGR5;9Lhncd;q0JEIU+-{h1v5!3> z1dptG9eIdG_!*tq>Vp{#o2M~d!P%_=z86X*_* z+m{*TLeO<5VTxG~UT+OC?S(nulC+$fwVZdflO_o!DEbl!nicLwD+JTnx)B0e&F{D$ zJ=`w<C>-}`kdngSs&dnkF(>q8{FCQxd`H4y zGg=5M`Vt^h?SD0(Ok+#PZ(Hnv1Upmxv)xM-;6Nkt<&lES#>M$y1gEm&-}WGayHt2E zSn1g#EiY-fGuSH7U6!BdL5XMh4-uDA)duKU8zC=NSTM~PRr;z5jfMaO4=ICxgJIRL zOX>5(h#C4nsf2;2(jW!hj7j{(p{G<}!<4TZVqP3#lz&WHrMcV*5N;ucf(*91;F`8$ zy|~Be#>zx0JJe=lWJw=@8AJ@JgRDJ!IYEJRz(Q*OrIhWKy1|}n(`;vHMct@2b;8uU?;cO>vti{cU}9WdSC@BvR_Yt+y;t!uejnD*Bp4 z7LnLb#((jK?#0ZwgR`{=i@0myL!scyCpoD*;2Yg*WBC+AlU@-lM``cmMm5sHlMJ_X zfIIQPpMewxp@CiDHkfepexO(Ra&2SS?3Hoc38mB4guH7^ioT1j!|0UlP3BIHKFhz| zf3Nwv6&74G^KVGwW%Fuu7;B=a9J9nAF6$nhX2;(Y?hTaaUBM)1=GZa7j?!o8+Duw=;h-1 zn-yOb%2#ayt2B5X6GC>t1K3&`XQ##eje-o3m#oto8hZ19sJp9}CP z>3?J3DYfd@tTEQJSb}i1ZB^FaGqmTtx(cVN${ZtztVtYK6gl@=M_NqwGXuE~afRl# zgut0Wf=swDNys%Hr|ETl`(WmSDt2GAeLhf`0MDtgU#rZd^LHK$8{pNBp73<# zxqx50!?Z2WMe2hFLBpM}!L?^^rO_tG<$p{*1`zpco+d*p{16(MtV)HU)5YG#!e(We zawRBakjl_JSMvuIqq@fpb-L1ttYQ{|vwUx%N0uXf`-GE;cRMLsO?%DmYf1J5j*Mc$ z3W(`dBaX*2RJ?P^j5BlyH^t4cdkzCq z<5FaNwz1+Xc%wfuI2^PbUu-PeTr$YX?X@Ah5?~t|hd&;enBuxHgG%%T9veR4d}1ok z4ZlK~Eip@Y#7K#ePlchDzI5PynSY$zKabBb7YCvQRc)Zl9$b3Q$Qk}P;G%jY1TnVm zW&VI5j2CTvNL!phxL~?6y$NCVwqdZy?1l428AF_2Js<4F(@ns_WoT(`mFk<Kk8SJ9(8!_JxT^GA%VKGrefC)_QezXn+2naMYOfCnFFW zSa}<@z3|{Kg$3GM^jxu2L{%N$W=Sf##aQh=0?OIYY`;>;o3TMZ&?EmY9hW%XcUSbwh1Vq<%*>hHUwvhEF{haPgXDkm3TJ{IQE6gv z)7Z55(6sB=4bPdQWPc{+aJ^p2^iB?m&htM&prKj4JQ zqiF*RTz8XT!oQNOcR5V6%;xb}GEvK`Ks9Km7EDY-Ktg~VDSu8M$i!_o*lr7KSFr+& z3M*;_E(N-fUIG{eYvXi%e9)$YjgHpX6wYZK&2Kcq?(U$`*&=a@J-o4efq!W`8#W@< zs$P{PeDqxrUM#;_g<{dLT_)fW?l?Kw;BR_pIZF__CMMqxL+}6nlQ{}3&`!+v2pz&v zSB0!PTn!HYAAi5bL2%DBT#4|dLL0FU#L#An!@KJ!;c>ZiE$d6^81eyA5i#mP-##`7 zkzU4MXXqZ%Oa+rQAwbtv`~|bud-xdMXWPEJ%td{36@54#HQs_N1fDQQ%KA;V6O1P4 zbQM-9{sPA@2xfVSKEVEe+$u)sgg348gby;UrTKlx#DDe;I-`-p!giC}ijojHuK>9Q zfDe}RTx#kS&r0DEGPK{zbE_C;`=P~JK7r}O`@Xk-k`QNmFS)#P=DSHW)Cgm{J4rKO44kV_Gf@fGbwb*+t z3s9qwqlEq;hsxCW-m})7ib^nZ_~PbH4o-HMd=kp4mC6<0ATEs+75^znURudaH23R# zWq(zvD6G%nDajj-dL7$U9?R5*($byv^BW%v(NINI0QP8D1<&-}?5{%bnw7DZMFLq(t1at5cf9tm+69WSO0B)R7@Lssg5C z(>P;>)F1HJv*)UW-EW$R#iz%RNf{K`6n|jR2M7x^=|g~ZRSiDl^}_7MCn2kgSepq2 zQ*Ix2U|OoDhBFbxPPbS9Mn^_$deRv1s$MNT_ZD5u3EyRll}?T*C=KLX8(&$!;Z;U- zIhfUsN(>)hkxm{6=(_GHqyg5UOJC$9!enhPy=5ni1zNo39Ro`W;c6*Q8tAKNdVe(M zEMudOoIqh3r6U@u3S3X>wd2|iPm{p%&b(3t`>5QU#>TXC@ff3 zU|ED;SzcOJRD%Fm6Ieoc7fdmQ36?4!EU&tS&9cW2p1t?<=!ocy^q!~puSLyVdM5ob zrCqqp0zT;sb$y8<*Z9TAdxC9VRDTx)l+5J-P9HmWhLSg4J6A2qTI*eFW%OULLn!=mx_I_g5gWgy*l?ovu`9Zu=U2F6Bq2{@xi(-1e zirBS6AmeqX{U`qviHd@fXr-JdM<{FbG3rO45S$TopO-bRb6&=dd{6VWoquQxH0$GD zA%cMP*1y6AG=y1Z{$Xov#;;vss_M$^rN@Sq$eve4(P6>Rwt#kxYD>YL8a#Wpue=Pe zE87`)2w>;lwQGi�wz%vCNT$e$@(VXIJO3P#K87&9g__Dr(OPyV75Gm?n0_f);S2 ziBF1gYEm?#qA^994?3m&SbvPJx7}M=6AHxyadu4M6)-9u2g-ET;PR(oXUcr2uW4#U zg21ErZwB1YGCwWJV}oyS{U4@EN+B7XyrjDxY}Ebx!KktDT~?y8htpXks_LC$Y+$Al z6cg)CJD|p;#f&%E9jo?OXu%k}g}C+tYX-gH6`?jbHW2-xTMRDaqkp%j+|ZTLIczf` z?q%=UqRiQD^|}(OBldNDCf&TMe)v@Nd7s;jm&?CFrei#PtS0(Mp5kl-p)8=S1O#r7 z(3-KtnSpMtrYETQeWdP{i8|)G130#{yl60NdO1G+?ejaBw=rxaSbQ3jh{|?AgE{le zp5(+6A0oL>JU9w9$A6VO;5~&Z*=b}0n}hIGl`hh#a&BK!ak>2E1TUYNZ2(uk))bAi zu>t!2-XUk=tf$8YMQ7|c$ukc&$Mg2S9Kd6wg?va90|#tSBqNP zWW=)HL{x)hjT3g00q`Hrn{D$gEyLe>scHDPdg}9vXwT+C)_>w2oypN(tFG76lG~ym zSV@w?=p?4d6W?4VL~9|cU8v}36ju(AYVPAz;OoYLMOzTFE65OkW-;C7-BdrjZxz`$ zK0J>Kkj3B%FU`AhHI-r`$=tQ5tl1_(~ zq(O?0(<+$4x_{v_InAM0!a5edYYz^D%W-e1oh|sJp?oJvVfp0ZAg$dgiSK_-!<*)} z``UK1^Y0tWJG206GjhXE&+F}S;qvH?a%D8tUG&gMe{2yx3Wa68`NamL3|tTF;!@(t zsIDghZ%@F}BH0}Yra_F+pKD)(g4R7=Fh#5n?_GE;;eVg=41O3@UrCIFs%NO+Xb&=l z#H>CzR+3sYb-DGdCLAxjMb^DiHh{ash6+Zxu#dy%tV?yf}x`8<-I#ma~4vo9?@piCAKAk;0|40<6*>{dZJV zRAR4@(tn(}VeE|mytpvDQX#kHVjgwzd7508#G0SX^vG!q)`Gx5Qb!*K?_Ld@3 zvGuc$U6Nw&Xi=G3P;J?+?c?jg308HLjwU=^W`6@OFM6J>ciY4n$4~Qn4ue0l-y;^y z)$o0`Z1pszz>~*Gvzt^(7bNp0Q)tTFLT9U1e$c+}{)fwm@2T9hf8kotSatQBAYZtk zm-qNcEJ;I;I33a)GDT={#eHtZ?H-&Y|C)C(=JG1*3xXE4V-~`Qs_7w^>~df`oJ%Vk$kKBlD>uzr82S?(-;WfkVwEV`*a!A zboOKSz=>s{P-AVEDu2N58h27uYH6%!R!WejmNw-SU4~s)eC1zkbP3T6d$R^j;;KyG zR2c8d=eFj)TU1boYYpq`<^v+|ao=$8V}G>tAey&x?vmYu%(i92L5Dts*s@+UP12V-%n0DiS5#uFoL=K zzdI?-UGFcSGz?P98dSN|SD%=CBUi>HZa_laeRTnp0(n0=S_XEP|4MDdKGKOEV}E%Z zw`Ufte&=vVO9Z71DZ;eUJ%*7PmBTE_fEfDJe9Uh^I*PF$5H-)XA29qlK|ZMBrO~q# zU|)@p#5KU;kAeA6Wwd)xaX@hS8V`lLAM-mF^N_d(24#U5?H%tRd+x4POj_w&?$WS# zqH6x21<^xP4;J+4MdVDS>zcFmU@gY94IYE!NCOEp<@?}D@zcf@?_~Qr^Hy7mFP8V0F&Z2ee`GM%5!>D{k>-snB^E|UztX(nXdjUe$`OcFqUN?$ z5-bbKd;6{D@ljcVO`6+Lu;;w?D&vV21jTM^qyf7BvGfgRRbgaLq0=fQe?&p~P`UD# zuw6sd>^f&+14p-;8xca_1?4#Ayo^{zG#T5~-VvDVcH8D#TG<05>^G_UV*9(TQvGe8 z$)!jg1fb5H5-g~qKPrjC+ZWG09A#SBN>WSN;yLnAykIHQje%xfjvqUC@(`(%1*m63 z=|`v;fx(mRNYMEH^QMY`f4>)22IcZWcW(KdBX+lmpnEUE-#D>|`SZX#@hQ znD4-{JDF_=;(rCC>swuh8-vph7Kd`On6rbf)|SqRlH z)TJyu5DZ)-i(D6bb6l7gtRbbn9S7#N?D^`MS~be%F$pj}fbEs$e>eV9nAn}&(BA*8 zCLoM!kEm|I3d&<8fdbbR)+X5pfN2Ow?#{4bb=%W|xG=X53RyNmwr`-?Yh=Q`C~ltf zAAg{M5bSA)j?&sEp{xk*;hQsYf@9i?^MYlzQsEVSAi`7XZ3~BwSb^EWHYDq5o z!kg4$`IYN#6d^c{e>{tRF~9oWDHnU8H6ta(*Ey`(n~^s0K0yt_f0D0qwQk5s+)h}L z$OtZkyPI%=r%Y-E1&BV$sK7(d0O>}h%^v3W*m7gA2Ne-Ckp;GTt0<;piPjCVz6 z0xfE4Er=M{c?K5=6H8Bht?&T7rFQxHtktt+WSq&skF=_ui@kyT?a2ft&KV-7H@;cg z7h^*iQ~ssDz=ej4de^l9?0$5=ef0l$>^ynQ0}%~#*44m7kPidJtI^ld_ypVH5n?bGVO5!qdLFc+P#@&Bf~|B6m6mdl&K^_t^4 zIoLvxA5qKTx%mR|`~P&4mb$wXkWY42Tz-y|rFx5dM3-9Y1B?UGh2g0canp99cJ$hI zUX>Bbe?N1F$LvH2Iwf$%9vz!ab0Gw;;iJU#o73jjlbe+sWxC{R(Jsr zv-0tb_c(bJR+~zO2%|Gt`b%*iZl&Y?$wvl7f6*6QPyx7 z&?XtSRd`;f7@p#53Ce1}E{SdXwH+qKWSH%WZW6RD8)j||n1K-p`*?D~yXIz$aOVI= zNJ2g)&@WXtwR3Rc=kfTEY|+-@haE4=5@Tfm(uZ!tt4a`ZCuH2JWqhBV9D+9a5ij88 ze?Wd)Q9?SZWviJ@Q*FX5ar!Hb58{Mr-!(9#_(F*Oka}vaR$0hoo;PPnBJr&s$|VEp z)}tQZ3IB>Z&A{^(n#n2yJApgj+AvB&UqsB=JH9|IYxg0P){_}P*mHzf)uF;ZwO$;H zx}MW`aW>8ESgs={iu`Z2)7CzcfB|7re`rTWACQ>uJ@YbD#-MIU%;gWy%y1N_Jym`)P=>4*)R4nmBbvvUX`+1i1Im8C%X<7)*0ZV@!BA z#R-2z_cK&-7F=h6h=s5)oueA^)ya}y$J8ljo~RObi0`b-w!BI~MIow+V)&T?f9GN} zx1I;)`hMsu=Wa&L>EWavg44q)R58QwkPAZoAaTnN{tB7i(WoMpT`<)KTf36^C#ykh z;5!zd=N{!%R(Zf-R%W47v+IaBE?>4CRX+j#-93@cC!L}*1^71|+Zvu?rOP$An+Nu^ zp)QhL@DkpJ0UsnNA@!!ojeO)Lf7AXLk(EU_RBr8?n=tHOCo^gjPTh?yfRFJfdYXaq zSNnl@G_woJnn@!HCdTKcOgk!Q*K2167E~y*V=ACET`O%c1^$5 z!E^~Wm=hl(A3YJj`yjUKzEK3=_*DuGUdc4;Py;AeU8}4+y_BH?a!hufzj6)HxhbV@ zZ@|gRI1&Z0F7&>o@0kxif0yn6fa7XHg5p*c&@aS&hR+_+sIa7>OYADA-7dN#wC`;u z=PVW^iD}V9fz<>t()B2%{{E?Ov9{~uhZ}P%=j-UFVrbQuxdOSvn?Y~+xTta*lMSQ9 z($>qhTdCl+JRBm3_hz}EvcE+02P{fDIK0-!G34`* z00o@i<8VU`RgqmBlkj2f01}GhTBv7NV7LC@8Ri6r6M^WET0;ffITxtrKC*$$apt7C z^vQtu+X?ABLF(^Ne~8+u04><7lEuiTCqj#A?rQ7-iWAR00ndBwpqpJ&73YvSQmOe2J;30}Ikk^_83O6EK44Nkj6jy2wW=;*7(XFWg=<#4Wq;US@K4Ed z&w?e~1}vIS_f+&iH+98RjBIt#a6Y*r@G7a}cu;6~$A1!{v-Z@v zCsj+oj!X42sk+G8y8ulGZ*PmS?oHT-()_8rw?#zq+(3V zy>&Z1pdU8kf1AOLkHMdmw`2V{)FdDC`rS)Kvnwf--^I#8`B-FmrO$qOSld4Ph|zaU zJgXzD=@*duqO16(TCA7xa$lI*6f~7Vrr5W9L5#FU22TpDMk?-diaR71I1m(}nPRoS zni|hb5TCVc2?~Obd(_24xJB~fAwpRL`Hpkw^|mh)e}>N(t_^e~4Ikkd51jx(mdSp? z8C%2*Pk-Q7jU{^O`q7C1K?5TBn@Jl+q0MZb?VGf|1Xn{yVQDxy+1iU#N3i=wIu)5DDKOMkn9>CZv7d=D8DSphx7( zgQL91Kjv`6gG`k&{V-eu%Lg<&+9lVfNOw56e_-!;+0{Bph^^{2sjBp(4}3la#KXWg zZ0KW9&^)H(Y^e^toj5h|Ovg_*_&X0cDi@)Nj`PA$C0X2sSrtbe#XK7Zq(9(=&hV7b zhz2}J>f0_R7%TRfpi?8r2u_BP=s4kGl7G`o_8NW3<4!h7``($*b4_dF9=fOMOHp5$ zfAXWK9ta{5hGp+ErP|+@`KbQwLpK518bhht!rtoj=?AFLR3gc|PF940*-tHV*wx)d zn?IR+zsiIZun4Q+qoi5hCce2|IuA=}k}R}eC#->pP)3l&45QXdOF1?2tk)rIo%n~z zF?mt=bHRShE`gRSqMV^|R&bi&NDX`de+5lH4%|wD-{kd396$kVvsKSS|I`%bHIQP}t~2G~Rq&j1fN&Zr+aPQ@KSNI$|y=6%6dA7>> zH;9$$7;JDOxw8_jWOPEfeZV|aGyR#zvRhABlq7T(5FL2Py5BgyD>Y>#O~aZ=SYPav zxKFA_pxf?4-~o?-Ze`mSl9w3|f7o(EF08?f!MvU>7SCPoA_bfuj%J@Ja%GHV>?T9k zQr$uh2`OVy0+_{K<>7e?i<$ zdTH_sG@p0hAyb{eK&dUQK%i~pP?pBB4(AP0GS1{ombuTZq@Vj^yTZ@FdS8{*Q2e@7 zY|l;0<2a|eaN?wyX^P-9{8@iNxyuu_w_T_vPH+eJ1-_OHBg@k7u66IFlR5G?S>98z zg9_Y*k$@-j5L|6W0#C;ge>u&zZc=<~oE!^)&8c%sat|qM1f{#005@#4V5S}i1G>wl zi-U@bFSAr=&mPxD$DH9BjU=`{>1BS5X4n&`A03LXHHR_V(9cQeFOr?n@7Y!gSgAkU1J|&Plt=PpvO>s((qd;Yr2-B8mhHU(kE3Ft+95 zvMqoj#L)Ive$w@Z)nAG}6CMA_V?H~;#_W9+q%~Xh2M=O3xgM?${^Yf;MbqTQSi8gI z5-oL&`r|@|u24BsJ&F_#BtY<6X6}zMXQ6o=VgIn`10teef8T{X>=vM(67CSWyT#qc z!NU{Zk4+b|a0>cz1MAHH;YD~(gGvIl@VA5f75s@Dx7+D1Ylk#IwzjmboIWr){+Ywl z;)2Kg{&?G`ku{-Gl<9HD{#!7m4B+#Ae(e2k93kM@n#WjUXZldpZ5jj&RRPw&;|{i* ztZ$TW;xY)7f49>XtsQc^reFnKWpPsxek#^p`8ew+xmk`<^g2~;nBG1wJii2)9y>M; zb=H!Im_3KovWbyot@j|0BUN|ie{uNt&cPvac+-d5184l5HPOK#=<1cqOR>k+$Bt%R z+%01JTqsc8(!ZOj%xgO&iWnOfJ6543k}^{7k&WzDe`2Sd+pxpO_W1jk~#ggkpT&f6EJG^8p$B$Df2&NT^XM5>5Z_MJ%hyFMW`3BZ>r$5@3~OqULiifK|A~AI ze<*&SjB|W9$L<&1+(4)djVZqjqEkXLc+7PVrMhZ^64~4@QtKGYyrY+?RtPPOS;#PY zHL$4wgbn7$OHSx0Qdk*X1kpc>@!tTH$H(}La%pL6Bc1+ zz-@|`p?v`fTb0c2_YBpSO&0%(MJNCbJ0r1|#}66s%Ai_Md)KBdus37NK^d}LV1TLl zue1sGCpJSPl!fC`n+XnT5K9DWjscv-VLD%o@=}U`87$MLpU3h$`bqs)I7P}}e?-=6 z=)lSh_zJurj7!`TSgrW}lw^Q?O=?Q5Y<=NABcMSgi-XeLzjSZ8b&!C za3->ny>%X~GrsyT&UYXZCEGv|dq>8fTNtK)=(ir@P^-~{WOmOhRnqjvg^>48AzF!R z3_z-a1tF=$GO<=(Z4S^3+jLoje;*Cla}UZ*qTk3!J&xsPu!UY(SfX-(Cr=!qw9t4* zT-fhrLxY2bY#POH6)$Q8b(aBhlepU$!G=aa3!(*=o9P3ZGcEA4JSMscX7*41#oWxC z+%v%|KORkPIbfo+w;o|grf$^fLNqcN{<53Lkl=#_nu!2Wk8=UM<;e~Te`sRIBF&&) zbXeGsg&2bGfl}h!rSEQD_b{I+n|k0b0N;$Z8qDYI&SyVM!02Z?^hQmah_N&x>d0aOyB-O212BMsEYVSQ8Fnnp3?#RoY zaj+`H2-3^BHjJc=9u7M+Ou{bIpg4)F^(l=yCV({=Q|f(Y-|%kMeb4@-}HCS9VCxb*dSqj z!6n^}Hdb6o87}nkh*qO#i#MsLeR=!}7IIiRmRZ zW73s2Bojv%CDFiie}ufX=TRU@9jyMtfNP4hqZvAb@+qE=*l@T*LlLjIkO+;6G~-JL zwdE6U(~stdu>PW40nfH$dA}NE&%uFPWo|Lk!vV)N9FA+wG9B|lw9LnSkO2+AQ)D_D zHV(g+?y!p_>Wux}kr=7q%7SEEw%IrGfh`-FwvwhR@V%nFf3b4pw;%-Jj`_n=FR?qM z5xiN@;x!KZC(`+lQ@235-=_G!6d zq#)m?C`515hp^jP;N*Mn{Ab)C&Am8MLX!nI>+ucVM#N=!=>7v?A}h^WN8w>bGj&Un zwa6J7TVw3)f8%)8BNd7ZtVo|fY{yQ4(*I6EE!g3W!m9k5KPz}45S|wuJ}?O|+R!pa z?8W!91FJ>xzT~^9q%;Av(W{Fi&*R(oS7iIXH*J=bk{robMczMapS4@)%BK4lPLv8A z-*Qd)UyA^*2A>}$&j3R#P}k;XUz&G+iX8_q=0@D%^I7y ztx}$Wk&m+pzoS<%ek4bBp;;*mIVmngIcL+m{OVYx%nBVmohf*Mv34>ZV zu6<{{wyEBEiai&PJZWKI;RL-`Zv6Du&1Z2*fALYz0+rgk%Q3z&u7R9K(znPd_R+i^ zF-YMcz@b|!$1^P+;jIyv`V;jN`KNTVBSi+yTQ?7a``$Y4lu$sY zHyIi+nhL1hzdQyRvPH9T9NoW2xTGl9Y70n(wk3mJ{KNJ=&Vgx`FZaAq8E`6FeLNdM zx(|(%ASTkkS+XZj4z8+U=q#=vZU7NJe}$*+lk_iYBx5R^q6H7}NPC@2>^zSNv5!85 zlB)YQ{D-B&QLg9#=tpr9=Bic!P}2EhPhD_(R~izL7BJXqSWPvrVdauq^)n6i zx3x(kuDBVz(yk>wW>)|lu~Kq+f1dO8Zc8F@*KJ)KC7CL&MF_{mu;= zzrQH7i+*WHnTZyB@il`5Vb5mjmQ>YA@;uX;ttF4&&`Q)(And* zO~OF^ssGS}Bh~x`!dbo8Kci`jr6o}CJkXcvlTe1h{J7KnlPp#=f6q0(rLBVy z)#tZ9HzW9?Dxbf{XLGJ!5jR6A%y}$^{;|f&@m&D}EP=zEO84E`n3-HLz*qsA<^1tA zKpKf=#eP!2!-za=DumC?SIRMG-O1fyC73vuwilW%rpjfCK`?G(ZlS2kWABBDsLD{vDTda^c>RoSic| zD9A5iJLvZi7T+>0#0^fo-?A$WLBk1fkRJ=9kn)Mf;n zZ_@Rul8rb`w{jQoULIi*Tck6+pDG=IThXlbIt2skg=9q^vb4OohsX(UwBQXk7ew2m z0?wGc-_nXO0UfcOaB=Bp07%6^+#ZnOmCut@G_FP6e+s;fWB0ccs}2G!wX zk%CXP&>{%4i#3CRG*ptx2BS(tZ-jergT(zFcSf3+{c)}UP0kZ4yO&mCp7mJ@wHDhBWyGw1#c3!G_w zLqgNP(G-3g6^}E3m`iRYk$9O)qbBeDK>~uMeq=%X!KMVGN z-Wu!|g`@{ME(k$_2d>m6!T++-fFEpm(-VRf^`C)<7ntqifdvjg@5fz%4RCkYsj_>i(LP5`!~i|6K;5}`QLg)WS-^lPFpr#-BFTl-t^ACM>~k43j=Gw zuyE%`8&(rK1SOls)}RS=6ae>{f9Lj$MJHC?UfTq301gL!vjfdm+G(JCIM}D;nw#JA zV8MKSm#Icms_XH;N4WB#tB4lppLHS9bRkjQLa*bbIzH$FLFRz?`C+tro^7b$gS)M( z2PBJw0$}3%-zu8`e;z>LzgFr?IKtYN`k1gj90@S?EcKZjZ7cmo31{fs3nOCF zL!%1u3@yUeXVxB>gUoB|JLBaDE?L-_ET#48B*1EgRjh%EgNr0TNSU#E^V%O&*!Oos zablU{EVDxFh|QmCD0HGwBbdg@;Im~JP}vd*{&$kb^WzGJl21gef0SZgvpi4-GzA+b zYmjF?u=r}{QADo~n(N6^FSJTn{@pXP+oHj#SN>VVyxh_d5+{owlgM+Qz}Kv^jyU7$ zD}4G1pDh+yTSbYPxgp#2hVZ!oy`Aoe;X@4{RlHv7U$fzsnipA`+g% zLqq&h6)+{?99)|V3sTc+D#BSO(--eQ%+Q-GHyOF4of9V)d^~(BdLc*5w*0Q88nT^Y+4rC{5O_@US90!2nST%r&NMiwOVe}{8F?88S7M)bHY5}g$4^Q8o} zx3i|6T*FT|^X8|2w~*EKEak~;`UY9kf7dd(m69b^6FD)Q{cyZR-M$R+XK}1YH z5<`da@6l|9L7J4?X@~(IaD>GL%|xYV`ak79NXpvHre2lXZ9>gyk4A()zwn%doEVW7 z$$iYFe>~F@K4xFvJL!dQ3%QkQmC}#}Z=qbRSX^nM({W7TEwxBC^H1YxpgXg!?YWSS z4;YfFYA~-<##xY5;V_QMy=NB**!Q;8Y4>d^!4y@W4KaBS7vI9`|9yG(fZaWNYlo0N zk%Cs@4BUbfxF|vj4V_>`&TlTcFaB3sWJpLlfB1d3!)^&Q5p6!+EH}W8j40`emF8a! zD)WttIZlL9$Ep~2?I-OgcRKe49TgyyABLW=1+-|zZR#wkuXe?CgMX&YUwFSx94H?q zRJ}6|n?7ZIT0q&pGMqYHgtiVOFci`Y|BtsY*jJQBRFj@CY^6ATMuX1VY#C?5cxeV8 ze~$Y9=5eIlfn1t0BfFa4jJnoYX;XTd73qatHP)Y*;(GC6U zasLp`n<@$ZpG5lZniJYJ&o5pT<@BLMc*SZ4k77KZCvbxwdwIL6@a$R>H-F-wphkDD zRABIN2ut=f6sZ}ERhZlc(YCd})AtWPfBb~58M>62P^yja3Xx!Dvp<{6!lZh;1EC zK=a0eZu!(+L*q>XQt=B4mrl52*)myIG4XN16E7U%B^U7e<3n}Gv`<|>AdeGao`k(S zbgQ!%t4d*)8{l|#7h2zeiZed05k@51uOzGM4549V2H6)*^|?IOTuw4lkkG>t&3egf zm+0{7gKnck$tnSb3cJDdSfY9_f0uW1A0(eA^u7(I{pVDx4?g3LZsw7gplPDG{LJMU zI7obeewHW?)dMR;Z{(Q`%)l}#U5 zfHr927tDQGP;$6)E=!%5b6#nahnuubik4+T~=(aCx6w~i^&X8eLYWA!u^dPp@rb6f$#9#XGj0s_i#f7 z7Ce2DjG(TzASe=bSD%i9M&5fsY}B-(tgQ2=H~$#*Lp_|SC?Tb}aRR1(M2EIFk*<1Y zo2U{PNl|1}j|@Y=HUHWPGeeygMSqu-3Mm4N+>v|!?-S<3Gkimy#64 zR&rf7%Kt@zI5FSTGEqaPBtohosj$>p`!#uVUHX@(;rakf0PbZur~yRLEH=~n%ciif z7sgo({M6;g#qgd5DdcMyj4-il%nL2xTqj;Odct)7ovA>FUP$7k5A!ifcV%4&C(}3zmd*b04i-1KV11gUxuu zahL|~fcqP{l6P>(Nq-{xbwYz)hlBLCp2{!>xs93ciD~U)3Uk!mfP3YJXm&(#-T=NU zE@CU_*`=W4#9E{AVG06xypJ*s)Rj&E*XOjCvF5Pnse9O|*x=-zBqA{ONY}6mSLZlZ z@PppzJgpljVtE}@y;%EQzgz15d_tK$(3n}hLJhA_PZxZ8Prx z*1a=6m+Dt9_z?7dupEVfk)5%-0rL7Npa8yaG!aFDdS#}1-rRh*x`lvZ*ej7|3*a@c z{(i_rO|%UYeSfJ55~dYh=Zi@O;U0OUi1iHbkJZ?cRMm4;*EXA?ZmNg-(S{-J3K8F~ z+U8l$g($NxJ91sL@ST8YaZaoBNQ#Mn)HV%JT)CFq4nU+SX|u0+ljB;U&cI!$J=mT2 zqo{>R08CnmpY~s%bnzMEM>M5?-U_7|Vbh1RKySuS(SM(JX3a}U{CZ*rHwzQ=_^BDq zmnfe(S;ls*Kd3gEBwy=$dSm^m^2GWVvfb)W&JIcBg04}%f?Kwng!dgI6X}c_NPR_Q zj7KKrA2AYQgu3CUk>Np_@PP=X(!jLUPO7G%mR_`uahlRE?C>Bacj>VLh*VOiu^{n0?XSvLr0-V9tgAZWn*Is$` zG7RPQb3hJ=85s*&#;mwh%wowSDk=|mUx;m_48ON+eAD{9LaBXoh`B%9Omb#ZG!;(3gBN1l32x6oCIEY7iy}H2A z7Yk9|js-%bx~7%k_pTqsIWe*aPPCx9?gQRS=os8pvJ~l$S^0$5kS84;C|)%O9Vn#i zVSh{p8Z|iQ9^EFb>tD0VL+F9WL@ROnMsJ8kCBPPK4OV#zZk732W-&I2tYfRMTb$O$ zh*jz$LVyg>4nHsxqgPsu7OMNTg|eE=uy_tHvHqou8-GO8kO^EfCIcH7(^Tmi5% zoZf();?)A3mW4Y!F~+4&HBbPV_FpwGO6jmMJY)D=UmAJs3P!z!pf)nb@I)uZS#+ct zz|!t3j6}i@!_@l{f69q!xDkQABPb+vcB5X{1a&Ak<->bLI47e|T2*cJ!GGIMzD_`lS;Ur)A*n@4Usjsox*NMn~TK|tpEx87zU8Vun|)1Z5Ht&9PBiWKId}qvW}12 z^{#=vun30AOx{})hc!%upQQw1+4c4G^6cmO0MNWdnf9n(#m-BYu#y%t^UJ$^NOk8w zH7_bAq~<4!#m0(5yeA_)EMeR(q)Gj4jpd5Q)v@a4Nx*Ks^iXl7GkL)%2!6c3zI~ z_g9+*o(^s2Y~35~1*#H51WWR@`C-{H)=X&%=?#P)pbUY4Jg!{VlGninI{2y<$EL+R zs64AYwgX`Od9*t@@H z6(6v8|@>8T)rFkTDf;BrOndiIemKrHAqxsv-L+K0#szC z@sPeAIdeROgXEMN;RkWtd59ed3Cwq=;T94z;`ol;D#LxoZC!ys+9dh_2#>vQ8N7>& zUsQgTqI*h_piPr$QT?Pz6$?q%8$EYjKA6b)1v{S*Ie&^fjjPc!hvsbAZIgJibNkl; zOGaUZ2*uTbVUMjudlmK*gYSjbl(L(!0Ju|(v5$MgVq5+LxzhqnEXe#2-oqb+PLEGk zbcVzB*LKr-9+`F0w9<>-A5_h>n>sbF1tw%qgN^(}s;y-S9ky%MH?Kgg)?qUr6=Bi> zpO(WbNPkmQwE$T|3r8WhJOd$jmLt7s%N|dnfR5v%z{l^6C1*aJkZE}Z_LVFAiN!t< zh81la4Gw6z8}U`o6`(_Q*gA3NnkiIFFUylFMRD_U-OWK2VFlq`fv&a9Au41kTKPs;*!64UD{g}%{=y{qXuEBh@#zuMY;n^>bUb-Bc2wx;k*xRKWho2S^|? z)4JPMcsIKSuewBAf2H&#fa9H5gntP|7kq*<9QC~*%Vk;(^Q8ZWD;;aMzgt>s{2(`b zf(5*-i3JHiSrZN2WU4UQq{T~`RjP)Bl!C=5p=|17P8&@ev0$P;YLOCpJ7p;gDao8z z5>a6CQE(#X;QX?29{Tp+msXc9tW}s?M2Ur5&8Qyq)@sx@u1Vg;^Vqt4Nq=d$NAKqU z#vIyPQ?R9(Ys-OUcz_uXgI#^{Mt-vb4)_7D-JHi?Z3v$y#UYfgGp}C-kIg2GtET?eQLFK z-h;uzK-k1*Ho@v$142uLFn`J$x0bK2T$Thzv!)?b{Q}t};x&wj*3oOyYK!VZ4qUA~ zqLNh$fe5DExoIHD7pot<~7)N@YTGEm-`4P(DBtLveE$JWv#7tuN!Guj6=PE)oX2B1kerw6PXyKRm;v!{dUKY^ zOk@fs@k(lYH8G_HWPj{IWF~3tr%D6%;As#oKJK#F)%aWnmJTyCw2o^cb))5gi5&A) z;WVMvwbE%KC?$h#mZ>Ln%kUIlYw-ghGWy(&=_Ps&h68^CUG}pnvIW-Y$|Q%(aNqU; z8OQA_Z;((GXX<@d1Mx9)Eji3l0Hq@)Jlv>b8Fd zO*I?K>y-Ot@1j50Bs9162izMvAm(&DRaMtoCnn0G*B%oBT>IR4j1JQdF}cRObUU=2eJ7Gau`Cw&1=g5r6kE+3%f$@jei>YfmkdpIZSi%-yw8Q&c_2->K>48wl~6I>pms_Lfvq zKWGQ4zYTY%=t}Lrz_2SKGcmjC(R32twGk*Zt4`z|oHZ=?*w)rK~$~Z{- z-_rj-%jcdTWyGzd!BH!**%4c)BNAh+x@Uc8J@Y>>s(R7W=9P@UEs@+#c28lm-DTx{}t=TIBmK-eoAWL;R*AK^bqay0SQNclc0oQS`%sKZ%%!P3j z6hc_{R)62U;NII)p)}Gyzp4ha>Avo( z>uX|qFUij!YzdjK;WnicLO(3dC1 zBF<6fd_mwaXb}H)*5YS{jm@N*bNQ|i0PJ>~&e!tHNvh8+MVZ|?3>x!3{b#YYlV?61=K*mk?<;@dp&{7%%A#E2fgzdxX*Tc<9SuCH{_U>?=?bGnVUp@oL$K~Pf zakm^bUwCfx4*SDS-yY85+lAVbO^CI5-@4;ndv_OZNJBrSP0B%3_5V8E~Glm>B$$!Es)5dML#%R8VNoSUd*1{KOx(hQ1Dtj8m z15UsXJx^ZNWnuY;&*jmuv?dNLQYH}_YRK>QWQ5x z>}S_osg*zA?;|6(UoD&>@%AurD~W4M`JB}kQ(kD(7N<+KEo&GA~lhTJGgTw zYz?2Fp$@!x4A1lKp`4p8AG(){}{j-2EuaduxT#h9oQqxEyE}fsFHXREs93DD7fX=|(p z!J2pkVp#6}UF3?4|0bvQ`Q}hXbC&+ElM~pc5A}x^CUboeymRo0x_`q0`03iSKj~!! zP$~bzUygbNn`V>rffu=g4l*&wA^z3Mi#+zccytpX$DU`Od~On>G=Cgdgj!`E+n|*eI1{1+>YOPgSCc*k)Xk+qm&ZU?s;)tGYlT^m zG*V>~=pM_STzy9%rjolJj*e!mpjg-ffI!rWWs6I(Ag_z|-M(!t_zOguQdvby>a(fV zX^$xKR(X92sX4VFJHOd)80JFa4SD^0CvJ?6*;A^ut^yzte19Ow$Ce1E`iVu%iqIJM4LtIKoi0FkhW7sA)1(AO0n(#naF6AX1<7;L81*9Y|j&< z`|G5n(%p4@zJJDErHx@o$Ne8; z!|2siIu@CgNptTg3Ay09*B{`+D3bz&VHkzT9W*v3FM-O60$w?>Tkw#zf;Wx7Ta-oT zFUb<$32LeIT8^&kQU%kbLy#B|g&Inr?kdx^IUtBqwtpHf$jzCB{X?>tEaPwoqv)T% z@Nw?5bV}Q8$Zm;dF--H=bc?5mQ$zi+j=>44(C)Uju`tYEydM!{rX#)kGoR07;0P;3 zKN!$ce3?Hiv-4o6CV=;5!y3uKIi^Yw+m%AF&fjMEUZQkSz9Tv02Cvvwf-IsWO;JP`E{dCgx1luGhpX*ela4alI`^R%vv(?3S9j+lx&p+pD*J8 z--#-=OVW4>_ilrq55|5Nl|(PWL$EAFi^elJA~p4a$X3VrACXRYg-kC|cnhdDpO+dP z<(eP3%8`0`!zgvd9=UW3xpHamI{_^1ZJTA0#eeZ?(8qh`HBMc<~BhY`u^iN{n{8n+iy&fXQm181*^z@XKo zfsHvicfT+kv7UJfM@>8V`lUhub(fYyX3ZboiR$yHGgwlJiR;lAo{IhIh?NBt{W?~q>tHG{Wko6UkKf=2|I_Z4Wg=& z2$sLZ>uHP*oBk>z;n7( z`Ft)cnfaD>H3>t-zUA_db5$E1xH)H8zK7el8VIYfXrM;{*>!t(mV3%Z)<=E{rO`D_CWkRp;u-9Ve`@)kJD1u-x%!)3dO~i^A5l!j|$OX$E zU>Th~5+|Z8@M)49muV7=&Znl64u8&jDG+IJ{22%eJyRx9p0swT;#qUM>ZSA&<<2t|sBVgV$0?rK!V^;>B&&E4{Mi(8Cep$6WC-p`pV@PCg7Sy5@` zHE`Nf#2i}!k-0m+)}~>V$#}5`h+Aac*Dp34_Ewz41o>exCKAyd zwk1{3naxS_QC)}#e}n|K8QGWlYk*0;E{g__IrkHSaNUHN9H9PB0P?%@zDd~}Zgleq zHdJBHP|`umdVQJyUT%vzQGdFG4Hmj0$EAw@%gLl=Du#X5e$X#SZVMTGBJ=U}f{)w* zUyTRtGr-8TO%1b^Y#EP6!g;kpvx zz1(*YY*mWaT#3vnF2-c>%`2O8B#u0{#36;N{&FPs4&`taEH;D^1�mF6!<*VMCxY z-Y@rt-9bZNe3@>P5@g#8AS^^N=KG>2H;mljW9K(4rL=kkLnWF`^k#fq(gIkd0w6?!?GlK1I!jiFt(r)`Bm|Eytg%X^L|Pob(H^kOYQ_ zYslLBNwp<+x~V=UzjEbH|0zt&59hC=o*qs()mJer-)s;~f+DkAUQO8a61)!z7{8`M1f@9yfaoX-!!aJkgfgAi;ue=P@z1W6lv#~>pV5ZxGOv(oN#>8fs*MGsFFlYFPP#90J#Py7fe)I`fdoZ zEDBj8nimWi0e@{4+apADihSfN=G!1|o=Y~zM-t=r`qUvi)lulp{Ok+L#uboLjWBDFQWBmY5oapiN7|ZbLrqict2&S9MQnD6}Ojuu>be^Q9&3aFE>Gdvj&$l9mXS^ zI&Zmd^j-*-Hpf*(2TURMl5CC8IxxcVNfXhJ2*8(5VdP&;I!ayEcW6U;jRdqyGK($F zu1Py(xPQPgrgu-DD$7s`VI0(2|jkp@<*0sp`; zMsCkxi3({>uf1wdT^`TNc3z&OxB_N~28 z#m|||jNnGOZ3P%J^?5!Thdok|-#GK=uE2+l(*u@-rGcA`;yC9Fyjww1r$-`=o~}TH zNxbAMofN(iz^gs(R(%2QBj=1lxP+EQE3+@-m;LDi<%PpA@Qzq>x=>PVA1>c5}Hc^ByLpqDZm zj1ZIGNu-7uc8BnYTx&iri@Pci58K`q&xxxpCi1DMCk?T=C1f)_<^7 z#!8M!o@J@?z+eUN=Sl;qjvdn7a|9Re&c3H|TG@o()$X|^^nASPeD&n%KGN`LReqBEr{9k?IuQ!rH>p z;>;=suZ{TiG>#yV$7N=VdXByFeSb#l}RXgN&m53?$RP)TSzDI+m8@M zU~Tk(gaFzk+>K$b#7-k0De3@wY!AQXDaF{KxVT8kM4U$ph~Kbgr^Nx&8>d znK?Y9a4bw|`hsOH=kei<6(?3dn$b6?>W`5R{0SV9#a_I@F2!i>0t$%3wRayH4UM`J z@Pi!N)30u+;-rsPJhz5$UQ-)`Xh(3$`HS%2ukuC%6Ohsjfk z6B!-8Z%tZN)E-urG@Dw9RF9swoSaUKK#5TkeNN_2^h0xuG~kTtxujQ#a2)zJn~~7B zkUKtWKN$zHAL7AI0vkZikcDwN;YrRw&7$h5xbGssmLY*gm+YcJR537|#{K}2omn=O z{9*wUnZhiiAd8TL`+q6!VD*aA7$Xj|(1W`m)UCx$h|=-R^?c4>1H>xlJq0^;>Z*7( zE@fK9&Pu{w3)Vl{6229qmdrwmCEibK;Vow`B@Q{Ok;(Zwvg)llQK1}>co}p{o&(el zb94fLQz}fNuke>>1+SQpnD!cWIM{H{USe$W9{CL0wj*{<;Z|+nmHo(M&B)S>H?btkdO59+!NOSx6S`RH z2Gon_2@k_GOWBbZ6Vnx7sv<{Z%pUaBxusA=1E6TX*Yt`AVeViKUSX)0;TIMdf29P0 z153sm#T0m{vW6~Beq^nIBC-Zb?Jkm_p$mW&ncyI(bb%%9$QkluY`TeOT?70hL%xd{Q2}w(nzFx~+tVO*&naL*JYG9ek|zqz0A|PNtr%lUMmTe^BUNsCj>G zI!IQODb2IoERv)ppKvD+CP& znb`Q__IfZcxvEAef%9aNf2EpcC}fer0iUxfkI=1+`TObwQ`-i+A-U; zRGGg?7JRWJWr<$rVoBT*zxS#|I;Am^I-b1D^{8y5A({z6$ao18e@)x)xF05X+f}V* zk*c$M&O2t&#U?yvL*PMc%YtRkIiW7Aq7ar*8~3h8?gNLZtM@?Tgzou*cKPo(M|TB6 zko0V1g9dv_SvVe20mH1Q`@R^F-RJdB=kMGMK2ENX=QA&}?9yW&iL%;3&AtTP%11M^ zEWgB!xWUjScsdDje*#&9P8P3NLx2YlP#~u=3C3F!i_&)o<2yYPF#nef2Nqv!v@33f z_cC&!B|;ME3(84GRs7QS)6uXP_O%;Gtc{*Y%AR5 z77WBHFU`>jRD%SRDYgurRKct;l>KdGTD zR?xBI#u3^WDC7R_^mAf)qC1>PDCe1Gv%ou({EW3A?KUkW8=Qs$&@tahHyBcMTWkJn zxr3MopOTM$e;ls@NBoK<-1=5=JfFfp!BigGDHa0*KyRV2FA^<6?+ZEDcdGZ$zl-`p zY?Qagz4Vizr)J+MqP13^>2zFZ%C*7YEqA-S4NSi2XG}GzG>YMCWz4G(CTB006jsY> zQ@f+8S|E4cEh>-O4M*j8`8zQBV}3#X5GzPZKKOY1e`a;N)uG@g%i|s!KW43c5$hX$ z{{KdGg^jeK&e@4m6N8pbKZYi|qJE~_m*@WQ31D`yf+5{zYnw@ycU$ic)a|GT7u?$I7;E($RYG?8BPvDw~kf6pTanJaBtz_n)pVi+M&sAhwZ(rUU+ zikfd(6iyc!!s#`#Oq(QDq=twsI(iTulk)A4&dr zMJDT4;tfzWmi9p%a1a%KYwl!|2pc2Ckpud|LsDP-4RQ0$HP-2k2yRF#z+f)vaj(hI ze>PL2F&(BOiHC}HW`#1gfO>ad|ASe(bFvgs10w4gFEN?E-u*pX)z_W0Exwj}Yi)0D z7KIs_=+%;=U<0{ls8N_J7H4PN+V~;Q-dU!E!&}^-llICY}r|@ z11~E|8zQrkSmuuudjUZynN-moM3E8b_%@%T?gE|TWL9#f%WqZG6)N8uT;`ZYh#n|j z-t{AqEdHy9h=|QfDh}okgzwij3i1Exu}0N*lv|AN66X@fVghA%@fAkO(eAWOe>r^( zKwCqy4l4SwjBg}XWtGVucxgY!6cXrK;|WjUz} zoM1KLY>-ZZUdjEbPR(li3NWw(E~n@xe*wpgLnx5tKEM^_+f)QxUDl+^f3I~4xA`{X z6Z8>*J$tg(E4=w?`ctKLkJ(u+H*0$9oblk_B&pO3;EY^8|Qw|6Q9a2*<9r=qc> zZt0-Xu922FN~c04(OB#pjSGlu#HJa)_(QyNqus>zL&V!FZK*tjR=G43mn?Jw_!_5U zMR!AbR6RzyTFe%6C21A3#&p=YI=d->f*ch}o}@_{+aVW}OypI{e|-}M*m}h^tMsvo zevg?`3iBLDGy8o=_-ERb6wI^rEl5J^ZYa&j`Uzw>*+(x=)S@N>4=saDjQM~E!`^l{ zjQfipR7V+4zkj>EsGI_pAs<3+LPG~R%wuAe{m6N zmW(slWJ1zAXhFGte}^k)zayc;xRkF$vrjc$Fo&f`Yq!-!0q@hw2eG<1_~$-ib1O6c zBQl|2{Yaj2%lL#Ij|>_4;2wR2o&dKt93URK^xL&)$IEJGpltBX@o|A6`#NJts#V9y z0@)O-*z{|{=15uy3s;&D6Q68EQH;n>Pu{|~VcZf%IqH?Hf1XB`hj<2JM>i&9NJEkz zl|%C+Eh~OtZ4o!UZa{IumL;szV`vE}n%MHqdvO$$wGTIP_I_JvtIa+dh1NtQ8R4nb z+tNTnJ{|~n@L+K}aLY^ijnX^VpLe)k^jKXxIhJrIsKWsBP603%UzLb(>=?bTrhN@K z>3rb-He{4qe@j9AbKzV@7NF}W7uz74%FIA!CQTlccE_mkD(lgzeDfeL5wQXd(Ee^B zkX#Hpw_FStHlg6gs7V8CaP?F-2BMA%X(O(aD#bjBhcYvW*|=TXGlZDFg4mfGX!#nFw$s%;-{~T&C z(U9One=7h=Ts%As#BoZ7lF>Z8P8iqZ+AVXC+5;gU8ne+*maQ}2|4s80|GE=Tzj0VV zh(_Y1O9dDjAkpCm=YGDF^c2;6qT$i+3>%)9kiM0{iJ^}gE_`r(zELy-c=(z8)5*vF zO)EPF^p7$WEe+k)s)O4ts{61qA)hk$KXx~me=x~3^JLf7ag!z;v10{}a5hmkX1Wc< zFnPci)$AT7lyxBoOpl6JS6S8|H-u)XDw|1}3y2c1@ZLUl@YXxIk}atWKwk?y!B<=aFlN$u2hiW+-W#1Zv>wu$Pzx^{=!pVmr)cN=_~+Uno00h)w}mJAOx zTHTWC9`>oR@SWgPD*s$UpDwrM>qS$J2tONR%<<=d3l;!I*o zuU5!|y3-gwW0Q~gMJGwkC-}E_7r1(?f4d%h+Dhg>6ac-~ylg21aReXnp=c!P>rpn- zt3P9J(0)=<_)|j3s!ro5952t~JK7dqo@fK3gW744kzP>n$F{n#Ek9yv>%Bwe$Y)l* z4Q8>E{jt$j@lllmQ$i?+!TK*S^8&O0szmbQ!WR#C2&{!B4LyM&CS#ZE$B&D)e^8Ek zt(=tKHZe3fZtnZ|RjIVIQ`c&G;DvO4M%|S(88rXKX1X1C*3wl(F8I8{2$!i}bm{nZ zUoxC7KSGMfd?J-5`#-p;tFB8d~CD zZr^SZTAm-J1Iy|?FA~VuWhw^Je_aETiXKblji7a+Wq);@>X&o}ACNEJF--4ZYhko) z{}p5UTSNW{F$17c zef})k#qqk+yPEmRQx1?d`7Zk^#EYuf*8R8hV<8B66I5o z%mwZ*EO`#1K(`R<%;h8>+dAWj$X?^w0`?-qJXMMg^iqM!U?o4YxbTin<8lPUN8Sat z^)HC*nl(-#g_?lEhrOM# z5Y;pYd2T;4r<~8B=i@cff9el(0GNBtj-7Jqt?Wf&7m_i2$2476W9`RYy@6gqz02mS{)uPEdK|W9fOEzuf>zZgfco6D~<5GcAW%qG^NrK$T`A9Z{QM> zjZ2CdNx^x%wKJ5&@6&GX4Kldcd6X^MpjLsAmSZC$cXvt_X@sbQ>8fupVCYcA_PD2I zxCC*apmTqYf7;0Bjbnc|y(hiGMk~hLTJVOjW3%qhD!DHHBFgl$GJvHBPi7|yQM@lN zJjyRi*Vl>*IJCZG%+#>9D6;St(-SY-kz1&EP*PDRqgiQjf<- z2vral9Xc|sB5tWJLp|5(%?bwzLI>C0@{7aaX%wx_e;e4=HxHA~fZiPVR(Wv@=zc;4 zDlr`MW`Gz5)&+Ra?w2d1d{327{6)}HcM)=DKTsq>I4X|iCy;&UvjYP7UYxTp*2po!CvG22C z;;u~de@b>E_}4k@RGKdg8xKPyt%%xWOqm_*U&uW9(&9>xB{m6;b%N6xzp&}yW)_9} z|31;nNY6z=GM|4*rdjO?m7q@?TF^+FvyUC!WaEW2fAwrwk}vGJ$p3=((0Cq)Q&0qY z#TUAL1H_+I3_VA? zhwAan_EIZxyxF_%DUjTn3GVGc!4uL_$tFiKwQ0XfS=bgN;KYo0>ug+#ETopZo&A40 ze?}<~P%19F=<~yfpX{Go%P!8hrD{`%4`Z=(*s5jr+$PiunzDTkV@bxr+FDmp^CbH1 zs{+|>4DbV1Q=gs<(;od0&8AjlP!fW|t9@(0!a*#Tah(?61K@udj_o-fm~B1j>l+xB z3ac7b`E;ir@DDbB)ekv{Ng~3PW`d9ef1i_Pzg9ik(LAp)L+NG)@Z3pIOta1$yoJnM zWkr8_CB%^A3$5iYCG17@I2L=KF~F3Gg*7D&h1BMZa>7_In49Ct28QZYe6ILIC_ZWyay`CJxCG_z(z6p< z)mh$po3Kejquh&Ul7*b7DGfI5fgmwK>7Fb5B}o>`Pkk;JI7bGsoc4l9FbR zMH!Q58nw;?7To;Yk+7Vhk)MOQe{xrKVeVy_0~O%w!pJmDzmA}+sZg08iA9}>=Z|aU%sPXFSz*4eHa{ZwLv@$0TxIDNjP#1 z%$(XZc{4W0z0^lYsx$W{Vy~>78oD}JBOxoDV-HGq^>%qM=qZTeWyp{ zl;y4p2gL zH;#hJ-)eh$vYOR6j)g+db{I7x$Sd4ia&Y_DOeFRQyQ3z*ohZ`cFKag<$>gh$)y{YM zO4)A*9g4=Ogxv;UL*C7*YqPfZpGfr?L*Vr2Hv4?DTrEQl90y^+Il>EG!M=-6Ud*+} z3A6(|Z_{lKFT!ide@2vP9LTL@JF$Nvik#((1*w?Yo5SzpD+v|YIpX&PxaORqUEd9Z z27%JgVrRmsjQJZ&ud?O68}2TqBcV3QzQTho4aN5}vn@`%%{0i4>5!v3xutq;pVVRg z$UpS#HQ5Y3gPj(e z{!VlrSTth^UT)3J1t$Oa=kQ2y9Qw8CmB+0Lt(Lo_vQ6Q(_& zb)5+NpQ-dH4S#V6x;)X9V4%o3o-~qUFPf<+IP^TiRI4ShLkq}~7Y|>9DWrhmllr@e zD;}(^2LuQGe{6mW%h>1pN*1#px2L#Vh=Vd>Snb^};Lv(L=&CsTk$3fZtV7^a7i10} z_>pe|-w!Qhe-Mb6{M!@M1`GEELymTPxk^szO78ZT{??Lg?SLq3%jPOw{+p6PSNJV_ zln^|JvW(exhz>v1{*e_aJ{Ugj(tDp6&;n6wpIi7fe^v%*K2<}t9zuA8<-Y$b<07@% z%-15JtUL*E1LN!|i7&WjdOJkb-J(v5r>@2)qenlagY)>lOgO{v|2=#(#Nr0h>Fj=T z)ZeGCd!hwj`hvShquefWW?Y0>MO4i{p>hypI<~bT7deA~&7*~u(n;0n%Kz5BXJh<$ zhl!tke{0xAOzgiL#lLRC&&6Sut25Lp)>Gf%BFJ1O6aY3(@qYwk(dxoLFSXxQjL_tK z2vREYf`qsGg%$o&#_j`Jl6TuaQ7`_-P8oo_s_K0v6K$ez^H6mo9d*X+EBMF@As~>m zk&~)@^Xx`X&Z1Rbh?r8%j2LG;Y{YNTuMkdZe|!9>KR1dZxgv`)O~P4WQv}bUS&@_G z*9Y*xle1?q{HLwBoczn7kX2!r!SM0OQ7P zSFOxeUT{JlP1y>LCw4G}W(>4Fxf6y1aQ#Lm;cp~IO2IY57o_DD#UxMOGePsYeh5n} z{)vI>Zr4mBKqOOLIx8glR7yc=HM(Ome;Mmt)l(HtGpur5c3xL%(#tj9^v0_Ink$@- z8o_%Vf-2eZsrd}?#DqnSghZeu-gg4ncLnPw#}q?KR0dZlhrW5UX9c@VN};8^irMPv z>#KJgE%!(2Zm!{w*O!$8xMBpxs^rQ;U*OnWpJ?%`EfU3|2mplKaK!P!Md<_oe~VNI z>%!Pt29p@)MiEw{>Pl7C|;u-1(Kk979RgJxl1y=NG_&b$HdTvA(Is3 z6!ZY=u_7Q!o2Kl$7W4@peq$8Xr@7cwP|!G2&tl**Bb=O`tzT)ae~Ocr6f!d+1k<76 zq3pYWWA8N4?9rNX%9oKfP21Y= zn0>~B0i#wZTrV4pkw0){%&4*`4_a4n;eNICTux*jSf>{HFaUiQe*rlR=X}Dfv3BNs zl?c=OP_*V^K`|S1C84{2XsObfj%z2!pBHDtF^p&2V6QWVUf6dd94ROf0v958iPRWR z4M52NIY7q0GAFau>p@&Bc#xO33)?xmQK-Ngzo(hbfYAK}Y*x?B-FgCxY$W5RGO1RN zY3EQv3{Zq)J#(k%^tMiebbqbdzRwDQ8Wn2tm1SigKQqO(kg8FvK?xieS2EM52_&?r z#8i(r(9ZChXjZVLNO{qFhRqd!+j5-%-o{?vqSEUx^tG&Pq8AgsJZdSgwN1($8YwpQ z0`%a%yqMr9lEYLm0F`6N2B@jNww6#XU|O3V%i=hA)#@T~=Is5-nSXj;`Kjmo*iNQ< zGH`S935P%mS-TL4v>HzzDFqhpBa6Rs~*sp%pS;^b|s zU9ITjU7~$?Bsvf6_F|JIh2%`>tvrMSjojuNCw=mfxr)s%f<&I3n>XJ=h`Mg=j`@b_L^5vR zYfS@%0?_UVF}5R(ue*#MQPOvWw6De+WZMrriumx#Z*`!+Drwp}KquD=CE4lP-OLc$ zoW;4*z@a=`EPvgSc3$zAUH>_WGBjYGq}q(H zFJ*F{(KlJjoOk^CUXI>ciU&JqhOo&4`;x<@$x+Dzj1wl2Ht^=gEckg@z|@hH3kNPmIYMOn?@8a>f}ti7;4Yjz%z z^M&u}WZHuUF{sCja=v%@0;X_14Ks($_9+)*znOJ54{ZPiHw*-TE4&3fEm-m3D?gkC zb$kg!_E9+}P>xvwI)AK({z#PpmZ5i;rm23q&zmwNg81!dh)4L?(qm~QpBhzDgS_j2 zB-(#sKYy`O2$)8?!D@!*H0(vF$`G|~4rF209^|NE=VmM|Z+B}Zv1G*xLu1psef7Rx zg00W_8QR3HR+o`&{pdQGUAlxe;)7Q#rvyHY`xMax=zj0hQk+$I-C~*Rr1HLTcFF<< z!5p8Aop1;Kk)(eFJ(o8#?ZSUHFwgl>7UPf_4}YjNtW{vwm_L4d#;!~7?M4$8yIa83 zIB)Z)(KoC3647ohkl=GLI2Wm}2ty8-+;mr*@qX!+Zz(5}srwnpcD!&2;R)^r8J<)) zbV7LH$za}rD9y-_SR=iVoFf1^G=eEjmWnw7jfl|mLsJ6+c(M=EP2sJZEQ%(LwJk>Z zh<{>PKw@+u^Eb5ne|QvxEU{w&mj9x0>wpGhbB%|Z=kO+amx97UCeIESuH+|X9kf=G zBb!Ab<7poGOojA7at{k6=q7F#V6$&8MUVs*id;|#+pp;RLz$vC_Ca;}mjaUgo#_6W_Ej zTc5$&8D@MN6nyXO0IbY;b~?4<-)JAp8MVz8TGR};5B$2V7tb4mSVpACz!!n0ZW#S5 z_DjI$f!`ytb`B%rrC9yDU;@i5kAryP_O*;Kf+3t=GoJIiR~+rcYz*6=u zfU-27zO0u+wB0+Kn19SWA=&BAR3|Hh*M zN+j8`R)$QpzPl|o_+y%G}c9>-xzE=`oGo8(PLSAVH#-eYBE zf!4Rg%<6^!KSXGGN563%J4*O(K76esrg;DzbWSX}&N^TM7**lacu&#v6LMe*j?OJG z0Ff~hA4I0yIetT8fo&a~>d4uM+-~|<%{}sqA2%!2s;?WtxA}IA4aXI~0_tb0Golw2 z!EMJ22U2xy&O@>VVE}SK!j-`PDW^U zB^&0Tb8MnMRV(-#P62C1u#u<61V-5WtFs=y#`chg(N1gd`g_`~Due%DTTF3K@x}Al zv~YG}g$Sl!_d0 zr<}BzE72-Bgn|O%V>&PsQqgp`Rx8h1V-ks(K1oSz$7e)%$-#wn?1%{Gj#A^yxu*P_VdAQ9@!5Y zKDTntL7|uwbtSSn1e8n4V}JBa(a1qC)H_{i5}yYbYs5HHeSh-*nnomIdmwQR)K;0n z1#5?a9VQhV;$+(n!&@*MMy@jQ43T3mCTDNIUvyF{b(7?Ed3L8>XftC;XvtZsMdKIZ zG&&3|@a6))nOk6(@V3^JfDK&DtDxHld0ViQ(A?eEXuI$h%6-pWy|^(o6}?F~umYjL zbvaO;S4qg1Pk)+KheE7bCb*+x-~e|r@gzmq&SNTPtfC@fB_)fX=ElESA!caDbc{7u zq62q9Mf0g_ax3jF`1beSZJKTz+ZxQgXGLl-q8wdnuhRG zS7M(~&4`?UCAQoE`CP@7HZHw|@=@iJ5Ojm!#>Wjf_u@m_DCHsVB%i_4^rK6M)B%9zEp%tlonV+vj-QvTuQC zIvSUeyQcFZvsJV-!m;UN0z}WSrNzRPrv^zd9v+S?w!Z19Y5Gl7a?Zp^LQsvjJi(Qo zxzI|Nw+}DfAkLQWxCj@#94WFwbgFkItL5)QsecSG+CtQMZC~16SlWIJpR70jc$Qh+ z3>#c8HVEsWY5$?5t+4V3e;8(wQT!_Vf0Rqp9xW$zzWke|=PjX9R`yAdTC-62B-upK zHZ{z}3aw7lWy=(zkUtEUIEyvDxsjN^`IO4C@8;^Zyrb4RUn&af?9*x-N54ydo;vkk z`F|$u59`&lF0vw>-rqMxvVl_no?)j$8BLDCSVmWMSKrwHjY1C%Js7ED&S*e7>S`xw zZ-#`Gft#RL^Bk%1_v)v`sNG)5ygRd8F|d*)x0QYWE&-L?54sNusJJHsL)*;qIdr;w zso8uIDLW$=TgGlBHwt2Z1iTwcyr#2_ihp4ju2Ieex*jB+h~z}*jlB}YB|agwDzZi0 zQQ;wn?6EIOvbh4GzgWapEZZ^gz~wFZnkl8WVzrt@Sp9)6&WltH_#O-Ri_G`5!S(#KUkrh`M}%YP?7pjDLj;94bM~2uS#Msg_W($*}=@I@dF+k#Z0&Mu!GA zZ8|1;NQyL%-k|JZtB~WDU4Z%xP^l96wRyNx;`jmdSAgA`&%Ha!f{X!8{5P3Q3S}_M z)uuQOAMBmSSWS)@CBv4Uw@DH0ElSgI^(PgeV#D;~6xtF%wM=^NVE0Lo#(!er?faB_ zXLxduKV!tSOz3KSkE1m*=WuVmDZHWO`cp^+7H2uto%%t_vpE%j&2@_Tklzix%~=e(M7U`MpImm|3`PWnAO%5n zUbjcYwZTl+`%OZ^T%?5tMSrGJtXxhVSbjLOTkQF&9NevtMf?%)z5Xnn90={X%4Sl1 z6$?&!iMTnCEM35(;EAw|p9OjwaM~&IfQRjnA&6ST??ZI9Aqs#5*Au4!&I=B=Lxcrp z_0?G+fy4XkvTp{@s$pPd*RNX)S>Ef{5^(7B?Joun^J+S$PDKlz`G2KREBaA3%S^K} zg>YN#EA9SbQO988MsmI|?(-;o-=RjmfIpgxU`+l_`Oif)d)-P(*TVo50XQl)|ATh1k^ zr6oiVJ+KhZpcbM48{gpu8V8d=-sPh@hr;aqnT?$C&->cqulu4SJ$d|?);*snP$Uvb zhZiM0y~s_tO@;zlh1ZZo{$wYgI~7Z3`dBasX|`7hvyW3=r+)<}DkL2?*RGcw%WIA+ zwkRVZQnU~f!&>igij)>T26W$pbez0oNkYk@y;bH-NAIYfmTovf{=YflkPBLsD-EJ2 zHv#t?5_w=;J4Bvr!^gye1F5K!ur&6eP>JCDYw*tgNBe)c^uoUY)0UL%uCNprXo&%_ zJjDU|3(g&c7JnW-%m~sWfJe-s}}mEN>6@o}foKdBf| z2;b41H{?~J(a(*KxRvZ=k{_4msh#sQPDO~{-@Pg@qP-uMP?~dJW|pI|UFp;kA<@Zy zFp5s2U`or-s_Vz#vifI%LDdLvAJuTFe4{!%+U&lu(tpHxB=}u2kS3z`$Y0NBYzK5& z^L{Wi!UF@m-1m-st;(jfn})3SKBLmqoSB}iI6cO~Cw^O7)+e=_yO_xb*1?KmXDm1n#)JJ+cAaRjW}&?jOa3cVkXA z4iW4`Sbu{lPHh7nv~HzU6Ro7u+M5f1SqWTXq+)e;yLbt21n12=WbHS3;VdJQUv>xo zI#`lm2c}EB3o>~ZA`J1lbll5I$7U7?gH{i~_g z%6}4!ldqepJ(#JVIsafG-x45*1u8F_KVp-G??GDG>jNzsC7K zDAu`eF8lHjs$159TOayATXsgFgI4JbGGUCk3~)Q>eo%2tMg51kMr^g+tHwaPq%9ma zP=R{vJxQ2;x>7IKK0!x0^QBue$*;1MG(L5ItIK@TAbyBQQ(<)hem4)DnQh>hj(;q? zAn41e#gHqv8Esi9NSaxq_w#k*nQw|NLE|QszqyyQ=)O@7 z1B%)4re-oN^MY+y-+6NMK**%@>w5B4Z2`aXq(H*hRvYxPS3z+ReD* zffcba@!*6ebpx(+zZrmLD`eko>+44BB-{)A%w>sJQA}6BwTNAJ5gc>6SRaf(0$YsB zJKV!>7eeEWyCj;=l9vl>w@$syeH!j??`RYWNZA;XDKY9ap~>l`+9dpd0Vgs?f+^d4 z_!z9dOzOc_eIr#H6KICe+kf#bU?+wSlx;E2CqBjk1lY9gd02JX02b5Ey-NVj!3E}_ z$^_S%AnD7qEX;B#M^PwWlD*SW6!lkrx%QoN1J7YgSk;_7uj*?a)}Yhc9n5+f%F+Vi zxM#Ke*n<9<-Z90o_agJI06tqOS1YuSo)8va2z)6y`j%uAVZg?%>*H#Bq(p^WzGupF<)y+teo0c6oWSbrEB`_o@%rgT4Kdf=(Tz4@#8!quS!ZNuHCX44) zOLvW!Mi6?y=s7gkN5|14k7-E%>_W~iGM+5^6X>H-^*}PF&sVuIsR2>p6f4NC%30{+ z8&!jqBi+P=1%Kf&&iQ-eH)=do?HQ9g<_y?ik674d}yhLKe5h9!=+~c>yNBPsu$zs#s)@MgHEcCS0 z>y^@R9Dj-G`1((KfPdMQ;*`)BUQy;UCRBI*)fj=D%wBL%tB_3oy4ZAilE0SGjEm;$ zqs2c~qHv??GsT0hvC5u5Qc4lFEv*DRq~0npX(Ghd{Ed@YI@9)xh}H^kHKR}u@w z(M2n}a-`DBu2fsc`hLAvUUzl}$W-}(z0~L@I5yCVAXH#bP|-Q*hn-DVR01}T?B&Qb z+J8FiX^l)8S^F8LK^qA}`b0S$H!e8sZzOA)9eql-+u6MV0|K5s?~#JNa;d4Z3NOZU zT*S|+PYt^kp2@Vk3fx_y546ONOlrTybMw~>d|4MQ^hP>1O~+iA@YMTa4Edt0;QyQ7 zi=oEF76vj4?#K|m1=A^?Zkazt_m*-s!heY#zX+;tIF#C1eu@VszbPV)1mV0FzP4A^ z8Aa=cexQj@$F-y5TKblLbw%7CU8bbb$@Se%hjnYzYW<}7QPuMMi|vFDhG)PS8xy5nMN7k>g) zm~va@_E)NU-((5{;_Qs}P=H7XMM*A@nCPd@56N(&F?O*yGWh0GbqcVv9C@n9`WG+= zX^DSf6m`cN$zn)U<{rNo<7F1=crZX0j-Ld;#2FE~&7;|`pwyJ*< zl)TmA%kT4{>-K`wbM9za+&zD0w}0S6kLOeL@-xyrVbaPxg({Q%D*TT&Ur|ajn$j8; zltoPDs&!tRR|Ke24KWY!%w%gXYK=sNAc6&%r&r~6@2q;-tiOo|$`XZW5_Ij}ElF^o zLt^1(<{J5C^y}%!DQTfLPJ4B^MyfCb>V${wlCWy&W?~??>30>bfz~S$vVTuloSx0lQ`?}CV`M#R{=X#di7 zYXz5sKLWQ#Ayf>GnXyuL41akXe7OSbP)pjTl&Pmmr98b@D)Od}P_X*=YgFIl8an3t zF9sFUT$1ze2c`kT6WSr-)#eKs-1;3sUI-Q$?>xo)I zH|F#LvD{x(rG!QW;D61a-|Vu^7Z^&dC>pkbS-f&H5=e=Jqs?5%5;NK%3Z;^^bX_u9 zg(P^NlUkVIr9(^UJAn5?3|BSAwI`VomrR6pQMZdP0$S9;ced%W@+QjrLpfk3(4)~~8z)cCr@*|*vC@+EqoF8*v!%L480Q7wRkhX)n=I`{<{ zdN(Vi5+p|I7?aS(t4dj(?fbA`e|+mBw$tZ7=wt<-KG*@pt(d3Q9vA|y%#0e|A*1IX z^o-&kDKC5v{C_E{ZJFl@$6lkAEl_nX9wxNFq#M3mQ7w4DXW^?vksVGSDRL5wvwvc|Xv-3?g6rWXFe1*6<3!8* zKnRG@AC|FR$v03eKSDT11TOp7jV|a}Gu5i^~PDtJ**F&>8OP zp)lcv}^9a`?XmQ?U*BmEsqSrQ9 zv%6pf$qqJY-`s5HA*nH+pi+ILqAfK|r3U@YEr0k4p*ET|-W&D2>)~%uC0crn{WjNV zVg6jTys5ndsr{6;!qY45`_Pdc+P};2$}p%z^}2k&12xDljZ>vf&x5AG1JpQP@g9tK#=E(Q~_Citsa^v zjDH#Rjg-nRrQMmxvetY{=LkOO(0h-Sb^rhfS!*;5Y8H)IY7p1124B|j^rD+MbYrCKmC-+<;N~T#;<&y^9r+Mlq}IH02ZAB~>wi&I;~8)Yh!pahEViBj*Ysfd`qe(^_vbE_ zag<)kd7Ywn)BUt#$;Rr)-H0nUwZ1SF>|LZPNKU5*f(s%wadd<0E* zrPb_+`SgjCHuQ2sLm|g4(AAc9>IU02uxKSi7KEVAg`g}IQD}`IAcEAmG1I*rcz;ff z?jXk1Prn~=1*hT(HiqwRDO)-sa*{@4e)(TPLh=4hCEr z+mDZPJsIV13c=6MeiHq3X}%48>3`SV*%%Q`{aDRlg8Yw+l!B7oqQ+3-R)2*Zicb*g zI?7c$FHH~it5LhxzJc#1uUBg9Y1Vhi)PZ>2>4Xrdd$3G4DXRzP0W-X1z{wI!OVc^+ zW`rKtZh#zGM0-s0Uz*#IdFH-DP;9HFJFbE7MHyXz@N4<5PjwiibY}}NDu2$!3i)K= zHz@Fl#z$8n^Fm5L|CRGf*Z%w0{xcvz7z zdn~~|dN8t>yw>3_kpZn7r7;H9^4E66W5UVlD5>A`(F+<`zveF=M~TA3u_76A=CXQK zaVi@UQ~Ye7vT4%2RtNP!ynnIsoZ+%;LPza>K1EiaSrm8RAu6ZdE~ZqL^;Ry7d|m@# zGW9I>5m>3o2lB1u!~CP~R`uH4t`5c&GkVVmt2ZF7?f2z7qUbOPS@dwdkJh|)U56OB8BMm9Ni?i%mVZ-W6fa5H=H3<5 zvoV1qBdjKH`I2~2iUw4j@P)&afONKcZOgp?%2r*`eJi3sgzXJoURxX2JdWfh33SqtW|J~)3PJdVS=M0;Fw^e%;PNvefIwz zLQ~T+;b6f#gf_J7;X^TjGL04LeRcLrzJB(pzj+4;%mk$iB7dZ-vhdKVUkbx4X{RPJ z2vK=CSvZf9yA-)mTWP5aen6;q%yc8rgd}8LDqD8hi)ljdT58X4HMzl66)}V{mAT8| zXS?vUVMwXa#KIqcq(Q@Bn0$BV*0#xITF{%@0?ntQZ6W<~1I76Z3Cz=b-uhb2k$ZFt z41+dzzPRAjM1TElH9d@UJGf03&`tdokvy}6PC;+yeL@!j5bdmlC842kXaWV+>P99# zB*Q;Uk*ys1{>bE$vJ=e<6ezmn{EyRZpisGMcwBu?82)~FPtCh7+j*}pMpf&|5J>!F zaa`|{QXcG+vNnG}PH7Kd9;7gTie~u@!Z*Db{gk0M34h#VbS7H}UfvmbKQ6$+(6r(y zvSW93j_$k3Fd*3rx}`afHq>+51;F)9`HOQu9FJV-k#8NY`As>>spwwa0=7{rTUU3Y zh7w+Uq%R%Ypn&VkOH%27lgg)YFW@h1%F42mEp_g>YsI58A&1ShCE6s##18rVI!;DP4V&U$<&V2(LU;peUATNT6- zf?AON=lZkIPatl<<$Jvx0Tm^(t6vaA(n?i2PJbY|;G{aZNXcX5#`EJBxBD$^8@k5B z$n!WRUMjnaLTh{+Cy=^QW@lPct%fFHVcVEw7R2~)l}ng}DgrS22uPAhK|;>4nld7o zAy2t-tgrcf`CIpLU2G%GxWvWU*#*~?(Yh{0H|tC)kojj^ZY8G;wfwhZ0!#hSX7%Ul zoqq-yup7q-!2l#XZhfWUTe0FM?gB|HD&nxcQMt3zMAg399g=pk>vVSc4Jvn|cG!oh z+4xxjQm|9>d?Q2DDT>a-YTyJsRk@;Mc^yo1$NxFPe1 zwTK3gt@ukZ455$&@y#jr-jUbXZ&J;xf&?b`KjAm?lmjAMP9tpz3rHiTzfdu^-KKuI zNd)V+uuqi%s5={xOd};=9p2bDU1IlfV3~+FX@@4Whpp*I#vKq*NNbjRZ=|@yTz^MC z$dyW2OYz(nBNj@CT*=2ARUb0*Xaivnm;wp*Bz(G`y#HMy_9B13>$WK+kT?O2Z$Bf1 z=S(QHewJM_bZ|nMIgJ3Wv1cvP#D_D<^}n&yR@nXc#{@A=p%4(NKK@k<_q19opehJo zM`7;v#26Ul-;z#qe)(5CJ&^v{Nq;`lQn+`;ZHH{^|D6A5w#biQg|GR3F;@d9Pj$@c zXkoaP*~$RazfKZZ@#TV<;ArPG4+4d0MXGV32i4{-)EHhQS>Vvm6h_)0d_bRAthQfA z#Lp4OtDn>ixL=hr&F8~3t=~iwb)v~`)CE?0A>$X_Ml*PhyKs)zS?g%j`hULuCTL`! z(*1K&j;{`f`uthwZ1P5fV&=`jzL5?k4e%qoiiH zHo69kj0ZLBIS*G_h-F{LhJQ3dD2*|yX9vPGJ^r@Ir|+(J?V5M{%I;X>4JP$<@1s1> zV>lrTfT34XX~c6pZ5OQ$iuqJNj9ap#U>D_n5nf$!fS3(TE!NU&cW)Tbm@)%Rqq_+&w;DotWgBJGno0(DFfbNR$|ELXJucP?Yiz@`FM(ZOI6b(mhxK zwA0SqO)8Vdb8DHnZ@;H~)_uH0TPB7xp!l|Qew_|rfHmBxThOJGAxA{+?77L}R|6Ih zMYYmNoVsarG;{DYmk4ILo&~)+@~}c zEYphIb9iTVN{0;XKSi; z=vhGcOo-MJzolTH{;i2+3s_fo{E-a3I%n8TN13894Cvk=Re!eFuL(d~D(3}u>ps1a z3SMr=qN{Npz9Lv4nLNzDa0dFv)cWAldSNoPHE-)xfr5fff=N+ct@xEOl2K?x zmnmId2v4LWW*#y*^3Sj?9vu(73ltK36zQL7u5$Cn|9{MR>5m6(dWM53+*Fg8HK^Of zLn%a^DgvU+S-La1uXpiYDr?f5f?!?lkis=9|?8R7@Io1+LO_v;Wx z`-UO0dw(}8!nEeWiFu<`!se1CUTYiIyRFfiC33_IATYYSZWl+u#ucwpJDR4PMqa$& zxL}!*8`|h8#!D^6+QN&V)JVj$@8c3Hun;td&@_u1pB)<3>=$morbH2b_kp&>(_jrmD1VD<8LPVO6&p((G?|+1k@D3%pe=sC z!g>cB&-kCF$zCqQGCA%X7O04Eh@l=5Jxu@3`I|emoVivmH~zs~-Ne04=cbHdCf5r! zgwNmy7u*l+bS}w6zo6RnK0QA-oNA~BdO(y&nw9jLZKz^zb{nr(Pfd`=-^&&jQFsOH z;(w;ykl`6#3%zl!li*v@*=vD%lv^;wC;i@`%ByogD&*S66avB5(4*x?XLAzV6nW>v zE7+Nu`DWGPIyMV-HD^5AE;f;H);HZ7rxc5}RUjnNas-oC^N3$_e1Hu)%kXB1wA0K% zG}2J_k^x}2Fr$=F3Jo2~Gk1n=n5de#9Y3C<@OPHXdq!-&oI)t`k=((OPL;)Pdvbw%$a~pv5%-_3 z?T~)e%+hB~wL_oBF%Yl4g?scKnHZJb%@mz1p$2b+hd;emQEw7w0g0`<5(3`l`hPSw zKSOwXLAnh`DD9%yRFMn=c8f zW(BG>nKJ$D4QO=O7jEJ===Nbg$@E@W)qxmioAlT60ZNBA!%c3J+&@vS1)5u>Z^8d4 z#2qGRmlr;NLyJ?;J^{FlQz4(>NPq9gZK$`5Ck*~D{l=P5+jnXZ792WnT0na0p02)- zt0zgD>z-J7YOv&yt3!Il zY|*uEFo$1k0dEo_>Q~w);u@04_rfkOMd2P1^JcV2mmCbIEBqz779B4AP@rI=O@_qD zHJ$;ec>0C9%lnTP>%*EQC}BgkAz(%Bs$d(+YxP-w?zg)|Zmj2YJR7w)fR-vd?v5}T z9Om}nqktuYb8U$d1Fqe7JyVFzN$?Y2D*cv10qUI&ELMr-kfBi##-;>5PLwkCWBWBo zq}K#+mWZuD4|)*fGw=sfCFh03;^bVFksEhg+s60#4C@97<) z!^Mt&h9tRRr{F*1y>uSm?SRIaTn!){*Q&Te4m9{Xc{)LNo&s>2t-cBbde02jRkn2L zRS$fo6xDf?57_XVSv)UBPqkTG>kXRHzKvX^XsYXqNv2;Br6YwmXxL*Z7`b%Q-H zoIQwU1T#R)=zi)9#O3b2|dfde_ul-1>@N0GbZ54;60E++pbZWkixbR5khHtL5}46VrK^Z%8gjB|EL zRsXtR;(8(b)2-%OT&X6+nnkk!-IJ~ZO_a|UkzHTuHLF7-t|_B`ON zB!okMd79R5!)ll#bsFMeh8-)Uvl)*_84FCJxK|!9A4_9+wgKF(Carw(Kx}tpwsSKh zu~Wd`jekOejopadzkU9QNyTO^2i9P6aR%68Ub1XW$%!j@IG!hET~4bKAxSNNo)Il6 zh%+-IpX3i#2@hV3GY^4|*63Vsz%)7l++MB{DEF_?Asb4pGS>CN8@!{JPOQZdOa=mdNbtxhBmeOA zk=5L-(f^Yf6TN?*&2AOT^Lb)_JQS4(zE5V4rn|JB##EGr${I7`JG!%7jP+x(pUCTP zxVXeQRj;&0tlN0Env)6>3~=8=PD%MIF6_;ibBq%SF;B?ABg>eKoDywu|cT{y$hO( zUk+t@M4FyKF1#Y<+c=^%!Ow{Kh-_x;%05mY6U5*NpZ{Aesvslk(HAwGX<@DwxWM&- z6@gK(gq2@*GqT9`;&a-6rG+xvL2cd@gS?fzinTyS`J9*1$bVbq1*9{FYEsl73DXXPuHhdRk%mZadNH ztF^1r{G++-TiK`&6#c!&o3q-?s=W{7<{eSr1PnRs&6`l|lAk+&UMUN=D-b^+oHXE^ z|9GK6R$oT%D=S!a=nhU)c|(kyF^-O4&M{n7gqG#PvOiVkKnCddQusaJ(dkykCXgj% z?J-J^T5~AGk}XPrgm1TEtN2%INNpxYmC*k6)5Rx|)M1Hwetv9^+UA!D=>w)?e;5iD z7=V|M^PLV4OrV;7jX3dNyr{@WQbs5DL19ut@IBQ<8MlSth*x7+5C5IeBCA< zzfF2iqlTx(+E&E%<{eOY?a38N}iip^YgI+dwUU)gJc9{PUsKKCe~Bb3z)| zdzEM$^oVR*7$ZwWk9m=%H9kiW)}Y}D7p9097;bVe{`J5!nULp$aVcXs3HQukecLFb z7e2$%nLcabin}6m?6bY&?!-W9e+;EQBg@yHl}ImkLR`zHDU((G4d~Js#xFJonsAOn z?4Cbyy`*@58|V_rY^6lH58MjrVU+u$e75=>O*Hvlq2FrJZK~{{27A1O&%$p+5D^0w zY&LFFwU!4bYA-jOin#Ge+;Z(6`}3{M*K|`&P7@jzG&@TmtjZEGo{r`-)-faF zLnpzC$MO^naON~t zcO{{O45P@Gyn;oQ>IwveQRHqX0FquFQB)c+&E*Z(ZU zf5h~4I(=`mmaCsvG5}^Xjxb2BD51MtIw1bZB1cbqfyf)?MD9xm`4oYkzA`vc3fvi` z*LmMLb3Ajt)GFw-H0RZ89r#~ZKntQ`X)PH-s5K8-iqPI}6cE1KCCa!CgzNdXlC6(_ z)8OGSu2wlRBHu6Lz`VBmXZ2#aI|eJyy*Y{Wz;x8^(xARV>&jK4xh{lmJ`j5aphin_ zANQd%2xmCknrB50%7hD1o0}s|4~5H~L_)t3^W?QM;kL~6*OJ@jV}mc0t&>o+w7VIx z6W>5%ln@|D^HBxL2{&qcmijl{^aG23YRd57{uY*uA@*i&{a_QwQ}>ObUAJ`dc0qm# z<0z1suC)luLJC*zm5kQ0Ph{wDxR@#Kf?xgX9W-}OdVzLbJjjCvXe_*w1>n(5n^$lJ z8Dq5bX4;TUQ)8ME--e~blUFKNCM)}~eK-rxhR$;jbSuLe=1^dlHUBexrEFk-RS1y2 zZ-uphn2d1NQ?fUjG-(cx8LP+{YMm61+?ZDf72Fg!L@@ zcQ_bg=p+wz#0;IOxhPr}qKOeBgUBh1p4~9m{>2QV26~Z6%#5&9D%nO?D5|cs*)-{) z6<_#S426T?5n1%_Ghk&#G(&zG@OY%aAQ}otLP#}vSyKPsXq=rKSfA1Eo6>-J{u-4F zX%?4F9PSJy5R9r@aZpNsN8=x4Uo1ig+hV^SSV`ka_7!ljpmcoV5)(KBpVvw_ES`SN z@mWL8mW2;YAqs4?E1L+9fcz3bn+?tuD7ekoFmNZYSpov=v_JsPY|nTzAb_Mmdfud_Q=aM!z46j8&h;OMdil$a z_DhGH!IaK_2}S^aLWsXqA3s!l>ikdm;zpe4#aq|OCdXU(K~>TKtHXL~M{Ag8O+T)c zbRiA_(g0UL%#kly-C#R456vzz6B?J`EP$_}AE@nu?3YWk+uKOSB9&HGjIPAvvx18D zdHMU|?8KZj^U$KSbZVk;fubmSsHDOl5Xx)Zi{mrJ@?3X+ks;6?$*()z!9GgQLYQqc zxf{ot35PR3_aHiq0?!bo*KhS+I~EG(O-azy?(=>#`_>t$pDw9ij=%wmkUJ3%n)e(@ z2c>SIWTyf#0c+y8%DrrxIvS!0D<-whpKYTCry2!b$FG?WERIT@0lpHg?!wr6Pfgg0 zCDmR!tlh_dI0YFmQ2?ivc2WAKSpGyc^$95lgk3d|dW+%6hrN&^NmIDTfK?AkanKRc z&k7bw=#Xipxf*SSc|$$h`A5 z|BYxZJ@uhD`j0m0{B{hR%CUKbp2jM(r-4ph2RPt=es8&c{e3`3w&N&^>u6T`{ zMdW?5eCzpn2a(^*$e(MaI2KBVE$ujy5d(mKD-5_V>phree0gliy<6pX`kz*{7AH$- z9=L3NsaCLL&AKn({C6ezzA#zl;vi!a9eJ{YIsk$w0M;3D9R5Nd#@InuH@V8krLN^G z(HrUYWa?h(x+U-z(+>7egBXcU)7I!v{@C{cYAcT)Zknpv|;COLU~IXeQXBChG@4>-oU%ma7`gmcrtgNZ@rPYcLV zMNGXTO4O23{^?6E#!iI{9CM%di8lU!7_0m&BgRwA?rq+A+Z8(Ek~3vd9Pcs4Ws@z? z2kw1Uu1mX-9hs4@Dw#4PAv71^lL9pfjQ6fJl7g%zeKfL4iwwDQKCt~Ar9#%ztcub% z&z3z6AwGq-AJ7I4f%Y=(M>??8z6qbfTY^)?YUDyI;oMIc%Boo>AlZJ9ndJL_$;gEA zwKfEC-;^58hR_2^zTcwlR>=m6n|(wqu9`gf0WU3oxy3fEm=Po-$)e$=1ftuJ1;LLmnkS5$HIs?``__H0tl?-neMx)zNxkIm>f zGm8g>dy<_(I1}u-a^jWBC2@Lm?Zo3BvkLC4SO{u13{C(L-z)3rBOIz$ zG6k3*=WFtri4%fUL@1BAVmCdN1A=BubAX>9HM?_?&8Y^_-jwc@ zNLUT3>s>d4;hX$Tu)gJ zN}JVjCjo^EjEj&N8kcUpz|4o0x(6We}_F^?y!=Dzik@R3`^yKbYeEBk1uVy#2>IkY=Or%jcl$%TH>Tj z;Rp0-9S3DJ`3xI2YXt&DkGxedx7%-3j%C+mTb{P=uO#-XKP@8stL+ zjgPZZJK;?+$SN~_Z5vQ0(O(WBZzfFd!SY}T%!oqf@f@Ak_f!qDn-c5^M94id}*7|O)kaRn6#+E(fWDu z(|o`9-?O(3z4-Aj3sC$HX??2@Hn!2=EdI$T_JAmj7K8EbYLcJMbQW~AV!1nX1uuj3 zPYxzze;3Pf#Ku7bbZQ`O?YZc*a;;f*)I zjuzDv48cM?9I371rLPbTxdPr@(ujTXxIBT9qyEp$7HU3cH*XBJQgK)QMI-vKYV4a0 zuSqjG3T>bc8wfGB@%zz>6qSyW*TQ>{qH-(Qf97qzRr{)yG56Hv_5S3ETEuaej{gsX zMe@O67YeXQJh{L`MWvJ$`AMU;27QK^SxM;I-T?GcSN*NeW9bisDsIEXU?8>`_#0-- z?Vy(>c%zW&g4zH*P%PM!3&F=I3wl!q5Tar*WLNgBuJO&!(I6aIQTj1vCTO41B;IJ# zf2InfbHJ*oNdKvX0_P!Jva)pN!veTy_R<~l5kt$Q%I9i9f__BO)^1aC5}z5?uz{{N z`wJdDAlozw?DQt}5qn2s8NPQ$H2JU(rDGErms0TEQz8mD1+c}QcSuetp(vWl`LG35 z$qLKcNT0FLh2zMgf7S7)Yq}x#?vJtxe~|?V2gu6si(~$d2GGMiz9={c-?|WuNm|xw zA5+TWUiSP|4ujw2!Fqrvo2pGXdSa&HYD-w6-63}ynl8%?Rt?uUT*R)0K*F=_htNx0nF;-3OuKaS>xS%qb;9fqJ##MO}#%!e;B6v zdO|Luc&Dtz@5FJ950}U^QSO3tFcw5!3g;^G;L#&cVZfJnjO`}XPv4c+nFm&}rWXxh zrB5=15V_6@Ec0BYj6u9l{r2=u7^14shQ!wXiGM1+snaMubB&k^H~5X(kmdX|>+(*k z?_ZGW@GQx$Z~n$52W$&gzMLDne|m!?^^48tT|z8Sw>u;b_JS&eWyC3u?LEkcBSs_} z>C&4-{F%36VsF=f?k1ZGqh~3$ftI$E&V6ww_-2miXXJA)-CTsS56v}m9!%8so%0Jy z^qBa{Gi?|N_wk>%b@=47^2UBma8lxy;(88B8hy%R^#fxW4^n%&;(A8 zqInbfJ3qqp+_9bnd744ze@rg1ZSUrEh$tHH@Ssm7)x)m2qP1<@-;=ZO_!r0bkYMy} zKb@fbl1nwtIYLdSUZ(Ko6}1Xg(KsziCnflF z&%^7cCmIFJ=BW|6e<@F8|F)CL2Tl6*#`$t-GFiEBzW74=Ap}b2qhzt5IHZl`y#iq{ z9fP6{l%UaQTd9!>fqmA=5^j}pxCN_lOh($YDFZUhA*7X z0&00(a~#xV-eQZz1!8r6(H5 z+r@%f)kS1*e+U*P5j^#eiG$fX)z~B(9(qtSL-m7(qXLoN_O;qs*^Ks$8v~8|=e%}) z_^S?Xp1t?^Sp51Im7e$xFFfco0-N3MI-E`A(cMZ^QgDN-fIKbD8Y2MQo3zC>40uePa6wi%E{?uB*&s9uZK#R3X9IRs*d1*_`dUi=kchQFMI>`HCp6Em;@z$Kf0pf} z23^7^^nT-0_g_o9=##b->LitayS0YH71e3Bd&{vPi=5kxaWoCRJ!&Sxlh=un!Fk-y zuJ7gdepuywb{Pee{_m~vMELVX3d%rNYdcf@f1kNn7d1fS4@G6otOy1N7Fo`2?V|H(r@jti%q?^55~?;Yw-Cc2-8a z16mWOcVPxU*nKuNV@)X3kpf%z`^+}v>#?RT4nudmjSwV)D-r3?`$Pb%`I0cENQO0~ ze^_JGzf)+nVai;@LJn+5HGMF}bzBaz*j31Uf@LUpN(kZ_R9JL)8Z14A^8p%W$#|`N3zB$p`VUt z;)bg-{Y~&NktI^u*}SdZlxB&A?Vlave{)KecB${pch-*n3GXvReH${)&R?U7Fdnv@ zy+NPU1koF^K6OJWF{lzx9W9)w4DR;GwYkDIV5XXM#|Pv)bK;8~^ehEnnR;QeH-{w% z$oxLAivqSU&rt;(Pj!`7P+6vA+;Go@r{BE^O;;lyOk!xAaSHRfdz~=n8dx!te+56T zXYNH7%Y98pMosRh-A2q@tO})CYyCv5o4EG$QJg4TFD$k`NgZ}{l=Rn`8sc_tLoBe* zWy>H2cxDs~DI_sIpsZy6mh>uRboI_>gK1vcOX^oUA~|KrnDoY1risEfahK^$7Qzqz z0R`mZl}P2scnq_RE}HBcXBUGlf6+v__xIT61}n2lMt#2VMe9l-?zo%9c<)^)>`v%$ z2}?DdG1bg`V=A^J^&KF@MLEdmQxB-JunI6Cc}X<1>g7!0d$;atMi!6jH$-Y*Nyw`qe-Z9C^{{^* z5>zJwQ^%|bi3>BuGpaot+<;0e^{ZU{4**fZ3Ge5!;>#4j#8SBX8Jl&zM2fkb4Vdjf z?)Ev~4_*=`;R4{VRf8QFrBp$LnW8r4DED!VR{cq5go>hBR}C!mH+!{v0hYjRP<{*k`woJi`1YCi$59@ja9 z4m37^3}ba{eI4X4v>{r4B!M&#Dqm zTLhHgF@)yIBVIu$b6Dc8&LFr#9$}G#$yR8oNZeqtUZtMwf0V_Jy9)&_feNk9b`{~y zUH=#d4)o+%XBo7snX;oz6aW%#(glio2Bxkev<=r4Dh+!cA3FR7;U}tavasa+WR|>P z=s;|a`-7n(_H#8SBHWE5fJH-kw3!Bq_5t7o>$jT%R4jp^8#chXJ={mYfi7fG9@ZuS zTfcRDKZz(|e|JybcH>nYzwx9AA=@0`dH`n6`JZ~665To{2QV0>Isrfz|ClL?Fq(*B zBU#Bh<-)ShoqKw|U)nswLd^4tG2N0)x`Yf4;nj1Ymyuw(2{Ke2x^}_t`&w+P`esTU>f}@1)@Z89Z)tMlovZRH^P91Kkf4R8UKS!v4-$`YsecVfxpY*Zm z2u?U(h|Gb7MXX&f4IbC7V4R>Cz9d&VEly$=(3kv4l>=M6VHu{c=k38f|zriqN10Pqdg5J1 z8e7pQ-Xy$~z?);f8hgLV(ODjx(&>$sSHcoJzFk+ybgL!+KIBCsr51@KYP34M&CKJW zSPIu0LeLbIygTfQ0Cb{Y@J{MM9Rzov?1hOJf5#+v1`xGx>6pRA?QT~Pb)Nzss}m(H zDbFZFvxta^lg$EXm|c7sPbzKxV1$5_y2f5?LR6tt3OeWEZiG7&O4QQ%ocnvNa%!a8 zAmQ3V>sXFFd*t7F6DN_2u*JDr$Z~FV)DPWT*Ny$aRBcwj#j4L?#@JDGg;YtyFo@*K ze{ecAWBs?VilPS>qjOZ1o4E)>B^&9*1(HMv%jOA3sr>Eb0vp{aG7dxiKLdt2Pt+xgFI;b3Ce|PR_ z(l4Xh)uJvo8J~b|j+djf#KbHHe;Y-jaz}ltw7GNQrPkyG^(E=)B1h z8OkJRc3ph-J;n*q#NFG-17Q$Em>`%*lPw{FOFN*;3!!uRgT$=^94Hm-sQ{^nk@UGo zu-0{gPLVJ*gT)eS3rJNfIh^#tf5DJ1%N((`9ym2C-yvq@V{>@rz6j^7+ zf`EAdT`bB&wMz9u3}S7#m_I84uNrBYw6jQ&k+csk{?(q?fGp-#(K|wG?GC z`{#N5j@hJjNYnTtSBY`ry2#z-^Y`1EOzr@38O2Ue%54fgVbI28DnLYaf1ZXF7;k_I z`wypSVeaF%1>&r^Oivu77^esD?~wxeKbL}O#o#>MDBk8-yO5PYA)yHX^=9kZ+1(IK zRi|}sZ#K>#?gxulIl{8_O4VM;W(3KF??Bxm{6nE-Q#4x!sEx>J%~^y{6kUMr!Ye=) zEfTmot)8sy{BQ8j>uv(`e}HUGKRq$*Xc9lnBzkXcL2JS@GCmm8vSzwx4|zL|1_NYV z|NTlF%y3;$St-wKK{OfsXlJpk5t=jYMG}G8n385QFP@WxyrY^}Bi? zr!or7{M44b?+&TkQgD%$Zpf3kx$;M)4Bz}(hV=2}Z>{QTOwI~if14v0EKris8)Ro& z{CH$r#s_W|(Utkc)kR2M&WG&;pa+AW%9s0@j}aZ9_hiA`(UC%vrGnue!>1<}sDp+n z4jF*on?V*V1MkuR#l<)D4r7?e6#LdJe*e#mpou9e`(gnv9i~N&k9^Sno!))L%C0EC zaH!+LJih28xZ83ee+<8{z%7li!< zxhV3Q1wVkuT)LuUxVjq1R?@KJ2i8ZKWy8kx_l{#LPfo3NSsdAcC=Sgn8H`}0egyz$(^)=!v+82%?nCKYSh1B zF|p53Gz61Xd&@IxN{d;*{0xi*uGs$D%dp|s1Z6Kxf9S6Uzg#%z)BC6*8oV_YeGu(; z8XG6%5OK6>Z}7Pv$X|w_ryU}7gEfSCu16(gFuHPE0#dWxLX?}IQIp%?vL3UgUG6K6 zVz=#Z4UV5oQ}MbtC7Pfrn!s)!bPwc~7#9*9x`V&h_usJV)kw*qCUR89lP^@u=r(}_Cn<0~cr+xzfER6Ad zq;4>qtXJzD#K9DTdI`ed))TL7#}AD2kvtBpr(}C|ihx4d8dyQEp`14XT#{P9E8iY~ zGXt%q(V5RXP~89#=QCMlhGf?tAA7SdaaT58f3Nyq*mj?&+6rC!q+zv2J@0jX0A_ac z?02$<|Jy$vmd=N!EX9MEf2>q8%ypuuMBadf7D}Pca9z;>?#SWKV%AEhfl~jT@ zl`DqQCWDq9#tJC){3E8;n9emu^kdsnpa#2F-|ne0-l&Fa2jDj5{r_ ze_0y3VlbrcVVGA^RT=!Cl#eNU=WmD>jJG$E>$10vDRLU}U^hBmZyTXo@pigM5V`+jA!RiiIj zhujHE%)OY*EP1Y8d}^!Wo8@0F^NSq&yE@10^xqM@_sHW#^;MJ=0)^TbcEhXqZ9R3+ zu-L>|O`<8JF6wtl=O_XoqSreO6`l^>GrjVXM+vLT5#yormWu%uh?U=mQ41Mnf7_b7 z{2;_iGI?d>0!+$$@J}nLdXXHH0s>+T%arv-9m|AE5XxU5a%(!!trlFuMATkH_K(M_aQBKlAcw&5J?w6c61h4OpdSySqj8ofR7^BYVvfx z;Ee(mnAKFrO2+!2Rj0Wr=e_G4Ta8#)_YBFwG?qQO;GFSK;78W(>0)g!fA3#Y!0FPj zS{muw1bk`oRl-hL=k-m%^Y;g1{3ZKT!jI%>hwpsih~?yK1GuITiMaAfBq(>NSZx^k z+0UUoSw1~7lzakrVEJ}vgZK}PK|%o)V3yBzpy-;fh`I3f82=T9MpeTk{r2J(O{vW? z@U6gqEYX<^(G=BE*7j72t@4O06rf z_e0VIU5G=B1!NrEL~&h$W97p7)-D(IBVMSWGG-{}UBVnEx}J46_nxOFni+w|m}NGb z+WOPFdI3}xs8%Q087dz;ZNf%Q%##I^q5C{!pH{t$lCjD<`*f49Jeb~7A7m9Y7; zK{J`yTIkj^Tdx8U@%mVy=A=xD6it@GQPA%kQoH+1fJN{Ftq#C|00&f9zASre=51fC z#NP^tZZTp1D5w3@;jA38-a=!tM-4(JYvbfLwppBH2CKBIbxgm!|Fs7w)4^wG&r)>I z1j#{VPnvK9(+d>zf1KN^^nOBTH1<}}MJ9!)J$1lGx%tvTRwr>68REynrxliYnR#!F zxD75vIM7TG9Rj-I=<>4w+6N9DLq~MqVqYx}DbiLPxPaTQd(tn3xBR5%b=-Jd<7D?! zFsspcaY4W#8u}-T^;v!sdKG&mWOSEI7V$$ov-1ISepi}Gf1~2X!-~-ntecz^ZNWN{~T{Ur#4$2~^G7ia9*T5q>J+Q*y`omvQb^&Y7KfB(0WSaPUF z&Ba|G_p8)de*if&cjCt?Xz{;eIjKC^l1xqXP3hQC9{KmFkVV}E=UGmdV%OPqc3F9g z)T2g^*_ovRov(VzIv_~;qsOY8T@Knoz;ESybgEr>9RRmmvpwU-^9hYSf!$(IbSKW_h?f2BJRA`1;i8#-b591dBLHecQp z*~#0;kc0)~5QSos3dwcxSg9(Oh_4p8qm+B*tZ5ZX$B|-WPNKyelS4QE75~EKI|mSVZ_%~*%;LI4c4nh zB031=$|E&HCdC*FE`*RV)LTGI=sCu@TY&xLrET7SETwkG|z|1Ecn835ka z-Xt2-1N(?q0I5{V(Qlq7mg1F9hTFFXnHM?+f0^-)oQJpJ8px~!oE@PAt=ZEFcek1$ zNJWZd=GqsVh9L`L_w;u)gRPU}(>Q;6BPtBH6iFFj8dqMbGqRAOn;0q(_Q>3BOMi}* z3T5rX~ ze+A2CnobNr#pOxkXoe0@jI+d>&!;@F7hu{HGt7Kd0v5(#!sIRIFf@jZ*8BQf!3lfS zMi*K1qr?cN9vl&0JKlx=`n>ED-ZFTPuhLxh2|Go{N_D;i%PD=U_1zpY9Y`O+r;nvR z8tXw3wqj5`EhPjH4*-Hb2}dTdOURWJf8W>1;m1}fqn1JC0i1)BsZYy78H*+9Kzru! zW{M>36BS#uBq+IoS6&IR7f=@maN&oWwGD)H(f~Ogwe#?DPp+GT?^UOqPkMg+=-pfB zit)5Rb+lk0Sme}Ja% zht^60qrc2~%_>qvNZVBnVEnmpDeMeaGBQn{3Xj?)ZPE32tYa}?_J%~;roYSwFe<1* zA>CJ-zg0kG-4vFwR;x#%YhJg!@T8&hEmML;B)L(p$h-~B*=&bHI>F;zlULA_MPd4&b5u_FMf0A-_#f4)8 z4=B}5X`UFsQ~BErud4qu8=~_MkgfTgG#p*oqE@W?)9^u8#x!P~s=;nWl*GDT`CT7X z2+DuSS9tkFc;S3n=P25CT;Q?>6Imjd<8+eU*myTmZ3Cw@CJfq44f8TEind>#I>CUE~8igN;&5p*-2vKi8tnv|iDx$kbiH->w z2Zo}~U)X!NTnO&`Km33BcU4NkBnhJt*!@&d|Kx=#nRAAjX-}K$lj4&yFMJzB%^XJ{wgP_7o@bTt$BZ2?>CJ|3F-A!D)ut*vyG2nCTurg-Mh#0iO@2z) z!XJV{%|f=czdIXvr}(I3_GwzqWhLflAGu$#5b!$Bo{~}Yf7MbwSBtUUMzVG3=vvFC zTPV%C^4qW{>_r@!B+8Iona?Z#OHL6w=vDHr!ne%jGNb~{q$G=|rO*LG!n%%IER^xPtqxTjK*bv>^3C}vQx>;pfgsvVFTvyP zZhzLe2=DD6Bp-EvVpcJ1GiDUd;r#%=rKt9OI1gvTC<9s&dij6(^zREiy0# zaAZvUWAIi9`$W`MGP3*U%X#Grg7;Wh^EPe__6BuMe?%@OsZ|2=MUiD*>;h1JT~$H8 z&s(N-lW^db>TMYWNE!y#_`%RL6=otbH<=po0yE+lwvYuwT`wSPKfI|M6tkoFtY6t6 zlI@R|w-eDax0Ml>72t;bG%q3c!-?^p3)mA$nEKbIm<+ULX~6k@K@cd5#ZC|8i+l`ym7ax_4!2|149t2X{fS(cp5posNFg z3oxoew4l89lAvl$D2wgO;nmV--U-;VbvLTppI= zHBB1fV;A>a-?7qd$w?&bZ)X7Q&lzBWQCCb;Kt^BO*651`s+;J0mBev$SAq#<&bv7A zf1mYzzX9Dd`^~%iG*}AA)^Vl4jRR~x0YYFcb(8&Zr@A*kv64QM7eow4} zo-iBRonnmuxL-rJ|uc zy)_(qw1CfFK;|rx&w+53(>4EAE|7_Je|7H9CTvfc1DUbmu~+^wMQTXF5b8>%1?X>| zw4=2ON{#=1!{nVY)WEaahM#tpCz(-{dyu!^F7KGVy*KJ0f@Hup`P+t$VNti)J$*2f zECz5@eXkZOL=^(VbdU0aWr0}_YZUW+@h7db2AU3j2iF7fUKgrZOK(zy#7^thf3QFO z`^| zICIA=W*UWmArTKSg#8N_`;^x}m#VA0(2{YU zd>|&QC|k9fM5wk|ME=H)mVwNe(jdI^5*uqy(O&Hfn^W&O`u}Rl--~HeSjFJ(?qVYl zy<98WR8{4h^!>COfJ`s4`ooS$W#CMf(%pzvKZ~*0$dI1ki}zI0`e3^~f5Db)QvGX7 z`v~ey1s_k`r(`S}hvpYtzhr6d9gOYHBcjRkuIj%QK=`)=`(0RG6P((f@IAUROuJGM z+-xOkx(6w_*vI0YRL?8vif-G@bIzQ)smxJ*7*4dAfz%5Nh2~yVwem%@Y~sp3&QJ-h zhv{3umIxL?^cy&CX}nYHf1{v;Z|xRWkY9F?%E?;iGixxTPUUvQRuQ}qqly;Ro$Y`V zy{Wi|uSl1>u3OO{QK;5MgRv<=qnN?8iPH z3(eOEQ`AJr@FXj2)459k(_WD)7W+4t?rAdZ4GH$@5+&h4XZ6n-}XrWUs!;*yTP~l*Aq)a)8i|eO{b_-EMS# zn-sx+-&cQ@%*))53iKc-VNSj+s+ zBeETeNg+R7p4qtMxCi;x9U})Y2`e~pN1lM|muo4CHtF6X8D69eC0G(r+ajPe0G?gv z7F=_smX#7I?XV%KxDI*}V|q1Ue8nhizfEwtm9Ah&T_fP_?GvW3p$f`WFI}gciU83S zW1ee`7q~N%e;Mk=4(H3a*#~tKV0@+4j3Zao;k2G{R`*72jS;UG7lSy`0fy`UpclmU z@7v);Y0KY$$7|U2%(jKUG^^)g_T#h~1ycGk@bKdjQC$bG)gu8*Foi;|7^MnHdO~R< zbpM8m8SJSmLy8b4?qA0f@Z#A4|guLOTKjH5ZZUQ3;zZ6uu})v5;)m4^M)g;*khM z>OhW>_k7G<>bprv)&Id%35{s({XO(y8BWxtr^ttWHl+)}^=V8W7!TjqNX+(Hn3Wa` z_}q_(f8sFW&TqFiPRfg>K3UfEEDL<7Htev_5br97L_9Tv+q8=)xz!CgZfTd6(8ZB^ zH#xN8@-@fDV7U>be;Yb*UWEbh98|_0)_+(&;^#Baupy146`4eRpJtIE*dQk$J6Kj| z-%pV0(Xfs_0uJ$_g->A`6IXi8zeLW!+DIDme?BF_E3z8FhmW|i!sEijOsh)CX%@3M zda?~py)<)GC0N9WDqaN~f&=M&&;C{)KT(hpKgPAN9DN@wiX4z1xYS7uAB)4q5h*td zlXx|7XDJaNNBY!_->(h)%2qXf-$}~SmTa()i(d>QWy~TtT|yz`4!c)uR`C=o^O+$2 zf49Ydga7%u2!LptrW^K6IZ?WyL zS$hlvznVmp`6r&_Sz6(-W_CtbqGtw#fAd;YtkHwzy&Uoqo>ALNWl8s;TZ7=OCoP#{ zt2XPh3lGSZE8f!_K(i+^AH)Sw6^3L4Nri*s6Qe{%gpH$@N#UrYnmH7Cgv2pZ(?ukVU2|KG?1jVr4A)Vj&|*Vb)&v& zSA9!tWeh{E(OuEf5lnSqG=!_AA!OMGy`;E;2rT4C)D5m-uASgK!&Jqp?kU>h7K*PI z@kqE#i{objY8(2Aejes^SBNp}f0vs4b1BWueu?s$9@qxxMtS4z>hNEQhh-jgoT$u0 zlcP9{4hz5XGq3q6aZj#;rgS1Ix00;GLdAla7R{#TCdz6mMwdM(8q*dJO`Wly+Qm>+?0dfF$gw7kU2e^PXFvqip|t5e|$x@1@MDj z;NZdAslee|PyH=A)sWyTK)jAQZ=)WGvY|oA0MO8HbgoCNiZdgCJ7)^cblnX6bI~7Z z-Z}tluzSs)Y}(eST!2j=uY9KpuC@DS-YP{_N1=s*hJmnnnMHu(`5T_pOCjj?k=7-# z?4(5~wI<^uNmps{GQ3qMf7y)itBa+5exstor)WaXk8FAD(yY?cF?Ay&rsO-PNH(T@ zBGVB%B;BJxvwnNicABF6j_Wt)+rP>KEZRP%F9bGvL!LYz6USptV(T%cBkNe=Sb~^j>_6a=HiQ zK)lL8yD$Ts2xbC&hAOq#nS;fKC_0Marxi&?rAP^02bHXBttAN4g0JRJm+cUH^e9VW zwx~^$!~HmbG@?8pu^E$Mf4F&88PW&y-l#pdEE!tjib!OFvT_YD5K#otqYdDXmbfyq z{yytJ#&&F~9XL%ce+W$~J>td9#1o2sJN~V~xS6q(NF||_LY;?J=H$hQhh-SI;1$Wp zWC2d9|DCw8LoP&5|DmTF_>EHula`fq5b?iLv`KWZ2p(ghZ%o(IAPsjHv2_#j!$do& z$O;ji&zTR?&~TyRD>S+LK(iz@-)Tdk*{2M`V*nhWqIw@~e;90Ia7OGcBkNFr&#oI( zCxi(B8!^KfRFoRmxQ#cfb?$r)9vgfgmbB9k{Xc(FTRSYyk=JhiIdvOG;lP&65f_o# z)%3FQXtk!RKjbn^3!1x)md%n2Bg8L1 z8k-bS(qRxKe>4G?ez|1?|8li2A?2P=ofZl{^{qxa@r6LSA3;85#kAarIQ_p^w5Scp z$wL_k2%!8D@I?7Pn=dawHb80znH#7A(1Q9f;V|;R2j5#V%7_aBzRfQI_k#jl#*FtSbYhSqlLTka@*F7j=|z zBkz7lp+8Xt4Qv|gyWi*$`6LdFd{bQd)}%myKq?w_u;D)#X!VjB>mdE#m%ULYd2m`0 zWZD~2FR4l2mgSb^i&M2PTJ;SVp@UPw064Ps8nr zh|zR|>J1P?SsxQT>ViJ+*b85tkjh+O<06YhXq;n!qa{f$XahSLo3+?5K8UH0XcMyv zSTzANB%s%cb*x>t4qL%}m5*|_{VPri6e`_J=L!*SPH;xCR4W&AehQ8I3*}OXe`AoI zT=E9)jION6AG*zFi)ipe%>ZWIo*?eR$f-DwjrOdX><2V{qDG~3xs+hT{TIc_1nK7a zq#hF8T?IHC@m!*WGmwOt$IUj4Q3!ZhVtnM>XAvDh&>}-k5+*<;9TzAi57qz<^ap6T zQTm3uC(B*+*mXA@N?#YZz55!&e=`C>N&|h7Yi0!@Qtl~ObA${8eAV?$6R9;0&!t-8 zFf29w1Bj*)8-Fd;%q-;gY35x6vP>5F1)kA_HO_-1sBZpifGU;0!@|I#Bx<+#8V>j2 ze*MFJKmlczlxgAnTs+5u$!q3o$OBWdYgJw1U9L;z`i0;EAY7?p>Qellf7ViR)FX%# z9yApFtGmMUQv_nxuEpqpplbFI@Kjt3Cx$jWVE7VS{8UC{S@HeN=-TP0177La6l7@tH-JMG|U;$TlGk-GW2 z2Q!?@`BA|I?_-CAb^s;Ov!!fAC7@XO_VuD*MbF=F{;rM!hyxt9&;1ck(tH5w5%!gu zfQ56Va53Q=A-$Ls`8mtEob=Gj0 z!D)BEqG*9(*w2$FizRQJs<&cwvccurVbvIbqDNl5O}5ktIs$$0LLmlb<#t$4K_tGm zy0=_aux`3!uZ9^NI#h+udGm5w&Z3`1mS}qII2Mqc(aqic@!O$E=U*U%oK1Znh_(P6 z1wLxW%WtQY+Ii;qf6c}B&x1*~FPTzPHvYWCbTu~i3?1Igl8G9PK$OD{XVM#0=GHc| z<%=s^y)QCKb&7xKnr&|>P5-PHNQ(v?@20TR%^vFC0bghJOcIOSBG_^7Q|+-q&| z4k)<%gt-z!bPj~^%ckb)EVfw}PILw#Q%(e{KnD!W=oH-M466VEd~XOmG7Mi*$n>Uy zI~g~&RaD9xfAw$lnwz?k;wptvhDYzmHVd`j+1>^@wd$FpF0cj=AmRx;Eh(ms5b&*Q z)>vnyuFEH4I)aq2u)ziSg7NuI3zvA$SG5fyUdL}QeQHPo&ip(O>$K359*pTLtl@ zQlSrse{_ftvrV?Z#suQ~#~aRu*iwuqHYIc3vIPz4mGP@@Z!>S_^)CZ_86_dOHf?2| zFm2U4h-e3rk#^&%@Fz;+U^n~hsMLY4$#iV>16Ujyx7#k5T)+tv+#oh+Tt}K(lJ@R8 zzkUG>SYFM@4+sD4aZ?O}thAee{h$7|=C6rKe?2l_(&A(e^mL@D0_mdk-LeL+fteLb zyq{|WMRY#FR@6Ab#NO4V&f-90IG>uJGioqSZP8kZQKBslXec*MdP6suYTKxYM4H~B zTxm$kSfl~v>EKoWt^2@0r+gk#fXxMj{VdC|Km^XaSIqC?Yr7^Q9nGq_= zf7T!yr`WCQ%0`L;zd97D_qNyk7|~QY<7v>SnAh_@6@0ytMH68S#tW(N_+fdNGx{i! zqEL?R?aWsDo>xV#B=Il7n0;#BeImDpW@SY}%?}xj@c}W8<7fclY-X(~R_ z;G;=>X^WQgI8~c$Vkx`8-Wm9hrEUfPRNMom2Y3ng)aaY?SWnJR*>H5&uMMB zql7ML&?2Js5H>2IJh#BZ3Z`?}yS7*TALh<;6)9lR;8f-P?AN|Go&fa4dw_9-&1f;&C0tC0U<5=20t%TsorRpAqcD z`-O{>6q(?w55akXU`fU}iHYyqf3ki@K{n3R`e@toCVAQzQ>$s=w`>s$wVs@&&2j)x z^LWSIh#r)=e%{BgdvD)`W557y#PDsp(#~Kiq6GP~uJx)Hyvw^pcX4SZ-7Le}A2w-Y zj@0gioxk72cVlsBNTf8D$vU)os8 zP0E!%9c1EC^s^xxrC?+h#RL)LQS2hom>jEdLTq?%~X z(Q1>@DUy)+h|)a;mPvu}3N&OE1UPxWaGh4HN%zBvfK0GT&rT+%?{*_OsBIY-!Yb26 z09b5zRxvn5LLeX@AZB4Om+%)B27h8OFiz_-Tm|J#`Ky8bLFZi#&W0CfU{Wb5=Tv^J zc8H~eDM+Syu|z&;mjERaC1?^8Qk-ZU$u97iWMI`*v-;WgIH#Ok?vJmaV2wx@Gn}1< zr~-KnjZ}+)qj^H;Q&{pk*)q7iq7^jI9nv4+9U(ps#sH9B;3yOfev-Hiv43N7uamFC zUoz)nXQZ8q%GU&|_%yl$;`2vFI^X6`k8jrP>|Ld+!un@^)eti)r}#+9zPRq99P{p; zrwdgbT;c4Jn=*vPK1o=V|0Ry5*Qynh1T@oyDL|fZsSh$Nw12U=m39of$>9BxqaEdvl=hpG*xk0g)kZ0ZfZsFKljqX^ zkcr3Uvbbf+g{Zow2w{8rV%Y-c^5`!;VOPxn4?yt0rZ30y;bJTy^}N8PaaP)88ZsGotQ)j82Ax-cJt}EW8fmrJR6m zU7KMoWD1MczKMEJkbl`&0{x)n(e*`Bg47Br9HmjOkam~oL2z;}yED^SU{#x6h+Xr~ zuYmlnF_~Z(W;L4%ZDd|l)C-+^^@78}tb6>o30&#q0#7o2KRkisd&tEPG41iNM}Xcm ziJ9<%lOe+iw%yX-nXfBbXJh!_0L5j3Eq(e{X@Mri?W*G>rGKRLBBeWI7f|IF(K<%-&#(PeR~no30J86sH5=l(HS+H1yMHxe^{c0co1|p?eArfa;QnOQHIu z9c<~!=q4$pY2*oJmUAFEeFLXm>HvVh`%S%{m#XKWl`BGJuteY}CW;&yvP*^F=T$IY zVN(066^NIV%zxr!YTHX@+TCIRl9MmfNDQ3tt=+Y}l zR<7hCtf^(;Rx{Jnyw$X4XKsGK=$^|W2#t`>yxWA>8+yViDvrd*^2Je1<|Z}OHsCbSM^PU$MQG672N`?hj~LQxB`P|{LHX+YQMKMFInksrT! zEU%11J z=+=8zX=1do=ym(mt6|K$CDNTY;%#ZdPk%$*Wq{mFfFiWxX?h|$7OvT29BaWhI%jQe zo$4qWy3`_-9v22GODDn*gs4QSk@uyM@Xb>J{hsbiJ(yG00?1fYvRmkyy}+FXc}x>G zqHLZENa=D*uTM7ckkC?V8CZtWds`bA^WhY1i-jS{c!FBJc~irJ9bGaRhpM|$0e{7~ zKG*wA?6;J?@)qJocZUS#?m{vRR9Tqu-F>>UuNl$<$FMFLF8dk)NkF#0 zKekj88#P@O^u+m$zqK7_@7MA7Q#aBfxH0Z4J5#7tlRA;Yj$2YjYK*Z#(wpg3IH4pJ zqk9?8eILd*54C1pzVthr@hjE*=oo18P(XjHeyZY~S*bb5AoyoIZ|OZNX=ja(>Gi#P z&1`H@x?+X%Bl8*E;lygzPx1h1Db~MzZ5ft}@(W@wC-zb_ZW}hg6n+yr-ZEqb(*D(f z^nSY%7kt{2Z-vHo$8+oLJ*T8ili>I`*2EjGBLBKHOXed5s!A19u)x{ouSaf4pnrcE z^ei7WW*Y-Qqq5$UBwXXIMosTsGvl+pFBF&KE@!h!n1lWMI1Q+nz!!JRS>Lq3 z;3;e?fz&1U>3HAn{gye^bVj$^clk)quV6<%)PeL)Hu{v(=VXx|rhkj$!*?JS2;277 z{ed4<9a8f=DjD_PD+HNSGsomR=9z>Fg#6vABq7Ze71%bDMo1+|Oo|aPv6$4?r__RkIb}n+fD&{v$J*Hq^(>my%hdQjxi-;@=Zrv#{|FT0Vv327z}SU8Zwc zO7!6Kh?WcafQo-IfxMJ8MaCEEy9fe*Hz>J~o(1H{ExI1&297)noc$_0&*~}T!Y1p{ zd)iuRRTx2vFR*c90X0NlCOm&15w3Fs*Fy)|A*H6^I#m`1%6yD*G>cN1*VDUX91U#k z9R!_-*Q^96w)(t30^DQQtYI1_UeD1?#~@Nsn3yNKCdz^c4dhLEZWXD-yInDZLHE~m zEn-M8uLg-E{rF`=)zOpfD`%tHuVKn+ppfh;B}sDo4}f?{prO@MT}ES+mX%e&fT3? zAfV0J^8K>;V*-U!&P70rHbAlu)@0JY0R%BOzyiwq7*1~F0lZ|r_xV=B`dloyqjseI z!XL$&Ll&!{R01Nv3%oOF4*3u#@9sLf0wb41nDZB(L|6Hevax^rVzIlq%e|M13U-@k zre*l~q8)|p@l(~={;c2mu~w70@0ewe#Zm!H%kDBo*=R%DO~a ziDQS}Sw2n8VsPw5X=+&gng*SRuNI}cEzgJ*3bGJRu^Z(|hlzA89s?F3XJP6roRFO?Jq zMbpCui^nMdpLlOi?N;gxa3+EhNBS8&zv2|ZcFPQScAR$3(gHnJ$K_vCYO2AU^wW^P z@p!f+8{FU;OK_-eZM7j^km3jDB~fUwSJmZ#4P_{Rc~^hn7j|7^@R9kx?FoN=vOv*?tauY%*55WksIUZ-Zil(tmc=IFH`8d#c5)3XdYpcQrtf`u8Yw$W43P4$07m!ax^H20r zZQei@uC8cN=fBoESvW^4_41dgMo)sFWkuVcbjG&q$+_?1$lK#=q}u6Gi9t+{Fu<#j(1JGa5LMyET5?(0UKJNTy-Y$Ow!mQO8;E3QGUu{7@PLOO{PLgRQmmdYa zjfB>3t}K;%*(c5>u zdWJ8VuW5+(Fjx2E9uX)J_h<-qr`HjYRsZIkjD8)~3M$ZxyQ2MCTp$c*X#9pHWl=ht zyX5i0Pn*U6$OVXIQ?}BYlvxxi#EpNZ5QrO|%!dbXF)01*=t;eoKO_;qu=gZ$4y-DUsovj+&Hv2VD=J$|r?W*Q zMfU##gkB(^ElFWrZUe(PAwB3Ca^>~6*3va?)z;am(;2);lg{_KqRrJetA~HR6Ceda zj&Jsxk*W#>!u7Rxd&wq}f(7eV=MDmwudTJGu%ax=c4y6|MEjvy=0qkYwfM{;H$hp^ z+)#JVTPK7RI7Ej@gjU@|Z4^X6Aq7c(u6zk138Ts9n1Y@Q%7Xg6MI!!a0ROrtLv8G zbAki+AhgkgcLeqC#y?OFSsL9!u2$*^lzvd2q(=({Lx4Ht4kC2c@I3C`i1mTQY;|!W z++P8&g9t*cbTdwX^hPA_K<){HNXc625rJT4)R5wLrIv6_yM2xvI_H0$N|*%X^i-%Q z?JRwwjMrR!#}p)QDHl;y7Y99E1_Vs%Nk>Dfxn8B!~7t#5wD|4!@KuN?D-m zB-&dQ-wAfy^?+UIMF~P_*nCgFg-)))$3=NPl*I_KSZ3^~HYs_8qDt---vkp(hx`so z_1>zO!GiTW_oBQHK}3Hx(xX^rt8KkAB#GfF(4T~qU`TZkS-tF`g5|%4!g~q&1f68~xHsK+|leL+_2X22Q!iP@+ zGz*kV^me*LMxv$0moJ}M`({)fkoSAPSSkHo%nX)Px=Nfsn~8wNOLsGvT`Oo#qAI3Q zH;fbki4K4AA&p(=_9nKc3BL+zIjQSG;jOpvbttTVMFBBZ@$}N! z^fH#p`?$jk)`r$)PS@V&T}_Jzjp-nkdUGrak%1Ew>G8O7NLjxdMrFX}gxmUwk#QUtdPBax4b^Uo-wVE_@yn z^p>m=+Fp+`@ds6T{Illu%Dtlin9%+B5b{`V8Fq#WLb*I-eg&Rhip1T$^~1NS9N2%W z7w$sw7Lq48TKpx)kIx>>X4hg7Hp!ARz6F0lf&1u*XRWvizm{1(icCl|b#|hYvV_lg zu>G`y>42gIBWGm@I9utPHXatm|Ih*wrWA0Ycm?#qdXP|Fbo9xLgQ`cM6lA@kC28096W9wMnB18pWsHI!VtKNSvNhc#VLv!M6A-RaYLhou@pHPY;0VD|+ zzP#ck(`Wj{ck9<$$u8^Lt>0Q(DxrUUB}X`2>h7=xe``hy)tJoFG&UUqBs_t z{Ohie#llr1o2-Us-YIN!%+>|kx&wW23w>=IcCBUuG>i0I=4JF9?pav!N0)zxo+7X% zkJ2pb(j6&HY4IsKcnkDApt0|C>2;3ow_oYC?>XO23w)40;V#j&>zCgx11mm=m}B4R zW!WPy-CaLZkvxKw5~zd@>4|qadVxD0*>dwI!$@C)pT(d!wyEeV74p9tCF3yRc*&&+&0S0QdB8?X-F*EYGYYVtXXya+4u5|Sjj7{!3b=S| z;usNlpj++D%r_vU&<{+`7oz^=nx02hX7+VA5{<;qq830lLnyJe;1iVIsiiin?x;WH zQsy~(`u%y(L4UG3Fzf)Zie9vnnK^OEd2ajU zsroQI#ms<pChifvt+mY)=9-N7>+A{X_iQ zR;{i@WIORi^w>za(M642&-JRiziV*&ESIiv8HUta7iT2a^p}6jwDudU!Rme?LuB1J z7&5`Yes<5gZDef(?T!M>ZxdUJ!fEY%tGQr%3TKG0&pd;T<&AM%!o#^2HU5D#VEd(B z=_E$qiTUxJRwF4pr+ET`_p7>_<}@6r&8-M#`Cn#;(P0#!PC^X-NY@(`arfEZ*)pi6QffoJ{5Qg z8Ll}3;V^01CWv*+Vl81gL~z!Q+1BPVL|zdEz(M&D9ov7aYueT!zXH3LL-@DFLKI@G zi)uV;FO>xpPZeePJz9|6gYb#YaP3T3iQ}XDc~#+Rc6-Gp3WrtIl&UQJ+KAOb0?ta? zXUDXCg|`7R@B5@C+H_|_UZz=jFS*6EIz3+xTt0EVrX!X=`A&mK>sg=T}52|#y;##6xwg(51C5jS_1P%ehR14e>tT`>0 z0$y6(f1w0&I*jVO!&wS4(lC8aC64aX?#8~cf zS86)|Z{l~hi`s|zCQ&2l*)c2hxdOf9<||BL^R-%1Qev29E3b*YHFCk7Nb4Nt%qgPI zoFnU8HkxD)zhD}F98(ayhozq&3)1=tJBJQnH?B(Qq^b&GjZF>g&YFtrN2*B8KEb!B z^=yASFnI{lBwdE*)NLdl*3_j4w@G6_3EI`UnMMQj2b!a&+Vga_jkAYGhBORXB_Irl zni?O@gSix>a)S-2filGXfg*DY{ttiD=%CV3q`8APV9SjG1p9RmueD|OliY5J6xg(g zS8H{Fq>knrJ4dVm*3l5_gmnrMW8vRMBldrpYSQ2m06J|WKWg%EkNxnBDJW; zEKF+DcgC?yYK)8CX~st$>{=&;*NR{Sjl_!8VH8dQMhr?-Y#_1)I-xoL-$47D{X)O zyaK(E68AXhqhBeoz1Z5n3j(Vt{B0LW48=WX z%(b2|_0t)e7l^yW4k5J(l}3)W5gjrEV%i=}*7eM=ftufi4-BuyHC)(Ub0Ic>PK_+q z>A7k;K|z49lIAlvVAhi@4w8~sjj#x)FPv_c=5`xH4Is%OuB#EMERXF zJi=;e(hNbY$oP@|;qdEcFV;jw<5UMfC65|xNqp+8Hf6VdZ^BxVk9Z59ltip11t|uxv|HhcE%^xD-!iaJqeFYl&xbvD8{fu5g*6mC)YO8PSYi#wrGMHa9G;NJuqur-Iv_o z*rDuJ)MTGO)OLx{5}NFE=T0rcWbY$j@Sn{b>)>tYx|cUUHs*hI7%s;$e%9n39U*8H zp*x=284qdhq?&zkHOjCX$(L^uvqZPB!{AA{K5ApSr;9Q?lueAGPo4a_G8+|@LrrH| zWay#wf^v*Ff|$}10=a1dvqT2+C@W&w6fqE54z;ble9)vXYE_nfh=;&rZ<(VdLH^&m zv5#9tzrXZ&mG*y*;DRrE1cZ4TI!hsiX0NjwEif{ zh{jzZda6ZT&0fI23Kvet?T|H`Bq|Wv!^Zp@yP~^4nhSpmQ%2kL-j;=b<7=_HF?&wn zPliP+?4HRD(}17rI?5V7-!FFw3utVWx$DePA#?rRdl}E0{=n~`dQ7@r5%PAqGiA%TkH|#0o70zun-5)y(@w-U@5k3in5@WG;s)v z7*YnI2#|lG9T{UMNufAhlK&AEJnxC0X*)pF%VEB`V>nwlqo+WO=y{aQ`X{6!3btZr z;9qOLk^2BMPS>3c97T!#@c++gZ?!!79@*hPG|66co`619Q6oe2HB7dWhaPk|)m3YQ zzj4c6=lt4IVsn9$)rzr|zKX*3&V`cwQGV{=PDy`Rd5I-rd8Eb+>c1-G72yxLKxlel zTFa#Axk^n!S%dO4C`RMzl<_g-fYgPSQFs zyYV!x!z5e5Z`ChN+XnqAsR%}j&H`86{HC{X`;S-sr+q^xpnqxsF~5^mD@=yc5H8`Whn9umg*PK z4r0mw*tEWzg17r1R~~y>h-}>-logE+GpEQIPvfOUEsBI0ZJW#LJG}Q$E`x{5h(!S7 zurP3uQ5DdaPo%?v!{S8DIKXCcn#1Yns^x#A{PYlY&oBH?s3$HrwZO;I!(oaudQDT$ zy~Yr;_2ig2@E5oTNs#)g0k8TVVL6Y`wz=Uz_Ak)VG~ zOdn5!7j*5q&?EZMN9BJH#J)Bd(0Pe3F)j+rVw}>`K=WJ&!?nw?liO|r?c)ru^=6kg zAftusl_^#yRyd4hWILKfE^7I27wgj~I$+7jzd24B3NckmGF_`p%LQqfKWerp!sUJ~ z%D?j!NL@^ZkCQm$$xW@@4ggb?GE#qRNLd0_7Q>ZG?;CDeVHT(G*X%rIwriob0Ru?_ zuy~Az25O8T$lJkFU|*y-@fr%!@J3epuCjE29O}jmt70WAxKz*c>OtD+A=DBuR2yVc zEx0LjS0B)6pLVG=Le(LL22f+H*cWw(fT>^o!?YNXAhb@1m2ge^G z)iKbCNY=iPzzPDbT*K)4!rrmkoAg;+s+%=W;Dq#dU9#PeUA){Kk=&@JV~YiGmtSEO zkNm59tT6!Yva$TksqAP}?9m74m^KWxN4CaWj6`#7G{V^l6FfvH5PV*C9X{;_%Udq) z*>c}1n7tPfZ^BdE)YFe5Ej)j%9NOg8>rtBcD@&1RdD@q=x+rD{{_C24iG~E1Tq6Q|Nt;<`zVueyb$G^%EAC zbC}sD)61i#<`Ywqu+*mCEJBo&CCMzi9@;cCE=^8`VU0}9H$M$c)BU(>iy863uGYC|i)8QNxmnrJT$tdr1Dw4F zz7AxyeY-$;fZd6|%QFTFAP%u$+5$ctLp$Mp`|s)~))k{VZpF)QeBe_x)_G%gnd)Zo zA)wzpjw*%UXs;qRtSr&q)cf+qI(A>su0IrXL6i|nehNXC^&WrfWl|=s4l1~VCFcwa zG%@^5yN^g{K_qKDROgSP;6#LQVQ#*FA7g`62Px2hwl- z7DkDgvS%CmQO|#)O+^-x$L3?#?}g4@9rSU?VIsjSc@;zt!lY`WK1+g|T) z3l);+XMsh?f;C`M`%Wj^0->wx+evB21>tUu4~9aA1tl(NP-8n)fF!{c2Efk8zeat0 zb>)^>T#lmBUsEYeWkJXWt)jJ^hDAkGG7ub--2A)QYtnz{vp_3PSfyx8+Z617xuSzD zMiC^prL3%=%osV=n)MWMt0sxK`LdDe%8g0rbTat|K z_xyiq6_h$r0H<70%^N1Q)85O|8k-aJ>F9!Xz)wAA2JRmY-?m*8X%#8;qiN#Qb}UC335-2H<0v#Ii96#Kg+xz{8W(8TcWlrkgcgUl zcZ1i+^e|_%tG7fWKK2W~L3bB7l`h04WsWPaFIpExX+(LflA1)-hxJ#vm_{i(H@W4-cPB-PhsT`kf?&St6d-#f`}F<_SU(rq7p zuNWa{;W8c@V{|km>ftj;(=uUp$$y>cwki&n|jq04EPaw5dxQ0>`*KW2OHoWCFtM6ES` zVikSLu1++;n^vZcple|Z`|*Fp7G6<>{YibkwZ@);mF%sm$OIx33|;u*=w_rPJfia| zF&IMs%z{haZ=)Ep6H=;YnWaHb3uHi$i+&|6nf#e8HN-^Z3?H^r!QHzH^9L4@@Hwfm zP+>kX(Ouoy9h-dTe9OWh6@k?3td9dB3=s^(6P7&r-+Hg?x@q z^&$clP$oIppR7>1#;>XfnQP9<0O|S9;a)JALLBRMT_0FbU z!9E0QA4QB?oA%;At)QyeF2z#&_IR(-+n0SuBs|Nf@I4YLwKf^s(`|)DS%>bkk&<$g0CRwpS zhgqM>#g1$jR0h@AE>^W5Amz*`>l5(J0G?WZ```Od^E8kI`Z= z_J517IGGdd(1_zAPD12LI(AaMsGB?)MYYX0ID{otGY!i~*s%vfT$d`zM%GihbE;e+ zYVlK|;os|OK-$}48zXCX_g3i)pq>Ao8L1JeuHt{KxWB3kHCjeWZou$c%G8Cn1)`r# zwRKj_r;1SR|5r}0Xm}eZbK62wW6oQWGJtKaJMdUvC+JTG?j3xwKaR)O8diTli#uS6 zn|4IWiP5Xdi_VPutT;>C8DIUd5ns5|?bX6?PCXnX6NxKyoVU`R_4BRoms)@Rraf5r zJV$?Hxy5%Ba&p$7cNQdU2Z?r-Np;_7-N_mMu=%PH+Qh&1wH0NLgN7`Emv!qM@Uj@K zZHpE0AMV*Vft1!+P8oh-ssu?B$l(A%8Fo{$Upy-hh!lT-?> zX7R{5Yxv=-Pak!0C#vQlzFd;^l-7S;DcXJ8!};N9{{t%HvbUhLI)rSyHESf2$HJng z)ZCC^Z)7HaL}73h-&M0yi8r6BS!HP3%H*%Whur9H@uLZuK9VLCZ)Soo1>x55Zp47D$XuRB>i{x1P`@`nOduz=VB?t!5rUF>xu+UjBjE#79b22Fp$D2^Ju z8`|)$zA1lMZWC4Y>hCBCzf!vl`go{J;s9H{-^YW)EWNCHdA*XAS+@b&MC*J9R!%LC zc6wL>zG_o>%|wD$Z+rQls^fp0b{nh@?_;lT&qw`0!c0*?gYH4OMI+v%GX5+mXirK_ zbb$Upa+BnzU~z99)s;+$qIK?s%lw5Ijds-Xy(6Mlz{&m=44L^kdVg1TWq!TImG7zOZ`_7HVlZF(E}TA0>YZm*@?EECEUh zA&&1UQuu)jl-UDLcy)H`8dMhjx6XY#)}GvR-bNRT(ZRbD11?ID%@4i$4CvOTQPBD4R?s*QTXh$TCbz%Q zwO-AER~bXKLAnp7?r&Qd7i^7_nS=(VX^q@bFK29~7Jd=}smOGmaCY28)Rz)js$nx5 zdQI?94REeY{9S*c_Ye>wvbvcp*-#3997mn55^n#k^eamObsq#>>5AYn$Ah)?WTd%a zjKa<>XB<){Eti6Ku$y^F7FIP8h(VA@v5BPFFsvY4bO9YUAFOQ%CT$SG{HbiGe|~VL zIoJ+7IS2}Q28SozD|~J78_A*a^Cy{tGsd?E*CnxKlE!~=!fh+i&pWQSI)#Psc^P_$ zmMuxfkDBIf<^ceh89iHIzJ21aQ^elde6pO4j@gNpv5xtYe*Q5GUMvoeFt=LD2VJw# z?p_9Lj}Xo9MC(G(&p^@g)ZXKN(4R%UJf)kb0@)baGNwaHQ&yv-3{a4PD4;`}( zfkj>@1ZaQKD8Rt&d^(;T4_E1IA7arCyQSJ}AeDC$new>H5ygp9Z5~KQ5%a@!JC440 ziaq0-!gF$hirTH=KyiM0|*qlnvqDx&TAN`(I)1Bjl1S=H(=7$Jgq}Xw+^H z?8*%a20OJ$y5^192`eUp2FUs6xeEQ>Ix{o~IQD-+kImji==?kgXJ3y`}>0Aj!!n?pgEyZUbaguyxWg>Wq<%p3D1995Q{-ecFa zE2FRjyx<7_1RnRT$G5VyyLe$8TH~W{395gLvvl08v!=hE`awV8hReC$>N7lhW4TAm zg~ORC4H-C;3hA{?Y?;{lVFEEuP0xGpP&uDgUo=FSyAM9?6U>1lI^@L)P1(Zb$($N6 zr|m)1&{BAtrY*0+qyVBaugk=KtD1@dh~;s(2~R3~wHHFaJYFYGq#VM|SMlZTDhYq) z`-{}MO*t#gD+*q6iRVlae4ECuaO8tLXulkF|xAHa9uMKSGdwBE*~Cy;Yst3a@Rv#u7wFj>oO%i1Jp8z zC<$#iMy>1;a<7ceqWOk+16rv-)wPdzcT{WnRsz8nzX_joUeS=~#bik7|;@<@IkGPTbpet2nS@chdT8b!_oweE4u9RIm?>HEW-4*V<+JLwhiwHP4;aunJ|uf?$wFP#vKXuy<7n zVroJnCl>$g(*%HdW}yR;O*>-Ze`sP&_k~niGK7O^^eW3bX(C`p`>TI|$6|l6&Y>@K z@}T%m3xCvGQ~#>2Vt%aB#(x_rBRx7a3C(OcPO0?_Orn>!S$YAx0)3UsihAQW0vh zpQZ<3MDUyJy)ELiX|#W{gCSLdQ5#dItPiERdRF7HT2G$%n!h9FAJ_b^Jg--dTCNlV zB;;t^6PeXT><4%~_p&#Nhgr2Wj?~)`P$1J9s0aQ5QhsUQ@r|v3kRMGFn`);crZ=oW zA#pWR%bNT472;*rjL@s10%e-6LFF+6%KJ^Ca=#zduKn65a8Rx^8jUYeELqnW7`=NPz?L0EZIEiANTvD zFa6u60xAOnUC?O&f|%;H7yF#kI1w0PX%xq?Z(@DQ!<*piE5G$R!eyjFk_Rm9_cnSd zAJa3O(XQ#1=VO0E&u~W~JDOg(BPku|Ndj46N>&XX0da8{L|_}1+rp|1;3qHia25J_ zo6^pCPQvZ}jXOgt_|`@)bZ{p8+mg3ro%`S=R;U% zu*Sd>FL&F4bv~HwV<1*B@+k+8!2D;cQo^2hS9BOE(^r4@{2-u`VX9?7d?)t%9W4H7 z>ie3-9DyA#=wT|irq(32XYJL;P$Ls?^_joyz&YIs;e87&A4d^?SJ-9B^~fI%aeD`- zikQ0VY#gV5Md9!TS1sCWp?{~XY=ME@&*@@YDGyp#*fhAepj88#}|7Ni_HH*5k zpqeDx#3a!P)(c+}*%LV8O50nqBuKREh%D73?o6w`2sL0amb0B)ldku%ikI7@aAM2b z9~iNJu8ob@MKO(&%mQAK5rDdVREM)W8qWB{d**+Fn$8xPo+3!QdP&Rdq4jdmZ6%}# z9QUekuJntlc_34m|7gG&JFEWNI)r!cx@|7NCwJ9Fz~i>s(jJea@N96+oldmH%yVzC zKN`L5{?jtH1{q!=rP{?C=`3=e$;~`x@-7~FB?If^$7^mc=nvu_QQso7TIaHVUVfFB zuabXM+;u1K5)aATWH#9Ztew~K1Q(h<8=+SkJ-5_y=^Lo_i{8o8c;R~G-7cdQ#$$lW2R3L2oo@JrBGrVQ@KRSXGxmQR z0I4aDDlgwuG>B8Fyo|;J7Ppl`BK0hA&bQLqOLTaKPl!^#$ydfR$qh1O`fl%(KK*nX z%gSH8=_Ew18#ovfl*s+95`-xt#w5zC_s*}m@;$J!I*ne&a`)sT7Lq8F;yc{jaID+( zsfMh@fq27#gqgV8eaajlms>0W;-`NF5~0nYs(66j!Q%^aP4F#FN(YWznOI1nQEx!X zv2@RG|7C#Nd#?^u2DusCLDL-qcbP{ab{a_Iyb)X0(>J$(76;C7_JN{3Is|JwEMp*~ zhDL85ulVaRjxSF{H4lhqQWPE%z_(|N3|ycGCQCEtHIs*!>JUFRr1_+CdKzd9&B5k+mMFtW-0CYdhjlPf3* zM0mq6vB+{F>K)#(m4sZiwp9a4P%1PpQ2Ye#jWWy8ZNWm3(yYomGzmR_J^^t;>Yr${ zYJX+bUh7Y*P zRImOWH9Lk6yot)ByEbY9Q#Y04`^zNITvN+`*iR|$R+)+&JHu<{?KMegAoo9c*A4T0 zrQ|dqMLdKw`rvI_SaVFC%+CYQru+kCZ{T<3+p90vAqXF{nz+Wj+!T@cZbZ8Sw`;Vc z@>J2LBJ4`4-46hx&wYPQ1k;IsUrf}h&Nb1`DIpuw!NMEoFeckVwf3U!x}aTS@#kyA z!@QFp?-2Q5W9fy!&!A7n@>s_#Ks@)UVBWQmFd+5zFO506J~~3Y%v9G}3_J3~fEj-v zHu7DuR*OBODNvncm;g)d8#`FHw*y^3-k(q5V3aNH$jcLP&t9W_+eT5EO(Ayt~N z-m0RoBt4XFn`sp98{IiOTo+J4FM^q47-Ts z?Luu%K9cXHJ9B^P0kj^3iKJ-5Il(7mem8vykuK`0Kgbt`0BhrxK%qa0nMrZ=+G+nvdKaXxN-;S0FBnRh-+B8 zQZC0`koJ#()u>&l{pgU29^O&zXfMdC{?RxNBd})Iq8xhnhJhc!!&Bj@aBp?B z@v~JJ4x8_hqnAulrxmmvcUv034nq6_m?y5_O!A(_Qw{$}foihJuoeC9H$x|x+wc^a zpR{U@Q|)RjK9=p@Xh?T^7@P${1wz9iCGwCoXj37|acj#t_`O(P-r3}q&%`hwPVu3F zYN4|hK4E{-=e6>O7o1aivW?*uQQ2U!prwoUbtqYwfaDZi5Pa|h z1k!KTc(@&+P{f8`YNUdwf(UpEAw$^5tzoSk?$>dY3lgj_h(EV~e8DFQ4c;xVbiaw= zy$N%~dgTBuIbfDE){#{$=`22MXwyn@>U(`^3vPdv-f*0K;P0ci**RUPe>SJU{HETI zXdYW&w3MtSjOY4>|Fhoz-(iSd0D*m3$8*HVm3qnN))(Y+Z{)Y#383~DzoiJyA^c@S zxwQT%fr;?;^n z^1sHtJ(mwvte+DO9e*^b;HZVMD>Iy-bwPi})f0WRDe95_aX_7=CJstxi7D$~MKh## zMUU#qE_d$Yse|4ehN%WqL=K!<=RWE_bL3@d#Ss>mu8W!B@gayAYA|@rWJEoK5Z@;) zRgLrl!sy0RLkXFi zKZM((DA%|D$ztA5`7$R8!8f?jw}}A_v7+rA>i`!(=)XSph8tKlH2a^cchC**OVEJR z43{HH(#g_+dAMag^fzPj)gMdiVMu=>jD!Z0D_Hhgc(Y@8@-cq*ZT9&gc~+Qpt`f-M zw#&FKK#FF(#UxzFE3g1eUd;pZJY39R@YR5eSPBjXoy7&-?>o2fFJumz0&(NbP`;)1 z()FU)WK46~D%(3K;gtz%qwF}9*&{?fS@$VzkY*e*St7P&THiuk(G>x=W~_fW!zw0k zI>%5Dr`#9BnrYdr%@%CPWBVVyCmOsKUG{$fmXg@8{0>zwI7MV-GfmxEU&Od+SFQi| zY}By8d3m*+K7yJw!l8f5WM?8x-8!Hn&pMtUi(YsM66 za!97q>yi9k&MEXzp2Ychq7sLpscOzv5dx#?hu-o2(A?BdE@vJ$j}7$8F^r8HW!~6n zk9^OTf{z(20)l1^2cPzYsv*%rV}JuGA^;UqzQkBf zE=9Aop7Sexr}2M*bK^jtvI~nMeip7(^>I^M7SH(3SdBWX^m(9sq#nfm`ouV-W&;fC zjZ&qo6ygI31}2!c@-<=Ac3Q1W!P7h6pH-7QHp!P1t-~_J^MNWQbCIb>{3rl5qE1)X z!JP^xp*;zie@RsOqnzjMU*8m-@gK&SF8g`%o!wvA9bJD?3LkN&Rf(FxWE&?*aU@dO z0#9C&J60IH3En%F4ULv6Lwy0GC9j|FCmlrfP27bQ5+B?)z{Jp8gOCKi=Q3|XR}kG{ zvTOj%mS~y#$E)NS-s_Q6;TN%;RT|APGr;XvV+nUdUZCI}(K06bGwv~ZY6|#Znh6Ru z-8|WZs<40m|9=B~`o+9)9f|+ruaski}3|OaM!gfiEbAa5PKO=-#F>q6hd(aB?7Y8rpO;#1I z{m6K;0;pZ;gYpGQLt>khmjE?D%D+4#aK%*ReI~;b-7lMeG_6}*`<3>IwM#n)(pO(n zR#*x%xOG8%{#v5;bHnwXk`pvt&BRiZLOfto;Y56=K8hj13zIP39Q|-#X8bwa**F^R z$M&!V?tf&JH#e_Hr{`vyQp(EN${$jUD+&qh_d7-28?SJ)OSAbl$s|F27xe+Q?!tL~btmV4P5U@TC(Db4%%qlf36+}qQ!@up z=Z9xBtCcZS@^BlQO-jB}wY17rpM+1oaIV764p)6Y*8~@oqLtHBO=$eV{cA9?I((Q%Xglx8@reDOFQT%W79CyTGPumg;ge+Fz@64QdL` zjyEKKAUlkTz>C|mg})JX>+$%KiadslYv_~7z><%$i!cEV)17U5c5_PeC%)fd7>0|j z;gQz>E966s!5*a1gzxacSr+z2iulp4vdyDX(fw_|u1H z=BS(p+vMI`sv;kR-O(_s%HPgL2({dQPaVKe$}@7woH`n^+bo4%a_K|f>cH>vb-Ty? z1!GK)di{%m*_^HJ6T}9!yHpD| z9t}(XgIx5&49W`}Mz5ME(4Dw)?!V|8Na5qu+&))9y`1emTdiOZ=({;m$Df^l7U*2a zDw{zJNK2uut1Opg&gKm{{Bt%YQ41%o7xvvKaWeZA$E7UkmziqYv*t4tr&)WPDFXm; zb0$;e1z zy%kGax@+v0Qp6(j-OO7fBo2fsaMZRm!&6ZCjA!0mq%n8VG^aTP4#lVJ64^hF)x{DA zZFfLlxH3Z@q0LSyrc}ppE@h4)u6$a+JgX+cbzbK&b))hZml~u^)^=`xO57v*EU2iT zNCbZc|C~W*C#p<=ARn*5HBaP;tW^o=iJm$|Q@3PC^@OYr<|JUwK)Uv-Fh=pF4< z;)Z(f$AEcBF^ZyixAYDqID&Ve9T0nev#@vuSn{2fDjWg~R~rn>J=jRgC!1G8Q7gc+ zm*E!{6@NKnFu#kS&~*_4ai>c-2#K$3gx$`bL21ws z&2W6>OKH8(!(rnJa@8F9a|@1m@e3JkjL9*aml%ko2ZTX#r;ipJmgH!7LH-M_jWqrK z5*8vKjad^K6#EoOYAFzR3I(H##Vp>OdyS+|?SB%H?LxL}5v>X!d2d{UsNY<>R}RZPNZ6IfX(r?eNWu-{3K^#R%kd?VPG^_w?COtxU@puD2{jkt`fOA8vsC)HiO@ zH=shng!~9*wVTUeqtF!S8hvRg*aia!Y?3x3Hk7r=@PGI0NmYap zR3K5wBj-o<@*SkWb2yG%NfEFszogx|02fzM!2#fMhe^5dSJ@~Lm{*m;?Am+;oXMZD~?v@$&BBud}lpr*N{r9fK_L|!8FvNF7ojGnq>!fAC z_zmF4+9J>TxD)_b{#8_=Iy>#)C;E5 zO95_16tOW)`nK@dHW10V(B^edSWnK|T4$q?YL-okesJTlB+7<(eSf{6Kp1?KiRUZ7 zo(d`3_F9Q2!P=q3=lxWiPVL9qt(%-&K7iIVv4d9BBj1j`vK$P4@xpmfh$DX$mXvYNo-U^Osm%<)htAP0t!8vsnG?Eh z>3w46(rv=VKCto~b72HzJ8DkU0bBOb|cIU z{Jp*neZ1{_*ME{;!<`&jv+E$b$Jdf+tfEqscM&cS%717myXCJUNjZ^oHH&59#OQ)G z^VVjqo}||GODpegw`PoF+`$Bd7Zu(|W_C}NJ2QjE{m((#(El6LonK+Ci5Ss*L+eZ< z5YP2d6+P89cQd$*_vUyG0Fr24bce13pg*$kCEP*FNujm(6aU#nj5As5mwMs1)mRt( z_x`_P?SC#550~VzHyIVZ$HHW9+v%};$kbMUvC7mVMu<9Re97%?3_`6}64~#QTJ@+? zJbyIQ37piE(QbMPXtPZt6nJhJpRdS0k@=t+<}0F}8+=4$ze&us3#s13Wf3U?)FRS4 z(-A>*u90w%S=hcwV&4lP-(p(pVG#N}I>B1yn}4pm$Vy>BNYp;lF>KeCW)BLA$Ns6p zmovx^3u`S~8x@&Lp1HOGDVj{&XFm45)QmkQNW-EQkTPxmJwA;BdHo#MF;y6E*?#G} zF@yLa(vOjE56Ut^H|~IhoUZN|kDDP+FZ4pQt~1}8QqkS!6TchnKPV<65%7=?}A zXP47u;I|9I&BlI~&4Jr~eQ!_PLFq@8oqxo_hp`IqPh~a)I5K^UgFWKo62suMhb6U{ z?Edj-WU=MVht}m2mm1a26&eh}FQr2~c+f#RVFgNj&+C3Zbk>q)MG5EcEZiE-a@*;G zC!SlH{=5fxXWDR^?xj7YiGHqM+=4KE*w;a0AfvQx@|Uqgq-8utK86`>a+Z`9x_=huNS!g%Go^Z7>UG3mLA3!M>6sWP=pml!}aTL}v-!bRc|1M0(c*VIpdG$rt2>EiFWB~`5WhgsuK%$A6RyCwiH24&u9X zd}lm!2>%hN^lUQTM$Fn;LZ%V&@RY0Gse(^$b3mvUv3_DMRA#py1ORJ6O99yDFj%2SHsa8~rC@HG zy7Ad`H3K996M)(rr#-tNl)3#<+(xx?Ph~`lUnLo3yH(g+ z>BbJLPH&qEG7$8&GCxjx=0|vosT{Bafx)}J^K3T&jqCa2WUvdNB&lx^1{bf`_pN>4 z8C~qxRxT$}q5bg6^|7sCd$=9Im~jg1Gs3mcAN$1vXxlkNr2ZP=CVys10ucZ%qm0J* zA(g$svgb9mtk_4*;zY*pQ3ZA=Llk{r*P?)!%ru9w$)Uu};E%cMi;bDkkhmNJxKNR z`BJs{P2XGQ@GxworGI);FL2peTXPB~P|F7CsC&qz)t}X`KWn|IHUa9@`IC?#89mP0;%CR6=HvhP!-T45OiEf9=wfD_ay*(5YJc1u>Y$eQ^C^>tJej8S zXh!0k;&}D2w56w8QH8|jgVj`ABZSA~yS>&}eVrjCi;7%aJ%hRDQY-a;Norr%%Z<)Z z+vM}vPTC8_2}NzvR%EB`6Lz&)nJ6QLKf#vcj>>XICx5N&TNcpA$3y|4;t3j|p4Ku0Vioema0&o+-k>;{SOolEYUv+1RR?Cw zewDP*a4`HRzH02Dsc`f-r5`Q4$>1`9rh?*3Jh5U=fzFoaMY6N*uc&qUceC(A0gMZE;ESUm%lcH z5SQmfXoxxN-&b@c45bmb4_3bmwB;f4i?PE=uh{Ui6O}n_<(j2#9Qm#r32flh#++fY z*P`B*k$+}nt`g;PG{$Marw;_OL|JpDTY)My_RAt7@!epnzSOV_3{|^4po%mQ0}aWR8iDYuiV0s`VO%Ct<=XLSsTD*Q=b{jph?4$%2qqhXx|Bqjcnq zbMZaX3pomes1!JQIE2KSp_WiuJV=agU@PlihpZtu~)~T{{ zn|}%260nepCy8sbqZ+09c;RtyXd}eTH>BDOF#g+1zd*A)0}XjjWt+@pk7dBU+A#Lx ztr;Qojc5G7LMQwRis?UG7o_f3dd0HhZkUlAgGf0VL0*Ik0!Rsy z15<6PCy5+nH+nXqDqwx{^Xv%F#9D!|qJKM;3V>iz;8`4m^SN_fK~Q^b5XSuf$o3xG z))F2v7f0m-?%Nd}eU+qTUCX5jco0!JI`Z$xcqg7`hE;<(0;iD|ZL-Eue! z8#B3h6HrUE%x*_4aC_@aebxh-Fl$R=J13EFW)^|ZOcU{xG{(H+!A|uo%0(t{^?%?x zoBoOhy-E*)AwAja_@aWy5aFJ_`;J~06g5(ygw(HmVe0crj&n7;UpAep$i+q+i5VQcMD{$)EVQ6hH9iJ+qeN3Yi!gZ}8jBY>=oKLIr6|w9) zqYrf9J!gK>qEo9YqcO{-CvYQMqlI!|)aW}A`%GX6+tBpf{xf_%WtYQ>vVU${(vjE9 zWc@ItMW}xwnGYgU2V}()Dwr;<{eyZ5N_L z_-R||zV%U#V)Tubd=x6~-^?}hl`3(cTZ<7d*w}7obplJ|ofjg!^y$GOfOsZ#Ar;{yo2T3fcZ1R<-#TPX#241F1^Nqh{&ktfM4}aC32%J2@^8muX zW?~6-j%un$hYFYDX(_F^EwL!N_c>J6TcO?m&B&~*bpr{vmMR7n@!{PTbn=zbW%$XU zKM6^a{VoN$FZt`2Ta6y7GuP|skyU46n$-blsPA8%rRiF61QA6-Z zFx%JWk}a&4`f`)@LVp*J#Am#7aZ`Urjz?vrQF>wXPS6-UAC0=7%00qfq-qSk2&)Sc zTMxR;1$f+e6U*TL$-?{?ug;Lc@@KAZ#}C8ao8`^*<@y6UAHDt0jU^jO(z@02o|5x>zH+QpGVD!balAOgwH^QwyWhv0tdQ+V?KJB z-xFZ~l>$YEUw;VF-2Qhs#B@OmF(GEo4FATgTfB@n=IRgO-F|E1Ez;-pJrFZ%?dC7( z>lAC?v8^D&FGR{S7kVAtlnX5+3Yjaz1d6dBxK}&vf&x-6wEbaNnAv>e?k#oCp_x}W z94$_m$>u)HZY#GBBugGA(^+ty66Tj zfhFk#rhk*;>+}-W0UgN6UB4U3B^^t{oa>)K?ZVxjU%fqwYgm+kPq^1j_3Rb|Wslf9 z?2q{T^wwnF+JoENz6WZw1`>BNi)U2wSU=QbQ0ESI%*b`qoQ*(^P+se77b(> z`_?vZOY;#lDVhdi6*WS+F-$qgFU}le=1Pe`H!r*-@P#(n2d{p@FQOSuel!eqV5Ba5 zG4icqh4wI5t1Eq|?OLRt8rO#9C?@jbW+#+l%^|sk0fu0#=&P#vwbg|DFv_i9mbH_) zK!3Pb4ZF$}9x&S;&A!oesO9#PhnrFbK?S$VInzov zW|?u{A5vPWN5j{Bla4T6{$CX7P>hX;x5AaebCybbaKx4AYG>S;SoHLG!Dr-pJUNI+VPzAC-i$c`Dpd)-hRK$ z-%BC~9?Z*9*~?&9yp+w=;xTEKK=%4v=H~G~SU!EU^`C z@dquj3&W zIVZGKD_=sg7F^lT>aGhPN;lotibP+r=mfsN1_QV`G(J(MHX#v1^9vz8>7w`0L%aQP zF!uK{O-Nuk;u*|MiXB?zR;;vF@huK|-WzWk#+v$GddbaG*Q8?9yfCN11bfp8|%Ugs2UYm@D&Iw^#|20B1;y8*h>NXI9>hB00JUc(f5 zpO(`slA_{Z4J8bVw!!$I$A6vjeRv}DNWCq^lJWqI-#G@KfMKsC$i`?j*nU4YY1Mtx z#|-Zfb(!D^k9!lCKpnQ}RHRU+*T`U-?DU7SMMZ?)t!5;ZZIp(QEM&+}k;`mm5HNKnM?MN*$e=jU7 z=8)9_x6nZ*i`%BcWPg{iMjnq@FTwlfuDNQd?kKa08v{`yGm_AolCzC;|A|Fp0oM3Y za5He6q;30cK00z+Bs$o93hgSW7`~g4Gyo7(l>?iY*G5x>rO9!Nn(D_@r&-7Y_8e{ z!R61OYLQZ7G=JQNP#u!z#;BVzvZvLDyCYemXhB*qi$1Yzo~9Y@s)Bx%YOjbFB1R{2 zk{>HGCV9yABJgE;Pe@2l8aECcC6Fc*9&wF#YX+H z`Sc-4vSqL9G8N3I@S&OLnpColEMxB{kX;}D8rg96wq@oq@}%+l@?S$jC|SFqa)lpB zQnf1R%9NSMK@vt}hpzCBTLCR@ z4sa1|iW0lozyz#Hv&1ZC(1i9woM+r6%74<3bL*5f`BvaJ%J9e&r8}aGr~Mk#t@OHp z1`g~Q8<*8}F2UpnEaoaj5`Wo$W^e$!%h>37qUFio&n}+^PY9GoOlBs(3iUHFaXT$j z8I#z1B#W=K+*w7l6D?(+{^7V9n^iT`+NY?vac)oRdf@Mg4YU1^>+`yIX3?wG0JmQMrPH(wxs+D zJ02~QawG4?gtM43IQ;*jxWmCHlz+!`l0~#zHqj82&4Zafcj3;ylVxf9f(_jyEZX3c zRDnje7v;XxR-MG+c2_-fgJMv{C~OLIQ|wHWk)DBX2YNCmFa(TTCH)2>B<#W(~7zV z8lyM7d17sIeuG^7c~X6>jeopiWH;F=a##~jlx#|YDp7BJAr@_4`iZf>@3G0e6v2QC zUDdSbUt^x7A!2qfzrnA#NQHJ$BE{Yr$l^Pb&>xQ9mq=n@t>;m#D!~km!aN29!xKrS zctP7Pn~`(Pp|)_%iFI5$p^E!@rQLdqU{jBHTcK;#cnz^33=zN9G=IAPRNfG`eH9M1 zeouN~V_pUA)S_0`AEE^IdyeMn)2O1~vv6zu)C2L5&&MO?K?~!8Vgnw7Ek&!j9r9DanS0dNL^Qex%@vm}B%?WFRj&6$x-oxj&P5*i= z8{xBPrzeDz6QmTiAOYwQ@qR`F2#=c5aZ&y9zi;V>skbtX3*C_3!^tLry^Lio{=#Z2 zo+ArDKi$eZRTSS7yX+AfusjMGc50)+TA7m6c_();T77EKynlmSus;+Dq1kS^gZm8W zfkM)GV)Geqn(&dzCc9clYW(+9SJkx5scm69VG+|bF>J}r4^IkFU#MNUcVNMEE|8Uc zbz+0qPM%Bdb8$}*w2-X35mAoXF5iYPtZT#PcqvOnFTa7~5Z0gdQ+zx!mTm@)7f^ts zx(Ha%IPslWDSsRsN?Xfed?Q-J(zBZCH(L{r#x}ls9s|dC6nC#|<=($^Zhmt;9?VZx zZ&`851~o=XS`@?JOTcUl`(?{Y^L|{Q=XJ@lb~m1=aC`Xq{~%YpA!1z>GYl?sAV$?i zS?Ou3Pf$rxw{w%EgXxTIK$MBpMON-jr!!)OyVZ-nRDTV|#qAnFionn8-h@00@b(9& zNI33;xcF4%a>dZl9DT0G0t7AiP#7c>32Y%l74~4}wdR6f}NhnyT`YJsaUDPerHTl zUo|6x3_l!ta(v~;yJ;>EC5MVj>8)6jp7prmP%0U2!Vc7SYz|L~VGE}- zTs44W2DfmCiNQozSS6|>HtZf5-*JDlE}^r`%<{)9u%XQtDO{I&%okRz^3yp8;~;;E zKf#k5faD^&4XRb&?l8_R3ySHJ8KY#ZTKeou*N<4+(EnMv}Nu`0vE#5eT zMe9ScQQl>qOQWV}tFLR((cj-@>6W1H9RA{fD|51?(YSr}z=Q>v(JE+hBJ#SKB~2!X z6XTiw7%y9S4oi1kW~za5F6x+bJLNMxG!nZ+!|_R|=>Hjy&@8ADp#Ik&Ikaduv|RCG z@PEn?#js9S)Xd71Y4Fo#{V?q?&(AhN23Di1vU|1e)W{%t<>gIap}2JumJ3dWJpblK zx!dsbN~HxVnEjheD*btHk;LgxJ9aJjQUcm*c6@w0F6viNZk+rV=|lVp4gf3jJ8NFg z0N~Ff*))%0k?ko*#?}BXkhXa&^#5_VaDQ!yo1m^HZbOK|UIkDN2{dGS%FzHK;mfSJ zp8+&7>MT&$nx2X0zzZ!hs}t%?w(JMS0>nREv>Z{k{~7;%f0}) z=6jfEjv!O8%GkN~n#0@7iA08a{Odr@n+jHKWi|vH|HD`B_qS*N1vCLAfd0`(TYnSd z0%r^iJ&B^moDF8B|2Ttbuzv?&$JnZD*vXdsG`sBq38#Li{J0t}23?xec^)B}zOTr6 z4UhSF3~hnANmG)3UR&8b8x1^sBX4Ns9K(%ckxIrOV_-$o%d z2(X`V#LbpWQyL{rzDCTZ2&)4M{ePWD!wjJz9RDD$(wflF4yR7g2#q|V-NkTqsN#Ih zivkG!Bw97JAZMUuA`U6~l?v=!ppwo26-lgEmEW@k@WuDzojdrY^-D2MjM~u`V^s>t z^-bO^u`ZE-Tmf~gI@|)4qs@v$e5 zXcW#p;6K0vJfS%Ih7)DS_OK}N`E&ND|m(h={(&n?oe_0V@bxH;Ik7J4cw(1^+J(&9vl^i zsSVk|mp+i?KkEV1hhe%Y&QX+FRUKbt!voMm*nAad$ zqCN0P;7O4p^ufA2+kY(rFvRxr%^cC`uW%VWrLnrJb}KbD*tLlfW<8cd4T&=3AS><7 zXZzr-Z&O9UnKsilOIDCML}fppfkdHC!a?uAM&89e(ws3;M1_XFP~3eHbFRyw>5zd# z%L7BTYF>@(U~26gLD%OP0zcW-iL)fqZy8(EdSPu8>pzws1b_b5unqV1<;>p&vZzH_ zIU_K^Kk zJI=H1UV+&e>wXO7YTY@Pe3NR@R2;q>$ui4+nI!tXL5dr-u4Jtul{ZFxzxqrI!f%j$ zbxH8AH-gLJOMj4RVu?ez`nr9Xkk(6+X=g%5IB{minI*M0t1GuNU%7fK7c?|5D9N{Q zna#L4i3Yg7hj>Qrtt8z`BN?|O+O7gW5vRY{safub6^L>~b>wHZuNSEx?{rcqP+${L z16(B(a@ODx7saelo^oA)di zN0;!QG}n~6L`*G}vy`EeQxqP#VSk}Y_a)$In3FhtyhoTY9u zzf_M*?&}J994YaVKZ2^R+$f(WX@Bo2$qJx@enFQl`)ZeOh1Es_W7uHy&r4j*c_z4n z+#6Jqn}4HcFFueFo@IEV zRAbpUKeQV4XE+c25P*9VSr0n0_&cRjgFam=2}2x(T;Ud7*0vrdf-uK$aPTWb1r{;Bfm^VEQynJx7Un^Q)=w5*7qM2jKmoi5 zQh!5>WJN34{JT4c7-T+-bA+8)fke%XwgH@Ub|_xa+?9+Dx_$O1AvP!zf1nZM?t}U# zw)-EL$H;NySQ1oKYx__yqK_5h#ZCYqO}eNNduw%d0z{z#$k z;nd-wJi%GgFzp6BY8ssD=?oCU2S%Zl+JD_@mH(?Q&?IGDfzHXQPmX5bMWPuhLzy8L zFm=*rtc6jZ{)ZrHcb<0qN3)W0Hsw=nKJa2Zc@SmD0&py(_t#znb?htB(=7M0&{n>k zbws-%XLsc%K!nj7POx|ViB5YmcyV*@nAe+zDT9AcHqAySdVl$5teQEBATS@t8h-~Z zoiL=xZA{`?#t=cn#;CGX*Q)ja)3l>~V%{*2qq8d?2z<7GH`$j%>sZ1NRGn$f5PXy< z;^CLA{PrUL-sw9~ZQ`yV#v}&MGpeMTKj$6p#GHB`In8CRSVCB-`#TuK)XEJa@a|Q~ z5qEAP=KA`01yA1b{91REj`l$zLVug#d7LJw7r!Zy4oKk1l22<%8Vavdl2xZ1^sX{s z7FR`@@8zc4y>B+PE%S%MEF8Jmnw{#S%)sy1%d{9V)k#5@Of4^8!n7L{4aF$A;70Cz zm9al`D2g}ZynB;(86@wkg}}g0|H!(D<Occ0eR-;0GGa>RK8r8n??!Q=f@KMT?6UW7IhGM zIeSMP1xb$gPcRXfe1Dd+^M94kRlvWBippxTtcUYsd7eM)snMT?P!z2kT*C0(wY0#dA$1$_3d%wy#<~h2ARwBP^j5qjRZd zN+!xE3a9YIj(;54|F|Ea(l!?%_q}C$=Sn^Dw`U~7W z__RBO#BIsmZD)%9#0acI9tGGT&hISn%HDSzK1J2|Q~Z(~xvfZq(QN_%sfl#=tjIZ_ zDrml*n8oz)wV-AAhS*kyJaV8{HB2n2jqnhGYtPy?7axHR=r>L9|Ch zk%Qfnfq(Klu(VI_!gof}!Ismb5GT8k>%EUG>^CX` zCEo0{l89Kmc-`(4>p0{Ns&sF~ZN`PwEyKoE%?ZdBJJ?kOJe=HbfZoXeyy}#NTl)SK z+85>Nj|8B-l$0!-{w!qkl~Ox+0MSVPAzI7~ZRGZ<{LpPcL8b zHX(6ZNp#Or$AmdCYc?X(AE>(fFBN) zHee;`;QGUS&>~&=AL^zUY%VkAP=Bu1157i*I2hE*0UEv{2Hn-=5Rnz`rB#ZXU;sy~ z;eRZD++A9iymofJIpEX)c9@HDR{aTSXrWD28QDTM29gOK+h0{gy7`!O8~nX)^=n&c zV8h8UC>Vd+bdW13v2nIn&xq7gIn`UW;E*fNR(WsF0}ngDzut^3?LRo^P)a&CKks4| ze;Z84(AI6A7E@)3Sm|qIEE>8(MS%u_uz#I&1h~TbQg^O05JygR6Wh)${<)QNzF0$bU9P z9D95+EL@qi$Ne+i2y zTEgKXY*&S%o6}p4u-CJ3mb1Ls&_%Vn z{hZHeBR!?rJt% z0usSi$mkS$aNqldii5h>$zS%SYa&vV(dgi5w8x17n|9)&RT zE=nu46X;NLgq*_f9*A1lsb#LF2!1*AKI-02(RL<6?C1m!=9aN}Xux@|0czL=1w+vq zaG3*-BNhIzPJ@L|jHw~4Jz^jD;( zy}iHF7VXWS41eZ+$F{eSAV3qXsW33I?%3N*JtT;R1jnma2C_Bu#9oE%ZotN6uuI{2 z<781&gXoV~d8sGQ3|YgdoJEPqKn2OF>PC#z5R8HxIz$1_QQ z_G+LdhSt8(zhwTUzO9*c zTW#20Rfv8Z3^^r@Q^dC^(1oGH=Bc-7p`T{dC-oLNRF?s( zilFe4tSkUuEH*o;sS|uNf2i?B1;h)0wEv1bL(ccR5T8jZ3cnTQ~PmPcfp@M^X>I7Kw_EIq9 zeu5)a5@*FdN1Lk94y|tX|H?Yg!K>RoswNF3IbIwFsu9ehrWmr-UGFTM?8N^|l!ovr zR)5yjF3D`;nJZ%k4t;iOG+sp-NAX4(+k;m+=2V^CZ zD8$kN1UI#;eGY5W=@w>vMIlS+%?}w(!k>pP51`~aPdwU~MfkDqV$85J zP6+Nb$C&3lP3<)8&qX+&GgDy+CmfTX#b;8D5x6qCt5)OzBq=A|12J!gM1AU?g@3B_ zi--7(@au{A9FiH$wxWzMsKx2nCFd41k@4I&H{bO3^i2WU`F@p|9pnBtc33Z_?f-7M zyF5Ww_LzWoE>!E{MvA(uQN}x>sz!yk&a`gww)Fwa5scjU;reFQ$H{4sSMQ1{!V+hg z^kI(U{5Zw~7sR8zIz8E5cl@tW9e-9F6`ebRHcS^}gIY-LuV%y*uvKlZO&nQQamg=; z>ttgS;=iEL$X{|>324b)bB(g}Fu&Oe02e^$zoW>Y#`O(F(D#9z&K@1XwT7LW$Q3*n z-vhe;mb2(hG#*{626TCHc-=#tlpXC0Dmk_`yp+&JWM_H&lbndc_kVT6H|qe<`|~w&e=lz{M8d3gKww3Ers!H8nR8pR>m;FQ;6YFF5lY+F_!$-wSE#T(3%=nEyW~ zt}GuWAq%j-IapZ+E?|HCZZ-dzV$EXIGa8SYu31xSLjzx?nvhQez;ejbmW};*qcrvV zOXQNc_mtSJ8i(}u`hQroYfwHksw^HCq!}c|s0;C*e`3egM^4K}ZxteOk7Z^`)?2fk&enpm0i(rS;CK5r?tBTJW@I zNE&ML3(N{a&BHp@GygH}@kVn182R5bv_OR6Y!}Ty$x6|yzF3ymn#cUwh1iWY2?vQb zHKtU)(XJqcO|PP@H?r^k?7jBWmS*{Gtq`JK=OQJd^?yCq#Xjrl+s-TKHw_A%908hQ25-16IXB!>czFc_L=;qqA zzekFqn1zpS!+r4h`)9I567B_T=2HGd!yjW9X6>-OO92EqT3}QH1pCTGK>CXNEleT|#L|u*qi1Pg_2ibhE)u$1)Vxj*UfUjJ9->fE-JB z#FE8`zNRTwer`n2I@#{1p&fwZ0BzL{7RX*6|KJL%3uE^C!;y79T#bDlc z7c95g2^&S(s3 zTH6YxScJJ{(S7(M`U;<()3ygO#hGg3RVp0VLFnQ_u@*lgnB6o5oj!uv6s5?h*KPjr zmI@&zG>R07;G8R@5JRSb2cH4!uu*?IdmO0Os8DMg3p1$e>-JUx9qj7!D2WFR{!Ei= zx;@`zSgG$0V~hXVT$A`v5O67A^c*!yb9!WTJ3?Ng>dxKSL+F{hBPg&4Ii@R;r6 z)o4vWE`Tj-xOAJq4!4oKnz_~H3`$D)j-a`jU&zUb|J=C6`Xe4DC_1@$X}^C`^;#uJ zH6t{@K$z;(qXSl`MvIUDY?B;_T4jokF7A4g5T3pLDsGV5trG}9v9FZaN8>pNpQhoH zR+DD0f_S`4@sGpK#kG(J%lvN2Oi1|uAI7%g9O!5VC~G2X5}M!z;2k9KO<)tnDDvvF z^7IEfWX-~I%lw!bbj_sXjVFKYy0}Rl(z61Ae(bZ9xM?F~bR0Tq_beI*l!G*BZCe&} z+(1Yusb7yesJufnpXbKKc~$DEO#4p7w)Bs-<`y$urHkZ@GZdSTg>+jdXB}Mkm@e$h zJs&v4*momOQ=*o;+M$E}F%ib(NER};OWmDs$(RZBCtNmnEDIO4zDs|prt%#$vJSO8 z7~-qEd5oL7YL18-hjmcr$S1D3m6UY|55{gQ{$@^DYw|*S+F4@Rqsq>byXRE}${*id zcLx*s(({Z#0g;s?|v`|!vb3=tb4 zs!ai=aOiU0rBNC?ag2W_QmzfUIz;`4h3`Nl;DJtfz!m0ka%_p+;LLtMeSNPa2U{8N zz?_mA>_T28JXS9dDyFeK#7l`sArf}vN?Xp*L8N-hs&&HUuq4i;2#q<+1d*~M&4ZmU zP>;bddvhx1S@oC^vZP;j(MR%%H5h=!oT zEV{rz00bZl`9*)KG22+fxL6KY%WGqF>Pk>XjJbBcVqdd{(?bqu+<+^*=D=+cJW?95 zu)N*iSTYhpgyy%dZ@d6_!cII!JuHgDdziDO;V=J)ey1}K#=|B-bI+`X>Vp#S4=AJK z1%`_A_0EyDTjHLQM7Yvt-T<<&I`)je$cvZw!KTsh)meYUB1iQDH!72Y{KO%SsLKl+ zWUmmV>NVF^&Y~5aQXvhUBpnH(uEeH0A+OqD_OjEzGU<&|l!6Y>Vb zhwZvp7$pBWlPvh{h{OVvbo8m9>3v(rY*yT`mqdR|-F>X&RdA#}5=LZw{%HL1+w7!| zT{M$%j1$|MqC0@Z@crzonMa(2lrypGBC-G#fjI+vGyQdInsX7faroolRXFs~rxZQJp)gV-ms0y=RrovG&)Ir+; zN2%o^9zpebS$xIxA%^Y!#OGBksdc-sh!B7F8Xc2E#ZP`7`7L+jCYCCF5B#Qc^{D)G z!wqSEw((y&tB}{!tZ_aXtnxqD+@rUYE0ztsE0a83bV*|=N2+6!Q;d?MCIIv&R#)q2 z>^5Ea##~r)aG%hNig>EIsZr*p%qi6yGZpGVTq|LTP-7|iI{rxsoXJV94)98%9h-j! z0ToiJaxyBsTbVK)r0@@IW4$Dsfs~RC^Jpd=`?Y+%1-P>9JIeXopxn!CWI%;6p!eSE z-Q(g}ckF4=B|*?kw?wSThz@?S4xBZ4TgOa79-9#UO-(s?tw$ynf(4erETsH0`smF(h(Bg7RMD zcWl45p4K)LY@^)Fq+58K&5}uGe_@hdDf!?a9IZ#1Fs3+RMWeC)N_ASBQ=)%yrRsIS z9~}|uJLxzN?8e_{=k2lzB!m79(z`p@bu<9jU3#dZ&6DXut)=Rh#|Z|lF%Q8U;b<-K z2ELc{{>G#*bCaV*O7msF8<#J=fmvTnBK;@CWHYPisiyr7OIQT=RFIAMY(ng+Hw!hb z#B_H0P*x8!qu8GWvC%9LXMBG_2EKvI&KHhf`p0zClR=`BxyrBWvnXh!4|Fde5;J@d zdd>#Nftr+y7hqq{yA$hzMH3PK4}E0)HV#fn?ea-yMWbYgL|hy3;8A**OH0nvp;t}F zZ6rMN$aF{=5GIY4M1SWLmB_kQePxvY zd%Ei3I@ETF!J4-2+pA1dXS&-}FJll1ITf zLgBH+s*f2&o&eu@-*NFbZY)PohZl~7aAgwsq~wV>6duU@+7S~2iki_?QInfhz3+?H zDOFZ$h=SZ4ogshi@PCvA=j>VzVn)MHCz#_Iw5EfVAfZ2?Ec|7-| zC+bG_q8iLeMn$}M$ZNK~mK_z9YZZf0sCDe3pkUmcH?pV7EU-h|D zMg8;!3k&;~H#B`Yt^XlAr=KC#x1m`!T^$*8<;S(c$A}b_aQL46Fsu)Y*Xe~%0FZh#LE9xy5Hs47ILdIxu9gN08Y!G~7Z(trW&p$Tpss zF>0FLDm$^|;NCJ-+0>%EV%+!2)#}7wMKcAy!;J#MBXTpMX?!mA^x8%7?X=w{{`y=1 z)rc{!Wh}Dk$=(0;Ygu!$x0#FfDlGL7sbT}pf0=)IZUS5%L10fM_cN#`3W4`b`$6-Z z3%=+2r*kLb-NxCzX^0>)=?LZ$J9L}mFCR4PU)ULIQ)cpKecVCAS72g1!!;rOeX%ku zKsPdy7{T@P>EP!O$;@GLxuruZKr%AcN*c>EY%?~iRhHYmeF-yA8Ky}OoNV$WzrJ#z zMVNoDGnNBo@WR0nW~Q$z@)T^K8wam^*jIE`TBP0i&T}FIbqdi1=U>z?gWq?WDheOO ztXBt06{eGy!TvGyEv(OAHRG9lU#<;r8Wgw(H4EkIsK8hGJAF+QN8}F8Y-=3-`3m~o z6m{-2ibw{6kGM*x+`ExF#tD;P$7|&!a+_RaULR`bnT)|UDA87iDBRS&@}~g+G;vG^ z7+S`T)0blJ8!vD^?)X&WvP0tpT7YM7FJ(1!bVakgetR8Rg%==6657Gfa(gDuHiq;l zLShM<@`E6)#sl(Tu*e;D-hnKCV*#PIx^gBTBL8_gsxJxi&%5MlJpiO;bO5JZ z#aB2XX)bZ@VK%<876rLKf9}`oHsXlMaD#xH&dz>c``L*?0Kz-#+w@mP4`aI)Kb1^5 z66vEj@32)npdfc9NMU& zF#?c3Uw+y7VPuFJc)Y5ut-+ZH1>_OALqPktqX1uffav6^hoN!WP#50wsQ5~#am6QC zk_a<~k_ihzV5gKTf8k50NpOHo8vW>E3z@7|yUAR3>~XeUvVK0CIe0gBe>j#%vf9rp z98^cE<)`(qJ$Js9pHRqK4(W9&x;>_nJ*Sy${TOyQ5I|VXq1mT*QJF7e8N4>WuI9+0W-)>^e z2#ERlz@_FBGA1w_Ngw5ef}@<@a_4lGQ`xh{B1WrN{Uqw#t_{dUwhjNXh^eQTE&EdX zZkT)dvXmb=2WKKhhprEl6X zF(FI4nX3e$WKr6Xz!TEejaOtywh6~WuE5@+3sMS2=a7A3iYeHsOts#!EpFq_tb zrbML8f0Zl^EB{Zk-;&B0Ln7s+>pNCz&uvLIFs}- ze}?`9R%)nBjLeGhG-ugPpMQKQtPgZ3)z!`Ker2EN2X?@k_H>ChFJBWjA8OnAZ>`-@ zvCg|0-jsbhF=wrPjdz`XBljr~jF%Xff0p_S%$BYN_X9jRh$mJIF-0Lo{9y(g&57p* zNjL{pZJ9N3E)$sQSAQH(=vv@*yZb-Ae_V0BUJ@tQ3bs}_r9|(}gl}M!H4!X2(^ZFP zip)G&8ZV(8!{4iWclx{QHr*BVc9ipUfxYS7K$EHEXz(TQqq-9H?SrRZQf9jTlAMDm@x5Mjzk$n7&st>m%SLft}J|1ncGCnyD z{BX6{^vhVK{s(}*#`{u@o-Zf7MKM-YUiGq8>uh(4NQRZG|D!`IaYZ=e>BzP|n1kbO z8}W))UHmyy+ZhRB@6k(P7R*RfL8yBdT<9*r@6Y z=JFN31P|}f=kkad8Y_i8q1+7NNrI?JvZ4v-=GVGLZPFBm9CItcMxC~-L{!1Tu-0EY z))$F?^H=8mho;K0@NSzQe>2L(_DH~9Rm#u)BIS*ksra4WP??wIk<2vY%8(F%wTCdf zbMqWLmt=edKKV!fZDLhxH8;%!U)n1y6!bDdl>E$aN*#sz2*JlHGP z^&!ZQ!Y~r6WkTHwQzH{3nUhKASwjlNKMjHkV+8X~+P>jlMUjRyf9>`@+bJo+)f0e@ z5>K5hV?3R-Z;K?eZVIe$%;cP0TJ~>N@!svQT$*`wZ$! zjLi*}Wt^uG0ii)vKsqjE#$nUqcF|h>-G6ekuhAyq9CRoYZJh|xkc|S#=`~vghB=_P z@>K@6E%W`6-+av(e;Yh1aB5Y7%namoZvdX|MW5!ee6-cEl4?azGSnTZSnC3S`9x0j zT3uH~(F@Ss?i80%x0bCfg4M1yj1aVOJ9ri)^5Dm?9NK))ptxYegAD6KFw6fP$V}`y zWGQ18sR;=IL+xljRpNA`RWFxWo5{ZjaIqH4-`pGiR~am!e~a$lx+V*y>Fe)5cYT60 z3-b!pgzBB6=582S;Yq*6S)y6~oNvhpm4dJZ4N4Ptl8$||A0$)zbDuHYiAhV-0HRP}kkmH}C%zVK#bblt` z4~QE8NlEqOZVMgGrff2a{2lvBg&S$DeB&@nJOsqfgB6JXC> zQhm~!W%(Tc+5hG)(W+)5m7@weqDn)rKHzdAGMH40B>}$r9i8g}pQTor&O0$qNNJF` zklL8}wZ`Zl$uky(s|k?qZVC1qI>@D}%D+fe4x)iz_*EIku<7LPlposUC5Z+}j%_hY zfBtbz3Qd>B0LBniNt~hFeEL6m@P#&Z2YZN&XIa3gCo2vnvuf0|SnU z6qs?}OsE6riom*0%FCtMw(>Z9)$o-Z0U5QP$f7El94;a+433b$8PA*Ff9`Q`Vmu;hN2c- zFd}~Jlr@MPlNn)vhK60l79f?8e+=|ravDjSLKGIjgbsdvtIv_SUx> zlbOZGtP$Yxqu}TMF%{HYq8~bxTKUWDucc9WBIJ~{8A4Px)MH|fm9+juDM6yfo_6#t za=i#64$x3XNzLFjAyWr(6^o={flqVF)daO`aw2Jo2J;r>2`J{+ymBO^Mb6TN%_n$s zuZyqc$lYBSOvh7dym?Sze?RmUQCYQpZ?YBLk6em99V={OOnDyGy+#b;M7<8%?ny1f zh}@Y}8qdr@3EQF4AP@#B#JPzZY~e-gdkSNdCSs#-{|sfJC1wg84 zLee%8xE1Mc{u@^8e^IU|9zqO66TxgVlztC4^V@je%Fc%g!3eZGZ332j36#MZeLKQo z3UbC18omZjpqk)4v0%#W*EzooP0nfUj?l5?Hm%DJ63#m*5)=pJ?Yo7*iIy{ClYGA08GK!jRM*IMJakyZ}LgpLBal?|8 zJS_P-Vq70w6zMlPZ+iuzQiCJC3tSAOe6oX+^Jn5OvCMFxy|-%det=M3VB9k(6H?R4x z?aRQ_s1nGvu0J3BFmHfxiw$Wy27&4!_E~&D>IKr?rEhzfN_u>oA{|Dhq!CEJi1N8P z76)CM=EeS={S>L^v9~K^ruKidEO^H)bq*KmtUh79N`8Ohoju8`gG-9pXcul3o@}9J zISVC{f5#6|NgK5DwD%>y(4$q+<%xQ;u=wQZQT-PBD~u%6tH(<4De|7J28rTfu_`1K z?;iP1bS$w{l8VtdRnzG?6v7jsaxQpt>M>KVwJ?oGqPic6@Apb0;EiqH)dl50+>Dw) zZU{V0cKPd|d<7slI3eV1olqFs%flB!+Kp#}e-x=l-Q2C$%uf_JDh_oQ=A`kk6DCkl zTaTubA6NfQSA)C%C|JG6spt5TG&ZhtL4Uf!2j9G?;CmDK6txJRVXI6r~EBRlM@;yl`MyQfxoj#`@GKwqOqNZ-X ze~FCjlePTl7o`(1hRkV>M@a@tg`Bc^Yv(c#pSUgT;qkKQyM$0Syi@BjofoMGxXE#g zBlv~tLHh}zwv0?#{Gjhcvd751(^)NzZe;cE8nl;4#@8US+oes&Jn;Br94#WWwNoi> zLbU6o$HXY0v+VBmeHlnpG*T$mwwjjle;ORKucJ#RTJ;i<%VpBpO~rq7lczB?oC|nQF4e>xRx;#( z#s)WkIq*26R5DBpoQaH5P>BA#T#w_e>z@OCPl1lnG>3q3-&zDwaf*Da5K+n|H~^(V zB;$l#r1u|lTJS6Hj^CNp#_gWle~F5lGqn6fOOc@&nobv(e!O#PPBrN0gwdz2Yg8x3 zL~N%8KU>@{dZqiuIB5}|tiAiG@l34J_JTE5;#4u`dY`3!w$7KUFv|1ryj2CG^qgP} zimMq@v_)v9%9I;K_tN=Z^o!P==!ZdP$8)#{bBF0MsXhQeSS8}k_46Jrf89a20E9X4 zk9Z{yxudf%%{gOF4U3o!={Or58?K)e(}~vPY&VI9(T7zZ+bfqZ9U&%vnEM1o^Fa)C z_gZ~$&^^9kUKYH6P{+&t+mVYmxLqmC{oqZGX|<_sjwYt0LRCl%njDkGXoyq;!Vdwtojsm*im&0;qYcT49ZWRJjmO|ms#U-VkHfwHxi_)QP&n$P|1_uyg1pA8`qv6m1?K%j`O8YM^}Jw_m{;YQ~cVUwwii ziqz@4z#TC4AQO^(yYU10g=+tyhtuRbke49_ z8u?MPOG;ujkf4>Umk!V(=#ueK1f;D@yk^-hZ+)INeRgWnQv5^5sIw^qFixQ(oVF^= z_VZME2wD-O##z0=N&2}YNjj9qkGW*AlX-^mm0p?IP3&0ke-PgT;ys|Jw*!LNIrykAv3YjwYIofVGO}6}D}x#n_KbJ8dL^ zrE_p3)mLxXf23vdHVkLCtL|fKUn7N}Ab&F3H@S^g5zw>7H4UN|-SVqT7fi0(h59|! zd7nMDQv%G!f?)m}@2A#H+BDT9nF7+p@SzIyS($qk^B1RiLLd^a7!YSP61eaczD2{J z(mZh=&`0oa&gT3n^=IeRAr}rlrR7U3+B#sRYF^87e_;G{30w2liB*%}(QK)kpOiOz zg1u8UwMey5iZ3&@%2Oxh5M~MM{q0EWd;uEnNqwav`RKj+`d{{OmOZ!VrCQm+N~b8( zXj<6~LQ<=mcTkSNUn>xU$b-Odd0X7;pw0U64u}1(9}*~ekXi!$L_>icbg~)LL*(bc z4)YCsf0EbE5MsI&{9Lm^wSw9w7qa0A23dCY0D>~|`JJBnDeD@dRshP`6cD} z2-n}Ayqi+$5Ylo*+-}a!WL*Un@dbt!+b7OL%0MPx(?`nP6UTaD{rJtYkxpAii`yAz zuY6RNRa~%piOFv5(7zF-+Juc!HlnZ`MFJd^f7@DBC3hek_LvR@)d!;0T#9{;4!oEp zz`!l|ZI3QFZiA|$1erD>NJ{!k^?*9QMBUCZ+X(b=?N9pp3-*L3>@5(SVAXv)=&O5Bo)h>EcQO!f#y+*zgcJb!0c<;Fs@@Fyh7iHi3 zeu}{XHF_v5Qxd@(cFE6?ES?;koN6J05+L!5g6&KrZXX#M9k7GY>Yhrl>Nf7#}R zhszIl^v-PT>njqJa@qrl7X;hJy5}9-qeCTQAMnBvt6c_fBvZQ-XS<`z?#Df~riY_# zVLuDib?T7)s}(L6?pGLe=1M#E%`St zzX#xlapQA5p_>;uIr2?qZgBEZj8ijKcubzmL7fFbi0t`K<1e=16x!cY&T`inKhan= zGs)~&QRvG&4ET8X`Z^gBOUGnTip27|+bh3Zs>mFUg&dDKb`<(e$@xSBa=!I!DLq6X z5BKU#;|YOfL;pIl0IN)re+Dp!=o^jOy+9@ z=-7VgBUeo6hnIyZNb$hxS-k^c0pi(tL)~ggkw_#u+G6=%KxD$nx;jTjrUh{E41*ICV++avGVX$ z^e3JtfWB|RjvM}J|5@I1G8wWQ$?Ij*nP)ctgWf8-q z=$PJ8856yeH52dR)!gJn0}M1veCftEPD$$)g1cmbY?L~YeRVmh_a$A|=d=f{U|m|& zO-#EslYPW`T2@s$a7A_f3YdG+G9AiG0ijo`RuBZX`N&8h{5$| zvzG0+ZxbGIN6((ArmKeopdaA{v=6=*wUFza_DZ1`m8ZTkE* zW=dcf82K1{-tKN!G37IffwxrfunleCi@6P=?mUx<5}E7lq7#c^=k#Pc{tPXMNAXGjmy1q>`$>5Ji#zm`YD z#9zDy48kR~yZ>2&N|8`o>L(1NZ}_83=k!B@A#-OQ=+2lZjMqwkQw|8be|}P1gy(4i zzt{jkJ|^5(&iE3gn{yrxNjPklaUR9?p8)ofvWaF*(T=v?E2ahVG8F>M-w&5EjeE)s zr8y(-qmjysAs0hWGLgEOjDZ8l+0M#+2d*mTtx z=J#zzC~IZylco>!5Y?4&f0!ivrLK{04&xd$Opztm9a;=4h%}?2gUj<>RQMqIyXWky zY9rt(xt#;d*sX=iusf!S+7`Dqm7ka6^$EP3@z=oZt(zXRI#KQa37X2sKdd%j!1HWKr*go! zh-q%+d?FrteqdK_d&J3{8pKhn#da>@VRpBeWB6RTU+7nFJUQa@Sw+0#ZXJA99LLrd za+(Sn>QP<}1bBF6mJ&Z>Oml2FWLjmfh&Rh{I>%}qomO!Pf2k)kEqB&6tGnIln^<%m zHuD@3jj7#(@W-`cM7MMkckmZ(ZijEj29aI-%Bh#9hn@liB zIk<#kn+!HgIm!xys7c6mB~0?_+xCOHusNh@6PIKgYK0&?VN+*j&<#jJtqhjK!e(av z`-VWlGRe6@Fk=V|eIJ>(c^Ob+h!{q6MAB6JWG)SAfAIWZ7}0<$O$GjwgRN8}kgTPw zhx{8`9O;SbwbE|LwRyrn|3mtJz*?|x{-FnV(Cbbr8wt2gbTQ8e*ZsWTH6GEXC}g-P zcEBAGAr4{<)GQz9Xp3F{aXAozU$H}>^;$eT2;r>Om=Xb(U(esiS@8Tuzy{8o zqG9P`Dx&Fif+t$ETj2It-LzOKuh+|zn(1uElKUsbZ|0A(gh7|V<%D8TN3VxRRx$|u z^YjpZDI)l6r;uaeHV5{U#UR4s^w`spAi906e=ob{tG7&DpI09I0vDaaGGAKibDX14 zbr-gA3=RY55$_QU)TaE~fVQZS$bRB?zsT)pKPQervZQO3Iw46U65w@=Er3^5ZkeZ7IrC@j%wbSp?l zf3zmtUc2XDS?REw>(V9zaN06agBd1-WIifi zfDc(Zx9DzvI&zA03hlqtYjXNmfW);+6FR?P7f3K0Gqq6bM3NtkWf~kQdLtVB^kS8|Ae==_sjsW(V z@{wlj?~*qhZ0=?FA9|noIfuafPZ!wgBY*qPf8kpXIol;w zh%6d;=!BW(2U^%E3(lnOmDIFs11WdGKuHu z>!O2R=}l8u5Us{rA7$8R2|E%m2R21GIF_tIeaVB4$T|3X=6{WK6Cf!F*EZ`Xua=w| zApi&DC{i@#>k&3M^)}&5b0`+MxN3X9pKIC0b(b!K@8%FNfM$VSf23ZoHYi#j`?OG^ z_g{OJy4l^5M=G4}IpVb^R3~cF&Hy4Orc^}G@I=eMJLu7lrrIM%@+*7Dn|fn8hH@*W zFh4RVFPR^y{Bx`r>Eifebvd)3f^)eAcy+-I{66T^&_URdP$HSTq(7oF|9qBK!^vWU zX01~`&T~iSnNI*4>zV9cbMXtW(f_Q#H_o)Wf@{r$4_?8}7r5ptq~tK>bL1mAy2idaXwQfBymgLt>4ntiIA0#D z;k^)0Kd6mCaHlm?PxK2t8IJJvJhO4FqkXin-)I@jQjAp5s6VCSQa!GIT0a zkW*hQ1eLN9e*~fVl=|b({cdx!}}bfs}rJR`tmqTb9a&UYh$G>$NI5+d2l{m<_<4QLjVAudfvp zuL8{t#zE+Co2Uhe=L|?K9!=q>V7^|PR>(XT%~s! zDp6>_QFrh62zlF%t@rFB+(Sl0+M;>C_}0&zQyarb?Ut7U>@Ce`0Z$eQSnVWx|x$FO1?;9Eo;BrU+dgk-WHdM7_zlplGq-9oTrZEc*g5_Ef^p3l@jEW z2rkH1UDiwi+a7C0p(pX3uK;W(aeUHnF%Oh;uX%%S!4IX8#lT&0w%dwgSK=sjEts79Et2rLkJ+ZftA%8j&LlDbk$55 zt}vqt_-FSDv{>giOLxwYeJV}V&lYSBt&`{5R?R}*OL0HvH+ZWgWN|dOun>47(G-~M zaPhoX4<1Q;`Z?AGHjyAV8Ri$3`Rh#B^8yBOxr?=MJd%WAYO%UvGf(%aL4FB zDtiobASKGoJ;S%_aD|G_aNPhOK;XZr0)1_n%P7C5*ftUrWPe+AF^ zlc(7lIEEb{NNPwQh8QH7f5TGzQhN7@^lLxq1O#c5sQvwy{n)sB7r9wzoWrDrH83|mhvayPq?B-3c#O452q6VwyIabq)g zzp|Ui)i^xS-@qTBSsIpjh8zGZe8h_bpZ|S`0tfeg1 z-PPj*sW`m}&EW`+4Rb?mkH5C;{}c$22J zU0$0n(O2{eJaZ@qf)bF~+uq0o&6=^NS6lOckRrc-OFX2p;^`XoO=jX^V6%?rPiX;<{v%~3Boop0?n0PSktz~<)Jc}LB!zG zEZh~brdlHdwTjYdm~p!a8|%nuUh0D9MMDtJ;XaBIk~Xbj)#t4=e=N0zas-#s(K?Kz^@t& zaQ$?NGrM4=3dv|8&{&GZI|KM-lU4GxYANT+@lwB#a9#*WkS^gBXl&WF=MS0b@iL%M ztAXCmML))pdiVf8e@ZW158mRBnZOsGG>2Ok!h;zQ?hNO;p=U*zuoCEtBJ=Z9kFbh2 z_>MM|D-{(_f=yBRwVp!yTJ)_o9uk8)4lJwGW5*hvPUrwkU4X`QV1k5U8Q@==LQqKs z>4-hi_(+@eBy;1b4{4#fhH=PYUpxD4UcJY`1YTf7kHmxMuP{R~kEpbf$^( z@gju@;A9?-V_MI7k0n~l@I&&O^$?%}Z#BLfnDCQB*Y_;tW%&Xesv> z{2AGwEUQD?v$jS$xp~Q>Lf&M{7NK2Ql;0|^JFN66gRtg6UUTkOG3rOd+Ye806^t8L zYmbvd;iB2Se~$qqCOu|@m-vuR@J&)nA#mPTXPYfwae*72}C&eRVG41er6sQ}Ee zx7Z-B{jjSkqWEuFg8H<-Bo)Rne9&tW=ryw$w%9QKsWDHgIi*{kU=`p!JNO z?&)?H06;*$zxo}LBZvN~X)tC8yb2La0xY2V(`aF2XQT2no_~Qy@Ji69(Wn-(?0vo8 zhR$4rdqiqq?wCeHH5SAMkju%S@NSyS+E>*Mdm4g+yajc5yb_rXysFh}KGx23`{KKp ztqHk8!|=$su9P1l&p%=yTFh8;uWT>Qym{%oYsF+~A8T{onjFd()&MtMM?Fe4_R4F; z&ger9xwrSn-+v_V3GM!oD*;D)H*skh0D}3@fPq?+ioP=K5J9M20qBLzp+K zHEWiwQNUR(L#J1@Lk436W%CP3(jO2UB-nKO;XiHo`+t{L(Scxv-ijK^yWjt(`m|EG zAxihXFt2>O^mV3A)|@1rNX01KwuTuR?3%<+c9ov8O2yYFz0M4{Se1;oSnP$#knG6n zODrpSa&jL~qg_w9cplYbr)%YgUYc20I(t@;Us8R>sWMfP{(&v@`2kEhMgw6_A5EU2 zg>)C&P=8!X`~Gvlu#zw0;r|+j<)i0$B-oKwzDe+Rqx!T-ZeD=&QdF-lmxT|v+3c-d zq*$mSl?o3$RiebHWF^-SzLw%`mT^!LpK?#o7Ri%q2-+^TZv$Fsp@mf5V(EOwo+Pnd zN02wBEI!j$J#Zm?7qY5o%z)SrO(o=uu)u=rFMmeY#uZHoLVOWXbLy6S+oe^;Uu~UE zU-=6pFhRI^AZ6b-s^x`$7@7vEX?!{DeM*(B0g#Uu96g+8#GIf5=wf-3TWO_?7CjOr zMU-gSH(m4ZyD=Ra-_WcGC8-|Y%Z7t%+}R0~+n`$XLpNVH zD1WB>PH@9i&y?L(t05Y&15Q!;p{QLm2!C*=)??kyj{)>+%6MO-4*K3T%(WzCBCd!I zh+Vm<2b{^18s(EOPv>I4x|1<6Stw|+^doW+l&~qN@GguET?LfYw1gF(d+`KD$AyOC zmGVB(nU(c&80s@#0kt7Eb=7ZvPuf?Y%6}9QQuHX8n+{l{8YA%-xZ^NP8)LD%SW;m$ zxu-N57eT7nQ~gCuB>ph!smZa9hf5-<#fN zP&&~a%mR0-dwS(e0XnwLW}N`;E`QfJh3{3z|77#HI6)v@>@H|UTT2H@_z%mB8jFb0 zLgk)aN+O7xZja~>t#$qw`NhQy>PBJPW#qLdg?y_P^3aW=JU5+o$uidM=Pw{8m`sf796yWMg$aZ<9zJny?34Sz6BO}UkQ zmc3x)5S1tnhu?-XpM}RqS;PCzWVc?VTuI@K@E;1S3wig z=3`rHSjrL+KmI|2n!*LxF@G;wee3xk*V@#@vE$BdU$$WK*ADv`;mN%ySYr)kb(z+c zE}uuZViqt+T<#Aksqxt(+(Q7g<3NzkP1!jeSMEx=3hR^OG^rV!1)K(Us;tT|y5rD^ z5S*vunHP?ou^J@Tc+HU}8kw!GXl&`AQJ`|?w?Qj6FD;CHfJ&!veZ))Ws#5AF#4f%ohR02s5bWEa}>88#wXY`674 zPHXJ2893d-d9%cRo#?MJ;@!m|CPvY!TXkn)yuvV90_9H;u^1J}PGp#T9X;s3a}m2v zQXLF;Pl!HVg43?Wl7CHfp`ybTRct)o%ACCs>a05>q95?Tmro5rv+5 z5fg~fh^U6cjsn%qyJ^45u&EUy1@s`Y-sVVfNAHsA%7FO6YVUJt+dmV}DVBTE#MBi> z&)*A(>5aNspf(VqeEU+NTHZ~jPRFSwP-nlIhe=5$APy$~@cL#{4%WrE0X z8O0eI9`Smlt$#?zIps?H=W7V^H({2ee+SfU(a8~s*?GP?-cvs{mp08aNgf;Lb}d@R z&FFbf&#D|_uS~-T-|NMYPD`=fhW4Sy%I&j<{r5c}&!Br{Xdlu=Y)(^~B8)`Muv>JM znSqrPLP%MXB7-ZJs1%{2XYd{5y505*z4;0pg)xO6CVx`YmR69#_+JnNa_@GlzWd5i zJ+Cm5MaP1zjQYRA9>B@!hA~r+4nhJe_FDt1su1A525aEAto($ILYlWvq`{wNZJx#WcwTpIxHLjG z!cS5Ukl&wz5$@0MRuqZ=o0|Hwu4ldDU!;kF%Am}R(R0s(E3gJ%(*C@m};#s?o> zMpgCn%wBct3`5}Y&;X=tB|oyq8=VS3b_u%2v2?j+aAd$N= z{NLTZfP3i083UjlnlOK*Aj4JSJMUCk`+qn72#%)0W4i#dx8dAX{AP|iX4g=oT~5#< z2vAH;B$>-XX-}pBtJ^o6sFQ2GE4kcF==X7No=F4&!o{y6T>bLvo41$I`q>}c4bW8o zlkv`XmW`7=XLAdYFI7R5$`ce=2~cWWSdaFqmnM2A>-(m2edea_$+3ls1D*wFet&wt zWlX_R$6$P9w+^z%qW~UT#~90qr3XjK(!Am#t>G}5X3 zK|u0;8O7x!`;H~d8(!1+I9yh*{vs4hA1%vY%Ua2@4M1l<2-R#lG=vaHg_E2BiX}dD zF)WyPemkem;SAhp!m0rSJ6L5Fcs}^CR}Q4j>)- zC4Cb}4#Ap~O=1s7`mpi}nmEtx89bVT8ebRgFk50$>k3C)(6C#H|Eb5jz7?0!}i^#V);d>nN+MHjhtuh^&Z~D}gCwe;*TLw(FkRgV}PKQo2~f+Xd=w;(tA39C+5log=cL zq)$g~v`R%t*cfRw&A3=H;GpejNEQQaMa)4RJs9&hktXJT;)dQa?1;qyBU5&%zaOr) z;RiMVXJ`3(aK0=m(S1ww;yr2MJIfDnlhLDY4yJuMMxaz#vhI{f2H?>A0J9i`aUEz} zwp&Sv8-*QR^U}d%*MHiet$)qgUsrV3(@~;&0{OZ0Wjs$ubXn}Us z>KREig+PDgP#`Dgox_lx`_mkr_?A4<`+K{|V{_J%5FCr&OtF6K(gd9yb8W3G( z(QxA&UY6N&pp>8vXC+iM3Uj$)>f?{gO7X@sfwlP)GXtc_rrNC*0?a}p(cQAhhK@{Q zo8&0%>(~!?$A7E^ZhsPk;b2t}C(@X0l)p#|o^K4L{{RG66H=EmbIS-#={H2-jbd=RQU5?k7bVjl)L5oT^aaunx_7Jj;N|^3F4Su~b7c^PX z(+j-J?l(KKCXneZ>{7=b$nSD!r+nQ_dt4tOITdbG@hB6>58f?7yd*EKo=5*)=7G~2 zXeJ=OsuFO&z{)~8|68l{tQckwDl3DxmF|}&E`J`{b#vsf7u(_&6xk@InP5ZKf%J}E z=j(Wwl&YRMFJDx|{q`gpVb1G9s`XffB;qO^vQ56(M161BuWXu!87XP;q=@yKvf*qG zT$uyY_~oj^N!7B!1R}w}p@A3(PT-(oJIPcDFy5pUZ{&_}t+anc;e=QfR;qbuRbtY@ z_kV1Ij9C5ocs1&R3Rn8ag7|Ibs<6VZJr|xLbLd}ngYGc@o(-FBTa&J`j^OK#OWx{~tHZQ~+Avpv7fv z+>Nb114LgkZR&ud<5)N*xfJ{^DU4o&VSft@3P2ylEny$;^bYu=k{P{U{6o4w7lfJ5E>dV>u*@0W~rcNr4yH)3r$+h z1^3B~UP16Vleb$6EI9>&-Y;Om^14iPGE2hE=(Of;ID~f%XSJb8uuN|f5=rUf%YWwi zu2}V}@uq;W7(g}tU7nx6z3xM<@BQ?Yw&w20`1L=g{qr)!V3*}$x31cYG8+cJ>Ojz<-Q_s384EY=5qpt9l+`{8Qy4KCBJuxl;;V2QCJ$ z$~O2eH@5@%Cq|n6a9jKp5avGr{YxyfR}V4z$tkbruV!+-CL#}c!JvLY$Q-06(<Dhr|2;?-iZd7nuP@&{SVYV)gTwGmJxm)jsTw zSiTJu#EBq-7QvI~>it1DJ6LFIT)?IK860+{X8JFFc>fa4#Igp>jDK!|x^hOoccG2F z=iwtqLtm2m+1AS|jNb!iVm;UQnjU|-RTB3yR!@7Ooq3^Y<4g2hzuZ0jT)Apd#OGJ}z|o_2`X@V@D9A`pbCNZnRk zb`(a}+uG{(a19xB6kEF;P?@<$ma;q)>EXFp`!+BmWXucZ$))KA5f>UN^4GsTGAWG! zvZ2Dl-lVldtlY^;8UoF}7~09A?PX6@%$!tw3c{bvOoxg$Tz_X~CcQe;o1n`cD^6w~ zbyCBn;0cW8QdVRy)v^;HJ30m`DxS%}-HMNpv9cHLhmUcZ=6P+Gr196eT8Q3pf#lRs zABwP3FonP5Qf7)Q(6^cX9`ELAsl%;DKG$p1wJW7?8@%fQ*g}sbppI|Hei2!C$dFp< zf+fg;v_P?!nSTR{e5ajSRFdFVwJ2@gy=!x1%Zy^(<0Jn1g?%anXB7oCwt3ydwQ)t> zZFi1>N_z+4UJ8I=G0M}X@0?@pLdNzfoF$OIyzSM!1udN3(x!Y#uC_Egs@=g`1fzF4 z@q}^+UEevox!FndYCMTV0!It>`QXfU#0pN|Uw8A`#eaaW!abWqGNw7BT@){_fk1<_ zn7B6+ILgc*@h0asfkGWUAg}$hNo#xhN`R=Z8X=PCJ?J7`0~L4fo;koq!w3!Q#gTMW zx~Es#sg0ZsIa{R5f={S}P5M7OYG4JK6#jVgv=vTdk#Y%0e^hUq1k{$rOu_!`w7?$H z$wQQ?2!A?=W^RRuHizWTHbXiwp-lJj4dC2besM|e2EB`msKnrrXG?Ea{0p;as{%?# zm@QAacLJqESn@Jm$Av{6X&E%YtRJuKpR41LcqWfbL#an$HCXF1MA2`de*eLy5#noJ zV2m{(lfqJM77Kb0(7HYCgnzKVOQm4^5%6KxWq(O(bdE)S2y_Hi#xvzY!a`a6&pJHY z<&N&*@mxP^N8boC8aTY}>mIaorOc{L3m?9)qks5-H7TnJ9;D{7mU!@S!=q1gfqC)ukyf>| zluYzQF}v#K$!Uc{FEuv~h79W)OriykjEGtdDNe|TnS{#iHV&Jxr?PJfQ<>%x;FtGd zey55Kcg9%b4#)f!cA~nw>~(EyR+vw^IJaWgy8)zsL>kv{h&sriTB$8(z`F_bKYy2G zG`kL4R=P*EY8ISWPs1#&L^H}r`BEs^e&ANWBv@L)j)@LBtkurksHkf#SfS9f<_zkAY;A0NckC?t-k6gN`DupV2(zz z+7p4{)rJ|R#n9_$faF`bT;~7J!S(BbS9AR~%gJ8t>1YTr>8b6;yqRGqi-0Q;tq@yB zMo_ugrX!{jxG@wx`?%v48*#&B*~5grZTx~X5_P0KY`yiO1}|__?`^f~Z3`dH-PTON z^!!N|p$Z*}`(y1P6l+T{lz-!d(Cgp&In%c<8BkK{++6Yo$$J1ecdF~dt+Y>T0U`Z% zbf{8IB}O$o`z6QrOc`vH%ER@5#&ZpX89M@kAvNvKvnFs&Z=ker5n{(sQ+3awc0*$fTs za0S)L7@>tcE+YC6rb8LkymXpwbk#sHX)G=aS0uTaWIFeR1z#=)lOr>nR6Mw&^dg9b zUCRTD;|YU4g(hjBm#l6Pv=o(!oxEW{U(LZ0ViNzqVuSypZoVx(i*b%kxVXwM-OVcZ zbr(L&pb-WRWDeoBb$?Z4&%omX5Rs`T%YgQvu>m2;c}VJVWRGf$8Cg=w8Z#Fs1g#}D zw;yHF;5svrjh0PWhz|c1!}+TH_cG_>G&7lYn*-HJhFYs1JwZP`L4e z0fRvj$94XTK8StEtx9~e{^4I^=Rc1~lnZ^yPu12u=DgWnT8vq{fW#~mBm;**K}~ZN zEX3vR@t8|~Hh;6tu5$_drM)Cq@EuGEuT=@)LsNO`JszQQN%k1C)Xx>D&Wyc+Eyrh9 z>5Dj7M+fj}s`qMnuT#zor2&h9JKg4go;W< z%eR2Y{NdSVHO{H7ffJZ9?BK~KIfXKG-de%IoyF$I@F|ExyxiSwCXXC)On$>|UJDV) zIiE6Bz5}t+zVGhc82X)mNl|BoJ?8I4XO*3Q->DA=M~q@R7XL}{|MkO_8{*yJ8$BGg zUKN;0Kx@rqTK_@)-ln0Cpck9837p5OpG71f^aT?lPpQ5e$}jdaU~R}rE-`FXBn_bH z{@-*(6s}M#PI*^ZWX>F+pf_argeK<9!8YR!F8?||a^A52uIJYpfe(3x^$_^;(#X$4B%5{LFvc8RSU3)ABYlnEvlKBegZ~pTd4}OpK|_}3xJM9a zf8H^Ms%+)Rc_HFkYC&RoV$naG|95~{&z)qmIT=uCtFkb%l^nN!TIW`U8l2PqU5RF{ zh~mygGmpV)wWcfDa=;F} zR(p<_4AOrj>Y(CNE@ba_rt0*FRKrZS>$dKf)FTHlds2!9zhEh2@Pb9fOc87(yB<$^ z7qIMPU8m|z8;C%i5`mUp4=Oxp3;<<`vtaH<`D3H4SL)G}ghzcw?Ji0_YifK$6rayq}*Xc`8Z1Y=RC zQ(Xzcg%M0rVHfO3IBbtin{FJ#F4Zup>6ER9iEM(LENT#E@ahzD5`k;Xd&nFGvGyAp zc4kzNUopaFJN)NnlN>IKfPy)fgDakfW86S{j86ZX#BYGzk7IunCLU#fOU)bw+IG)g zxG>UPX+1~_gRZWzmEt18nWRNu_fpYwCEwK(%!n(crtH)vq)6PTq6o+;0?%0~Y)X6z z|205jHx9oo8}@KV)FDts?76BPgtm(TF-69O=DB&seEHVQ)kh3hL-DXZADYpLQqfqF zV{0399U9)~cUroHaS|SX`5+&JoN~-wVrXO&jS~_w8?QUJYvaB#^b7PbuQwR&`l9%EF*I9Hl-!WtbV>Yp>v-v+cJ@q3~$DH-jO@97_rS zl5&V&WX`^q+jNqDrEuZ~xvqGVaM4>Tlpak*T%+A)x2>U!fAaI_PfQ+z8U4D@{wvI< zS7jevYgbj6D3KRcKFDMB&FOgO*@RoDAHu52XSezjQPV_R4>Fp#5_wUt#X+(v0;cnh z#o2h;t@%Xkl-0Cz)Jl{5cEnfBZL@(Dn0uNd8F(G!C?T+aKFHp$1IET;0kTW}Y8vnh&8uU!FJ$%t=byp*bIW|$&x;frv> zfu<%crR#w21t&^a#p=*Dcn6#}Y0`W>uvI1`0$LY98?1hf01PEl0**acFeS6#MM*KRrF2dD8e6GFs@1 zv3;P~&f*za6F1dn{CP%lMF zF5MSnx*G^PuxWpMGxJ(bfyr9+4?x$Px?0RvpfcJVA%ac=ziw(u-Y~?!5NJmjF{- zG=FaAHnDGt$ry`B97Wl^H>e5E=^$qb>Im1$!GD;#}F+;Pbm_!YC@6<-G5Y(Xo$?VO)?$( zoA4T}wQArx&l^UiU(44t_7_mpK-M6Pcj0i+s4PWLJqd?h*qfh)M|cwr)s|`Ag%p8* zbM@y(!I67Ou5BvMb5fD#m3*{Juyw`6R*H5TgaEU^3W{hnQNW#1y8aAP?5S?>Mcn(H z-1kptjx(9`8PWGb_Sf4kzS^SWbq5`X#)MDGN`ok<#QguTm|1^U`tqKZKcG(Z2adNf zD7WB8&uI6bsoNvSdnY^_&n%=+C?_0$rR~RXl9uxC#^t13o5Bv zGl+^FbG8n0q+AMq5LonZAREt>v_dh{K)xrcQg5Jo9!b)=)u5=ZMf9lli%ki58EBdzA=8RQQIQ-&{VW+Z%+Z&cacH- z5U>@#=2VF$AjCSkc3hYe8Iu6lmqW~tZDUhv%(p4UDB2n6tFj_TlD5C@IUDNs%`j}P zm&=@-1tcBSkudn*k(#bv(qEv_$&x3`bT8&9r?+UC5q#|sX_~iH)Q3A5WQiyXm(^9~ zQd{b^wJ4LNkU0v!**3>#meaa_zlMTERwObIIzA$nzg@diqa?^tbbDJwD=yy4`4vf= zyFDuXG5<=UpCq@E4si(ZO4+N+a}pKq(kxQd72{vL?aO*F5gR<2)h(doT*5zieEz~M z3rLWk3m`tMcUmqFK{qKpT^CefDB!VNJcwT1qmQ2F6#A{nAbjF;P%w&r%WRUxL#Y+; z@e-?TP^2mP28A=yt^jy}l&Z>dhGQ}Uv{6jrjC9k$NIiq!D|01k1f+)_e96Pz7|rKI zyg)2Ri&(v906G{*7XKu=f!cLzI-L(IAUmSa-fgd&T$6JTUIZ-*hN*1oEhEMYgC{hk zPLCZVGt+1?q6kcXFPF620J*3Il7~2UmrFsu>}2(S0;WPRpog+3G3ZR@LVUO^%63(`nIeYzTV1Q67v->KZ!f_AMGp8fE0eBaMf36`9dsxQcK?B;ay$g-;^j!_%&MQ`91E&8 z((U>Xkcz%AAdA}hn1h}WjA`dEon-fJ3kAIk9W=_eu+W&iB%7=mI2BmG5A)^g*@6?r zqgc|0hv+$Ykw7zlC*Z>uICc`VUggJ5%@dn}zan=x%P0)YK7dT_|TR-67EU^;NiQ+u=xLVbRk zcf@Ns>+I*$h4o0w8AdWZD(OPn5UT)0CxAZ>BP0KUYZ@$nbvj;Bm&EesE3I~ z%B;7$R;M$6LT}4gASJ>qJ-V0e2%V`Da@Whf0gz~Q>Yq#V%#+-+F=!m7C$zR+0XrZ9 zyB}ts0>+Q43S7&M3hs$z`}k}lcs}9Hp`XwMI~x3I0=af|x!I{dPfRDRMj#4r4+yKe z8tC-Z|AC$Nt>+{qX?czxd-xpK$S#lwV!x&+*Pjy?Gpr=6^|Yb zfKNYgD@Ac!xR@yJV1n4%w$UNA#8a2+*#-{PeP#Or+FpiqS@l!HNs!<$cm&v-=AB7% z6{ki~`?+g8@K+GnARsyAO?fE$uk~BE$nmRm?M*CuA!F$n0LeB|9>*^y0FpwMDYjui z*$ZEPc_|hvv9^27G~u=lnI;%^oUT?kGpF|hFdjfzF)FQNj#+Bfy1@t}URe?gxs4_cR_(c|z(lw{ zMYL4t9A+dfkv#+D%$j`2*yi>>#nT9@im9c zdD>U-rmEr2F|a72Qc**7tt&ewPx0C*ob8JlG(W6T(uS8`^C19&AGE;SzmCfpn54je z4Q(ILHb~DHM@M8_f>@5ITTrUP+W=b5N zemBNJ2k(kpx$apNcFvW7>Z`52J3HQlwhIm-Y`yNo-fh?{HPkErL(Pok-e$Vt-#jrbD#36!lvH=iqg_8_ju&v>G5$W zy&-2u^Z>>od>;LAF#bG0Z04KTpS)ZXzW33U;mYT^8RK!z(p~Ovm|dGwlGAssQ;+b+ z1PSmH5E`MrnKTM|f@>BDFzPT=VwD1iEq}TY22lg|)K1^Kc;-0|1D3pOJR*#LAelMA z9iJgP76~UoX(n2<7TK1}ALsl1MZ5GOO*U6myd(?qrHI?Tx354eG3%<&IwXQ9Y)r(r zv%2X|L4eeobT|_gp5eM0_HZ^+(Imo*i;f0ecux#;uB!m z*JKI%VE2AFcj`c9>CbdNl?QSdb{yT0B1AMwtU!vWEtqFX@msNFhmr+O^ZAT!63{44 z$CI-tL{9CJO3D|;Av6P?Nq)VJD(EDqt!ZbC`OkM0v)JSLGRud|b5v)4zlqjTeCoLp zOb3_{k1%AjNyJ>BcSZyxW}A`L;tCdtquKQCCjW-QOTA50ng(?s_VKDiaw zkg)aJ?~8d~M4Of3WwvbcZ6Lz>*4#Vd4dsuC+#S-`fkA!9U&$2SRm_d~9U5q`&rvu- zc^7J8u2ZPA=_pl3Hc2Ia_2;{yazG9qMpaUuM|fGW-_1Ei3kaf-d1ZKxLimxWruMMc zb3_&|m1foekba3ZdZkM(fl!aPs%G6 z@oyDGiNuR_-4V9oz_4udH(!JsjgQO?V0)eL6LydG{jr13_aFgN^T#`WD@Wmffp$B! zxdH&%D{c95DV8>YK zpsuYY>lZ$Mdv_w9y5KW}4Sj7Q6N}zBhTO?{F9JM`+N!u6^vYo_;q>;nEVZlhb1Vc8 z-s;}F?zn*b`pVsNtpX1)BcZ$ZE0M@HLFB3xgLGeY=3jq*v6$CQ^C!``LD_s{TbUfx zAF(8RKhw}tiZ-AhNp=@P`J@@(tIba7-|RSx=AnmqAaLEkM1=S3&9TbMuV9m`$<`~(((st04&=BS4;K!RX2gvsuoA0 z8Tb;Xlas}N`p}WMBxJ4?xW!KiM-RR-*En9ML}DWnJ5MypV5WSBsh-AF)ysHtBL359 z2eW+;jktGSiW(<(iya=Vb`rJF0qrransk>%LTTmb*ph!}++l#LBIiCKqI&qw=-^L8X&L{6i+C7}Ci>>MB)#fm0aM6?I_o^d0=3N^@4A200 z+KO?u_%Ghr1G3Z9#PU5;43nkyJ+Y=m$Xa*u5Lu*u(hrbpoL&Hotc$f-9!-%yia)&<;co9p zFNj_l>ada@4WJ;gQ=4C0xde0<+RXjz1*cjF4HJc!oK2*GbC?NAQo#ESL!j#}H>sgU zGoL@04<*IL5iRtkx#rmDF6J?^*W-xUzXMrL*1 z1h>gE1zq{PS|SVwOPM&KV{I7(B?0pDq*UV=aOF9!V5_Y)-tLt-Ze=Uz{LukH3y z?c0_(LS=FQ)9S(ptkl^J>9AL*9+-TMfWibfGts#HhOcC-K!H@QMMm&Cqei4)V&>?j zrhY#I{ZsMx+SlR0J+B-(vnysquk#pfcRD!K5W0SuUN-jQxedgHQ~uETQ~h<& zr*K;KPm7U@k@;ywK~dqo&w`=ibZduHU`jpyEaJilg$zHILc^GlC}_nO9=k_Em{C5@ zeQ=}!L+IcHbRXTITOyxsgiV^pZE|PY0YcI0ChZ~{$AJPxSl3d_m&fAcrUx`r9;|Ra zS=eBpOl=5`aNIe6PMMc~*Kgy!u!k}A^-l1U<3OFqs8@L{yik)j?MU4GKA1u#Gh4Yk z(xVw0TL?L-!85RH;)i$gkxpGqSz5f@`Bs^Q-`b4SP6{zAE|A6(*&qJ?0?*#iCHOj{ z3IMv_ByWI?`x?Qxd$KM+Q5pc9U7N{rgleFByU}-T(tDspJ8eIIKw@GqA{yy05#wxw z3pHy1JB)P^IfZe4#1=3lM=!;2zg^p!cUqOnK8i;is%n=ST&R!Aru$cO;_A-z`d$Z> z@H5VYyD49U6%BaG|F$@iM=rU|AsPYGE=%!BA-x#WX3Qr$ z+-1TlUfBWf?A+2@8?}kHwgQZYtY5YKQe1mk#ILI3mJK(5uG-Tto9%AVkoh_pBB}QN zLyaY(oI&oqYkyDeqH%~sF?BerjbSqQyn`SO7yyIVnT6F*lF?FQR1D}81_$B08#k+0 zcciq{8U^<+Nl+;N!PvWBAjia;Wf5kF*2jT7Up=tEVLlm%)$!DM=yJ6KCx?M)YUIn0jJ z1Xa-?0t6)ww*E{7VW)akuDOzY} zIK#1hG(9#Vj4~1!=9bfx(j8^S!+!EeI~5rmE}=RWM3>Ewx}n5M9&Da)h|-V;z)EHC zyzY8`kKC^HTw{t~muG#2*m&bfnki`BhA9RmTx&>uc-H|-T~zw{ppB<|l=^_+pUSS4q z)iQznr}Jza-RjrXgfvpwcuxD7{E`aRW+O$5+YeXA0|AC$l>+EwJYTO}yeCySbaX?1 z_4U!6HUm7GDxt~8U~(A_WhPV$H)%dZ9p!<3eO1am>CR?6~R* zQmi*(1fBOvXx~{ukHLfp_u9vYXLi|@%grqQ3J$;uus^OEtJP+6V$fjkCdw3XXHc%% zsV*$E7jgDQt%po)PD4)gL*z=rHQfAFjuon37=9zZ51nk{V@V4lCmCh$dNz)KQZTL* zfU>Qth`zLh(SEML(!~X;U8C4gPKrjlG1mN#3y0J*AsuhmR`pHb9#BPf<5&!|&@;tnkdLPW+-5 z5M2%so0m8JKUOl~EpgGu9DQnkc2Qv+h~sT(U4GO+tAn%;LdE`wwdO{BsS+@!H*G)oBx2xx7qqM36)c zs@+~G(!-gWC<+rN(MV@>;ylCyjmi6UqP30U_qkzob2w@4q+znmoH62mE}=>zdYnLw z%(xg!S?0bBK9@+(kHSvK9VjhA>L8UkX(yBkArM~+NK}v4d7u_+nX64;Bwm@9I}et& zF1uo&{?CkssEJD4>w;*B7-oL0D(wanRt37>Y|BWQ+H1XWN|Ycem#={c7>Hpqy0W6m zhfNKO%XcOB5-O>jZchq-LvHRMANY1B*Ei!Nu?CdlWW}~AUCaON)>)f=Z@v`0Fe#2s z;*hGcx0nhX!P|&-9}hS+zf3&-on27P=K{1;|J#@?t*17|O4!JUmr|7Wf-tNGgv=n# zVfN|Uuha*Ei#0+IH)Agj_p5r1@R27xR8D9Y`usFJOpBFU_mO6QGtBnmCH!`uLldM5 z(?Si{p|Xp)N1Kl6?m`}Vtp&)Eh8CJuZaQw&^Y@VLc+pKVH?Wi|RGZ5NxhtObFz*4B zstG@t{9&~~^T|C0l%|EAOhq*p%HSbk%cbTQE?ml-HoXa& z%&GX@*HnhLaLJ>8Zzw}tE;g#1Hj5+g$Kh)qV1oy3l_3FpEqatzPtCPBn+yru3zd~s z>631-tq=v{32uI)v6O(w`P;^e2O}f&x)_tgZQ=vpMP0J4{1A!1Gjyg5Q5{`kc5ijllW;r5#~0OMY4ld|N{^bktVR?~mnT2I zK{OqI@VdSmAMYMrh7LVkvTHEQy&GaUT+>;?`2lPdTg@o?bTJaQn?wK#>P5Fw-{8N* z$)61I2&W`}Uft1*IXJ?P@U;4eKI(oTw>eb{1wLrVAM~}7rvaXt57WpTD6_hJ+5^#)*Uep2IQQo{Q#U=EiUI5WYyLhf=GW>aqkBeN_y{qiKBC@_17dG`*1ra;>GCQx<)^R z1kYir4mOeghv^2(yUt(7%pBW`9PI;TAjOJV!5e0m@`ub$2q2NWR7@0!76mE75nCb#)<&Z?h;5>B;B)a$ zVBLx50|x(T%jRfH>9)=5SF!@SWWIegEm5#tRwNy1k7WJmvq*$sC{o?&;{OW!Psp=+Vj|7aDVDUxE1Es1eZ3=gw^BO28C zA|HfM)GGdHncIQ9?yfVY0rqp;mR#Jkl9`-pNnvHEmPVd=wZBkN^K|YnXfhCY!1BSE zIGSXUy_q^NDaBffaA-BhA~VlJ=&t_l&FubcN1Y|!paX8RnKN>}L$3#4A=~2Pv>vB0 z1HV0I;q2MJ(U7UT&8g~1hkdGlPw#DwUf2h6uK-ilfmR`X1)T|+VPM;i1m0cp%HwOJ zbPP4WUFAe%_cU6ZTQ)*%NX&7K_I8yM?!17xxh@QAi;$>&MB37(wp7ZFqy;FheRw>l zWJvES)_x+|tsqKDuSign23!nZ`@k(&$7KGlV&SUfirEXrB1;h^{gqIE3G@@V8OJVP zgBFrbZbi$il|b?jtzzwY36Zqlxj-^ zgNY;JJFV^~S;YKE2<>@)!myXyqzw46wGTPm>ob;j;n8^FnLX#xk?S@e>tQ)AzPXN| zHU$2M8gZrnfHwlRp5%A$-R(lV%@y?=*=5s92u1@3nRr~1xSql7DX$P^Q2VmM&lK#W z*pEdr>^1^O9y50Lrb)qADPDiPKNqGhUwDpa*nzf-d7GX|*N9?&M;e>&mAp7;{{383aW;5gN$QI9Nb0VEFh(`OeY*UOe}+@}rs%Y;fq4 z7|}to6N#|~6_UxJ!Mir{_PLwTs&rXW$bsb(_f=bCefn%4QO91ug6mK7GJLKVzOCd0 z(5qmN<_5z|ozVJ!SnZ6QMIYSvk@3Rv1^_-l!N0{V+Iv}gL){}!$9X+I$)PBM4ap$p z=#!LC+suUuNpaq1Lx16J$Sb-wn~86whuSBv``>w(AkJrhsZBu%8l;MUO%9Eb_I$ze zJ~Q#FCd4omHU5tX8!}z4h;uW?M{t7{#wP^;m|0*Ag1hNT7ghr&f3NLEgV^R$+Ub({ z{b94!`5smS5Nlu2Z<&BmUeu=}xh2vZEaZ9rw~WmbBn?|ofEgcG<9ej|f?}26{$bwli)BBm?uBH&NytU)x=EZmp?vv3k8p+>Pu8jq-ktFFr6A&qQ;h=- zX}u|ZW|7(Z>Dm%ue>&>sY3ehKJce(K%ZWR!P0KRy*fs;4uZ zP|2?d+7^{gkP;GFb7`B`iEx*Nbz?Rtb-^+f`z79z1o7>eEXB!8MGD?Hh>D3R?lMJT z`*T)(m^PgrS^<|w5VS@}kx*XvByXwc<2EL2h+*HvF|cY5f0R9|pbDgSZ#5rQ5W?o@ zg|=%p0t5cfa}Fy=a1jakZ=2&EwXHzJO(N4bCcExs$=31kV3HRPRIio?Vv%?5#`#>B z{(P_#gpR?H*uInh62VXJm+r;GjXN)vCJx}8eVt9-ygn}LZetGKLZ zltoZ!CY=z2e+@(nhgC@jBbcJigEcPvOwbvR83tUJl)xCS)=ezcNgV~-hX*z&xOj_3 zisV+_ho2jUce9)%>Ql*U4Iu7W;~}6Oib0vJy`Gnnl3{@b`U(b~4ENPCm;W|Tpby7%!e?)6-h6lAYwz>=Kp$F_ND@B+3 zT#dn>`nJEXofvFHz_B&M>kSfx8(AdtUn%WsJzKU2)0jkL`W5H0Qa+MSf-GSKWPY>p zq@?PIK9AVFj+GM9$Xvcri?f3+8Ji2|8W9K>6&d*nYMbf8YU;&&O}>*tFTZRBG-|yk zbM{cHfBQspwEdsQY$;4x$<~}nLt#PLiynB=3*s|jt+|I)6ssiNJlc?{iK!H%Fa0$> zJkDUbAz$~9nh_$`YoXs`s4gB#m$Kzi$=XCzF~Ex5jHzhss*3G=KLpL!b(EgpJ^=fO z)&303QxJEQG&ETD_eVLmuO+tvW+Puk>uQMaf8;+WOb(*B!xWr7bMI9KBh@WK4|t5t z3Op~~Z}2)Ei@{GtTq;M zfB7>Jl><;HdRR=Cp)8&<$)~KNfSv9W$)7rQpnv-JS7Lrok1&}~gciL+bvXd+hxP!V zQ337Pc9q4Iq2L256U~48R($!{1*}Tc`oMh}(cH<}{DIPQ$QeTa=XmKqra&eo{UjC$ zRFO3o#u+5WPCE{F3Zh7RDVnO#(~%%Ne;Gk9&p2mTB+V2x2Fw%;DzGht*v6}P%Zd(6 zfZy{!DFa>Nu;FS9Y3izO+=fyGXZdd*SqzatI1J*bsCHlGPG^m?KR8cK%lMSX$JyOrC)jBM{ijxNJ9$7B}q>YTAIk~kPi=ZZ!Sr4XVZvg{H zBUfd`Cs9x_LP7rAXz;cXe_OQd40OH?=e z&Emr~<7scq0ahmfou0MqkrASp@`9VeqpcT5(u_re~!_7<(H;sUXzO_re3NrP zGQs_OPQnk#@t9+uA!sE(67!Hbu=p$M|jR5H$#&EA=v<4RztGZu%DWQU=&M#w$ z6V)~td@zP$1ZOir{vbXqSM4GtO-eYg7EW2QY0aAy-02jXUWodM>m@ z+Z*Z14p+sm3$xBs!=ggCqI-D4+hVO{ci%IjCi%cufBt4up6P_!$t2i@eK_JSFjxC? z;(@pRIazqBYGMJ+^824&D-1Crqb#wY-cJ*)y9Cbkv1Qb!#w6-T3=y3~455fqq{I{C z$BnC7;TbMJT-Zyx=*2+^s?F&^H5=idYx%@6g_ zozQN__L!!YXVLTU1_%kZbpr^QVx}zOVXewxA6N};=H*krfWjkSqy{F3Hll*V`9OKq zpCG1G$(v?688RRjN9f);?4sG#1{}!6Wu3vv`Z^+IM5w&usUuad@ir(CHpN&f-iW#F@7Tk#5y|>X*F*H_jVSw=gDAD zx748H>x{T@uc#NNA6`_&(pJx05w$Fixlt+{4qJ>ty_zJ7+NA4pOHU}|4CAUld5Y2) zchbJ*mp))acO`c5ZyYp88Ck(14q^Ire~V#h8g1WpI!(}f93)Mo#5j20%u+X$gd0}X zSIG^TQvL<=sgSGb!=7g4vk%?xJ@zpz5Xr18P8RDj+rZP15V`>p!Hgt{XQ`tvZD!QK z7Z_$eR)kP+H89n#EzNtC|*^xS_VrJ#-A2NoC}=4T|ta zd}LM7BfhLO!jSLMS300x)W$V@BCQn^WkbYvBcvk0L$p{UdrXX7@m1}$sZxn+;F z=_62=`aKt&wfQ@)JNvXaiSr%)un%zXhkpM?n3W^-0yZGeC-lLqRjlZBe<>IhgQI(i z+;S_=Jn>L*%w)8mUSV^rDcc1`7ybtUruR0Hn-42AK!^M=pHm>_a#?>{bM%)=zdc4j!q%bGRC5{PgMdZNA|M% z_?vYhK!s0)9O^XSvV=*ecTqEI6aXKwn6d4?U#i`{@nk65URAZ$LM08*cKoI$J@_Ng zvlVo3bLQV-^=95Ywfjlr@_)HLTKD9fJA|r+wa}E0??En{;V1xblil z9;eqdvFL9WoF_{iWX>g6y5D%T;zZmBlV-n?I%~V=K*@btQ8xo^P^6P>=NNXeY|(x} z`>VUt_9-)gY}mj5Xe(W{e0#3;m;~Yh!SQIbBG@nMQ9r~`Lf^oR_=!=gL z!V&^h2{$?wVx^64Iud>$>)u>ql69H?{B@+qK0wcDPW+TVf2cLot>#tU_YvZZJgbbn zVOjbai4uLE?NVKoVDyCf036{oa9$0pur1|j*D_|b0%?WmWGT&_SD(BP*v$oW1~+6m<+ETY zFO$gM2OVDzC1&1f0_1ucvN4LbZonZv)e&b{QpL{dCeT+5U#elqf| zLBdHZ{<)PTFpZJbm_Q2holw6ww&D2bP+KS1`oo1#f51LnNibXAjyaGAR6q}IeOLS+ z&kS(Ifs?%XTCeTolL`2M1BuN7*m`zk2>o9AOfHxHxSJ78tNg@p%dIIxU7r+~ws5+G z2X^cly%g5RoHX0l*EF0Fd_Bl60;!}dxxfr=&8yD<$;dkJaAu2)6Yh@{F|6^|gOG=6 z>iEIfe*zQ~3t>%~+J$SEnf>}VodhL7G)1N$+T>xCyp7XIJeSx3L8q4ClM|;7cn@VL z*7AfjvIa0ML682NQ@UJ{81&&zI0)|ee(uzu{FpJ&C_Ae7o4~P{_*7Z8ZK0*SE3}WS0!QrjiD&10_lv{|%j>9Dqh;NaI>xX)voi zr#qy@+KQcPVJhA<0M2FCu|biapvFB)c>j*Tb$!h$Hjn58k_^6AWsYz9Elex-RFw2@ zJs_pCO*?#%oD0H`@>=A);dJGfe^(BjzJu^|)G!kZdo@0YSoO7F;H0mwLF*lh8X+9J z!eS6R=NE`JW`{5tsCb?k#t?G=wy#Art0qg6@#il1me+GlkXH8XEbiGSUZ{UDMOZbi zPU3%@Fj1zi3t_+o{&wo>*3|Db-~}cEacu||>)1cWAUDQ&I_x=!DNn)*f7e(b&1dF_ zk$`8~L(cy%2OK49Z*y_{ZY%rPz0?uPlNAHe8enCJ*S%m2dnj~b%f zbf$QmMzS^=*pTw4ajQkFhHG$yMwMdfJM*8EKGibaH{JWK-=7l+HeIU`+TV|KNojvQ zYZxaBSYl7PAr@v1JPENye?X;B^@KVS7M_+Q)GPH-1?~{{WARUX^G`i!+6l@rQzOn!p2I5+jgFX zdfFJ~K=wMqwz!@;uq7$jcxlNHiw+W1+c_D*z8ec%;y8waAk&_Je~qbTbQUI2%3V|l zk_}*1G<|k&as#d@BK5cJ3L$^+4l{X z{R;Wx1Dt5Kl||7TPwKYRroV)dQGP_6Gkq&TL%5#KB1tDS54$sdyx2h4`UA{u+e}%B9!Zcg=nj#_HhunYT zud25y+e21j1`N4U@9zj}$dySSdM0ySx|TJI3r0{Zz5o>JUi%vv0Z_WA4#xl|wV}Pw zqfLrsPW%&dx18BxDJH9h@)8R@KxQYD@BSs)N3n7I0pczxZ$nw>-6&AcWLr5R0wd@u zN2Rpwb5755e>z3F6GsHXje%NUYlnRQe(s3Yu1@%|b&0-A))C6Qz0nHYy~0(fNI=jM zNJ`@W&~q#pF^xYDFi4utI$E67H8a|_HSJ0DQAFJ$y5eO!i_GTQx2a1Sr30UN3Qtin zh%AUND!YBY#rmIuoi(BM%1|X-O|^8ME23dH4F2D#L{>A$1K0N|kk{nx z*>WEhU834UE^DZc0!JD=ei?_&e{GlqypA|fM6Ic<)b*WcXZ#6grf}ZxCmY9dU!o%T zK~PD&BgbWDe;=ZPfBd0wJj!n`kcpGeD1Cf5@||0Q+2r=nphO)h&yr{#it_3c3k2v9 z{tomg$FTVC-kVcnrGd#e!lh<50NigQOkbwc&j1g+X|SvmrD*#M@jXhBe+s-Eor_E+ z{1E&;tZm4u|IwVtYmJM3695ge0;RTxdxvH{>UhTb-At)1x~8>;yg%m1WxkU0Uy4eP zdH^#uCS)QJqw_u5GlavU3J^lLZ*O#m__H|6qKd@81lj9OcNvE7;N?uYIMhWQG>#EFrctfgu(=AK*qp62>p*n%z0Db1|lHzP|Bm z&+U6*2y09*6Ym}WfGtg+wT;@R-uH@(1Diqhtt?>}ye6a&v=C&Ge_>+KzXNkh6W!;jdn3tP)(0&%3&Ml6k|K|)FXnEv^C23sdsurF?u<=$HswMQr{n%sUeSOd;uY?N z!nU@RNU^z%ds=XD>&O1M?=~PMl7~#Nab5GAtp_;=NlxgX>EsjljH~%hv?*wowVb^uGSf#rryEE4vqSvFO8ftqAr$~GKkYlqkp2m415 zqC#g7aW^=BmhfIVX+AER0nG1)Go{_Iy(z>1#*FQ1gEfJeLr|nj!~5oU<)7`2-7hy@ z0HgE#PS0CUBtIdWG-5KnG@pMZLlFSl)i@*&DdN8s)X^;&f4r*+ek|O)JKx4rD1TmQ ze21@~F}a4!S>2>ySM;GCSTWf|S7{!zu{A<4lN;a8vC6L0q~4N-*498@_wGYvKDCg0 zYhkOl>Gy%YF|YWGHHo@5isSw)^E|ElLe!cKEK)hAJqGb9S{+FtfM z<3_7mgK)9Ul8&Z>EZg(m2yr|M6~1EVRuFa!0W3FgCWVW-8>FA8RELQ^g-j6kU6=;{DHDtvo+<$8~+tjmGCMjTir zNqW{LR)C4DZWA51CYpA}$O%S<6`?2HS0WHe%-Nmi4rgEi5SlQ$g;b4J`eKpu;EtV6 zI31xGyy)bnb?Pf{V(cq1V2!8`kY{Yu<6?_@e-?g#X__Iu#wElQ?|Xs^I+`yXMh-3! z!S%T}npo3QE0u80yaUOP8tv=|$AohEp)h>Hl{=nUHZ(QjNydiVaYXP8cd8*{JNGf7 z$VmqN)Y{XkZ6m8RmSwZ^K0TH);L-qlg#gw747iVMEEO)+JO+}9x$l3A=8!TLiE(&iefw#2o5-M zkjM-%tbWZHPh?uzTYz80&yC#EHLc!fDAW#eXS%MkLfchUR8-zCYSb?;(Aq zSalX;U-m$BW!k?ww?k1OwVYq^T^~`Ze_A=<=j)f4@A$5hoU%k1q?b%EkqA-V2ov(X zzxC2pB*lYZS8e~{VAPH9vO#W3*U-q~2ML(MhN9^{a`C7Oa2-g4ZJTb*W}Xl!Pqw(4 zI85vt>fqtuZ~Q+27UR)Cd5(s_(-P!bRW!%_2;tC44L99RkhaKLlGXw@fRkT2e-X>t z3(o`gye~z;vPO_j;GPvmN=_kQ<^5tm?oq$badpLwMz8-uUVE=Hh3XxAMTIQ!@VqF- zAO>{~y?A`FU}f^2p`5^?lP!Z7!#4HFU;k@AcAHRKx!VbFIf3bM4(*R$+Pnmr7poe% zGz4h!r8NJU0XK88i53@BIf3*_%B70DK=-+N_lNqF=`iQP<|_OBbLG zI}@F1Da-)7vKFm5xz^HnoPY4+@0?skvM}oFY69SMsCqFYnI2)HHcRc9-EXJpHu*5H zm?Xv}gR~lkRGJA3{PAiea*^8C1N?a6@mqfwgyLjuq4H7BL!*=tFBwJ!MF~wq?DHxFd< z-`P!!Gyj{b$&3>+G~Ob^vGkm1wr$2tmFlDZzL{;jb?=oh?20k zB$0|eYI6N6hr($;o=87Ol!>{P^Z`UHH9 z%phwW%;yQPf(XWj1+xhS~o7Zw$NGh;AjD{M-d&iEV1S)<^ZvZ8=>>TDo2J5Q&s zeI&5ul=8GsBqiPBTZByA{J53cpyEe@3twU`c4LRy8uoFfLHminjPz9Q*4LREm4iNx zbZ@4xC4uut39M%d>Q*E;5k09pIg8jRQSKeAOkT&0BCtlq31zg|c7@J+-!l(?OS%=G zk+JQcR=*HT+>YEbtzdmlZb?I~8V!v8PJSvMYa-gqqIPyYw`y-Znn-H7lEhN~LmGos z307CCxbIu{DreH+O#d3ly2qbOA!vFdawQeyuR>=Rz}HrY;;8NJiF|F=pz%j|?e1cL ztCnTct)5;B0Ge$ZkI%EUe!V4sY$$5}%twi_3%_rLmj9c3$Xo#(rv2lULzN|C+^k)~ znxk@qX*>ZDkl`ZK1TluCbeEawBWR!c;sx$#qLSzSdsJ$p3Rj@E_^v|TVS;h%1pJ2F zp}-m72=r+siw+UOn7bVtPv&HMv+rv~SC1z5-{N78+}Ohe|Kmr1`3RYRl}^j)h$Qla zot@jx-yWZ0fvLvcm?cEK_sNd*7~$tE(x*-ePPgbgXZF7&-m{9LqpT@|O{lRfEE&w= zF6OA&>ZG>Dtl(+>O4v;zuQ>4K?0U%XX3tEQ_2Klb75y`iu$*Y!#`b_YKS-|>n&Z=n2t!OilTi7x|kaVXM@lSn-#Voy5@Zsy9mL$&-j=LEb*++%O#yr`3D%fHy;I~wJs^9m)ZP~gA9mb3h zo|9y7WsO*%Xn}IEFW@tAITqpmy^WN>4MraXZ7MrB%J$j*Kw-j##q^fMpAEA#5 zMUU@If(6lrw-8dk7JW9emN|bz-U`%*27vZ%1dbV$LwXkh-2n=c?vwnr+rcd`D&iNi zpdL&CT=mUDa8OQvAZwV+VQw&tRYKe+=8P^D4b2E4@cKN+wCsnr3w?9kvkJw!>AWo4 zJCj%hISr|{Ak%U_Cn+jSyjDlkdV+THHZ+_q>#MhCReE!>J*y%OVv)6ly{J!;Gy+8qA@+rZvf9y`Hqr*sK&uC7RYc)Z#JDWWW$-C zy0qZ(4EGFLpBKRwb)SZ15mZ~K4en=GSCFNVn2D%jpPRcE+Q9I_3;WBHryEi`b4Ay) zFR}xuo#}DQLcYjTrmF>~A3RytdBa%Fxp=|9UO)$8L=Zs5(-f@$6QXn|s+0qpUz08D zsdOGlaQoALf4~79_GdyNK%7qgfwL8_NvS32QB9sv3F2ex6?4e0F^TU$nRSNt5$#vz z#g^|9I-rno|Lq!mGxvT;y^UDm+WLLI=-!*6p#Rn;Z~Z}H2Uw@f$s#(NIUuc)_kow; z#M7g|xjihX5lJW{L_+A&cWk>*c)0mDOOVO{g*oY5uN zz@+=YTcyqSjrK?ZrTT%rS-yvG?lu^KoOsN)6Rc_hFU1XRT3li$fZ07^qqlAF6q~O z=mrBkwXy|M?E)usL_xDOD_cOljqc4yWfdoXXH~$)3<*e3YXrJ#tq!W2x{|)}-$~B) zHT&oc75HBDoq2wqnoiGsG6NvwJLuzLt!FdMFNrMmkv{21w<{6TPR&3zN7U*3R#K^( zP*Jge^exMbFtG;@tK)`(qZ?Wo>U`>+_IV`)eA4i%!#Ia_NnPCBqO=@Dx1g9A5jE$3 zk&%w0mi3R9DpO5+zt1KAYC+zD!~17wDM4JdW{1kMRlL>wX%f;96wBnIe&x6O&WyaC zSw;r6dZVBtachlJNeG3_Rl~07(w(aKy zD^4`1#wM^k2_@3rw|qN39QzZib7VFW9|ZWCqJpLtsAwZ@q5K;*aK>9HP^NV`1_6s` zp0C5^j=96REBjQQ54@k09u?aXU_s~UfR6V`5h60p%w2Y0P>@!l(=~)HXI$QY(%2*d zptvBG&Kl>;(hAcmXj8fjG zFJ1d~@|cEvrT=j5z$oHC-yQW`LC1TcI}aS(NK#!O&^4)241u|YOA9XFLS4!&{9wE7 zaFwOjfYJ>sCFr&$|L!K0M!;-;HRoB`qX?JUrRiYygZev;tiw-a=P~O}C-PY1*KJkX zyhd6zh}>@bUFB&NxF=ecor3i$ofGdml?6EN8OiQfsF3}Ka@}kqokTh|TwBaJx}_!l zDk8cBWnB~(=+t*N&bVY$;antZCkrEqOD*F!>7Oo8La}Dl!YPKDu$X#(e{H)wVS(ML ziD$AUV=Ce>QXb%rUPC??K&k&GCs2r3N<`0pya5n6BREUc-uzfgnT{w0kAGv@0Qtw? zR2SSya1CLvKlU8rdEmNiPT$GZmB!{hRsNK9{Vv}3bHqTHk1M>z1&IMNoM*REF2ubU z^WS$(nnjzgOp64Q1UM#tVFCI(LWIOB)@cPWS?DcIiLpM#RvE8*dH>f3Wu%qV6z$alsBv20TxAcUO!@AEW@Z9D?!rpm(8(cxA5KLR$>INw+e0P~ zN6`&!dmTd;ZgIW!_Pht>&6(M^jt)AZ#@=7pQ8&$w7K~V>*!9)&J$Np85T z+;IIi+jHG}L2WZilzY3)99kxMKSFr$jGa#{2B$5x=~f8sxDCQOuFRY+CfXXC69v4O zF3_$~3~Kh3R7jEVS{|awH#dK_2t$cJv;izE7KBc8XRalGXq$!0!%=DgzWyUUe~eJF zE60qWai50Z_(mU_P-g=oKiX&K#*|1!6VW%)ONoG!eZ>DL*b7b;-ZNYj9x3-EpkZ;P zZ}0eJ^?1^H)muks-w3q^;7MU{5T!eH3x(KlB^;$bryX%$N_PeUFDkj+wwLYlz_%n` zl1~v-!e1zVPh3wFB;LcyE=|R*6XG*L_sa0dVizk1TUxAcb<@;idWp`soB6F`;( zY%#`4>rkCUL`e@87Ai8L&lgV&$8*_RS*78=`~;VOV!Dz8$w$ajXZoRo;1&IZcLEhH zNM5Dw?e;x?cE#rrp8NC7fi67CC^YFE3f^pYB}@ldqrv4@zfiug*bbdb4@PO zp3!btEdZNk0ivzGW>$&pXnRC)dk62Ik&fz3%w?AG=LEfGxSI~W<^r7QjO<|#G9RVz z7g*bWo##9!{D>8=u6JJ;2hGMf*TMzF$?f`(nOpqmn}kIa!utkBr6CSF!I7=_Fnl@C zNzH2-MOFALa$hXCLaa0(w>a@gS%3-ybp6q&K07Vyp1eObQIn_Zz)eQ{<&8eEX=B_( z`47w?H-2rLL1@QPQzu&$55#g$8E8ec8Ku@*c6dWWgxQ|D)Q%x=KFfRJBy`2GR*Qa8^A3XY1R5bgpCdEXlOraGZtU8H7%@g!A?`ST zhz=>)nRRR4cGD8O)CQ6GvNw|@l|MP%GW%vblvBzeo06y1ABDAHkfPqgGrl(vvlD)h zyK8^MZAZZ1b39GTI4j<-n2!Bu*>uTg$OgAk-{~fVtdmrKFeDyIalw@vU9pDFnx9`; zH9_Yg+1E<%^?B>NE3A_$>gB=YYS+1cONG=_@-4=1`;?;>Ab1J$P_eAopnt`UvYia9 zFol^xo%g zN{qy1knWfxz@q~f*5dHVcuf0 za={CF-tA&acE~+W_>=mPAl}n+AG7W^UQ7VV!5cF7VYuxSm&T)P1iv*wS17WYT3*f< zR=FDA$|_$tzSKXfzg@-!me zUSwHg`t8Q-GgZ)!u!k4-*+WpGpY@UE{w5gXxisscLoO78>-mQv_72XaBgmUZ?>!dsWI97h zSn>e@+-|8Lw*8s$k#xWUJ?#V~i-1p`n*BB(Tga=LGWRuq&DwLP712ykM=!2iRx4+4 z_#3?|fi7%p z`Eu+^hu3wy>(+=U*&A?kf3AzpQyiaoKcfV7fgY!ZTfS>X=4|ExY0k*_g~rmkisfMS zAc{`F!N#b68%}OQ@cs}Zhxe3u()~T^Wzw#bX>RK7jZgG&m7Idr2b-^A+^i&8%#Ju4 zH6AClsX8$w#XOi$%NfA=nHa*z9XoJqzIcncLzinh z%Ue47sn?_neiltnahu0p4VzKFHf3B1lbqad(Kg|K-;3C*~gO#ju}&4(_qI|oMdZ;^T&3)WO+j8xOOvx;-ths!~YBS$HQT4G~> z*=$ukV?29OtI^$hB7Fbu8kuWIVeTpI7l|5w&-Unk2AA^T@=GQmfTy#ZhQd2g3Sv{M zqRLfU#@tdlWKxAq?<3Jc2WlwLTx+Kfp;GHFphr3pCk298a-NFc$&ks8{h%fntqN(U zRj-^%Cpr^NF}y=kBdP-na(T8P!t^x!J%#$Q5Is(l%{%+OvjMYReg6((Si_`m88CEz z3U~X;l|0+f!Ed@;Re*w%(FpK;yAMpeO!ic84V8oUnwk$m{*657G(XJThe{SKFJ+q|iKn`8L(6 zmMb41r@;||@MsS{*j~Vo#Ba;;Mu9dzza_)eEyZ1xaScBr2Li11Q;pz&)dr6S?~2OC zQ&MOm`yI7L)@U)leYs6{zS`xczcGqJL?|{0OIrJ3&h|lvDP||*t1N|~jNbAIK!{t7 z>zr40A_u0Ie1B6&_zBC*72tw@A)!<$Ey4gmfz)pl{_6R`N%f?$gsV>LleRIMoQc)XDSF?&Js&|AU3mZ7BMjJGy2~9OE|*i(-TAf1 zgsqz0Csyf#%9i%wR8++m{U%%;Feks?xvZl!A*AAlMFx$bDiAln{m=q`C%Jc1k&}?8 z9N$QgpqCbRM=RGWveJg@Az*iL>&$2xAZC{XMH)H}H8^l}&Z@o^%NAvMNG1&H@vubx zA+2A{rIpV1(z0TsDRRpa*x)M-)ES!E3g(5^{o-{=m60K$cQsaXV%EntGI@8|$D@G~Ug0LWed%KZDd?PBn!4IJc0*67LT4n0-QatqWO*oK8(t4b)*tbGh z7W?L#60Lc4HJ|+(v%U~`PY=blKPWQVVx4Ex?(b|RX$scH_dOc9d;`fv7Vvsi{c5&^ zBgP!Ek)1UU2aipyS_gGAn!QcFWS#W^u3kQBTu?j8 z-rSRrx_9kmwVyy9tBH^uS*Yr(#t*cq_tKVc4w?+03nVq#--ngJfZ^zq96plYcvqB0 z>Jvxr+Jgh-ik<##yNuz|vy;BMWUp6;vTT^PKg4(aRJ!d8ymu^J5H7-dC0+DEWVg;~ zU37>x->ovgEE2?j=D<5c;0j@TAXh+cLf@G_ITt)>(CISgFOcYCZeCOz`|nr;K(iNs ze3&IM2bK_E%!En)m0VyQ6l~Ii>`1{=lHr_C3RySD^KyZUh6U zi{ze~97w@$i5w3J=3ui6f_!7DPB8hmrC|inz{c2#QMasr#0gfj!O)vF5iAR_9*{iY z+fW-9(Z`@1EejRWrminvv`1&J0|{VRLqNwkQ&W_sM*qa8Zkj?%uXxEX=r~;Z9p1N`#!N3>=}Stj19<4PL^gwBx3U!ym#UUI`JsduPXNU2YUJE-daqjZf){@CDWQSsY&#YohIq4s5gR-HjIsPed5w;OIq< zErY*_e_$v@B5@lZjT-b;gS7TJ@8nh%o@v{1V3f|Sou*vXyomI8*WaHzUQjC$$Wmdt z(s3>!h2njn&Cb$(Zkx3<5eZPtypYSmQ3}9Cszw#O?+5x;@AsPa>#z~TXzufWYUdDJ z--ld-z-z?4Et<+~YWVb2^&icB>vYW(PE8>nfsAMz_turHaolw#xMgPf>e>3S+nRi+ zrpGupY<_(hDKA}$=ik`IFdviz*d-Dmr%`wbk3r;M55&l;*8C9eZ7uagT>9P`cUJ>t zR)c_KjguX2fyC9tcqb@WS}!zzV)M?R3Xt0x&DCXiY$r`8-7pKV6c1lxCz;34Rf%(- zvyq-@4C(xGi0NBmOCFUE{{%nleQY|CLFXk}1+dmsmZYwii0fQ+Q!K=2 zW*Lty4m?o6Z}i3zZxNb*m2HE>C49Elvf>Qu;Si!7i?H37!fPLg*p7OIw2^6l@wDn6h9P*pUcmQ z%_15J@mYbUv#MO&+|wa&q{%Pvc!=8%G;Ba%q?_j#F@?Xi1vz%ykY2h#%9dmtMdlz` zY@=ARg?jDlbuuA;NJCe4eR@6})J{)6^x|kN^5-i@J)i9AhR$uX^FlyU6G?h*iX$D) zu8TcP)jNK2iC~E?6}#dzvl161rNFZshrbQ?In0O=Qgr_;$xzX!%=8jh-@0Z>5eXT| z9M^`AYRKuz*_aB#TDem0FF5+`2Hm8ROs**3x+#{3$RC4$b_W~ex5dGf%VS^qACbPLaMC~_ z=plxJZbjCIq+EmiF#jAq6Utm1#XFur^$|?9xIpua6o}e@ejUXBxt>)DtjcOeh`9TIJt^3>^;;f{_oahLLE-{CYLIgE6v=lzuoPCR_qffEePr`16(@BYqV+7RX zcrAAN$RoJ!$XFNmoFV3TU^(td_Z=QPhc;Q+f9o1C!acl&f3XtP4_M^!UKv&bZx|=P zI0#2c?}DU|!DH*t^mhqE(stzmGdqeXN*sFsUjo5VeATz zd*LvAuauaJh#4%^yptSG-ZWXLcsR9XCr=#G8x+wkkx# zf3XlI*@wxa8F+bPM392Dao-^$MOAa=-a*$TXcQ zRdM&K|NKadv*Zgm9eQu}!GB-@@x4M8WdY?zgSL#621T-UUnbgZz0;FgGhEAl z-3yunVkI>iN^=zQ$EO_GJZnSPNgfy#D^jG_n2D$Gj&+Ii?Xl&d2~cFf^OiiGxEi?x zO+y3QX&YL(wMte{&S{y?ZCYjae+_Wq5QtAHr5r2MhxQF=_r$+ebXI#j%`IVN5qCPl z1Edo4maNRrfYS=g{!&a=mr3!XoLTNIx&xiSRKkn~tImVQJUR9*oU=*`cehqR+EnnGGoUmigT0h8wm}oS7-L?yecYAf2U6dN__*M zLlU>kS^A*XGhj(#K6DQ2eP7rywQJ^v%|IS{%O+s6L`43jsfSfSW4B3%Q5e>NflpsT z$RY}2+6hLOIE}hYf#u+9aO3LN%}twYUzeL$5BT&^ATS}U2L<%~--P^^j<|brX+_*h z!Y+gqe7DlTgyWR>_zddCf6K<7n_jD;6|Jkr?01*=p1q5VBBX5VNV)9a4>=a7lA=BLbNo| z6Ssp7xN#S5`$o~dob5)+`RicSFVgS9;~fYUspD~a;*+ngiJLy|e^8G2wM>B^_$>oL zm#rDGloH%E1^Q&XkzqngInyizFCFnnEE^OANx z`zNaIGgI^G+nyI3Mr>8%;@8-S{aRP)nf?gQpQ{qPaKXl-7tQv{8$#C zNeI)FAweyuzgib_e*Ze!<4DMT^>ucK^6=-Yz(} z6hKYZD7|J@<6H38xLcpW%wcOuqjZtA2iZ;0GdUBG9H^4Eh}|m*AUlLxADKyv<~L*f zSnrnr(m}10VbwESS#rkfc8trh1y~`QriKh80T!xDBBjsK`)=*#*7u5uw2m#5jmdT~ zwEDjs#6yMK!RthoRHRiBZf zWM~snw%cTQ0Q+x*k9fIpAy*VbH*ZpQwQLF)p~+UV4!zZEg!@WA+vWXcVDTevBYnaW zrW$P7e<5Drxe+SFYO5equ+I9~95U|7w+PcVxSer1#f1efnJ$#TB{D;|>W;lSHTVR< z6coL#+eMSp=7X~()BA7*+=Vp_3cX6h@b=tETlyvad4s~_Vg@=GWtlEK`d| z2V=RbnNqeW$kyg$-@s2VSiy@PWs6f0YqfNVU@SthrBQ6gPkRB~I6SUKeps|5>@pdC zfAT0GP!k)2Z_AcUYBS-d?f7cO$N z&Yr@bpXlhqeG>!**Y!-^gF0@yaa!u~A()d(k!=XB8O@7SW9=3Y?xR#Rpl5R$e}$w4 zTY@^nakIe?Do^klkGmrDA*2I{r&Cw@=G2D6j~bD}5j&KZREU#>x0qeoqg3z+*vu4B z&mQ(ZkX-UAny1^y50B~X=%h~uZGkB_Tj?lCoXM1yM&wsG`q*k<^)QIJZby~cf;dZX z1>m=_NcGBO0r8^fOg8Lj*Ga70f4Db5s{{U5>I3R8_TAc$BE&I90p`RrQ3L zWJYwe_)rt3)#sNGgN z*fDAc_&Ozu7o!@xy)5BA!;$feeV+Owe>0W?)`FcDB>oo) zQaOM+V07I%^-P-qWO#uRl{uLWKvOm4hkWaJTe9p!xJuHob~$I{T7!qr=xMQoe<%AZ zf^X!;wuw}bNz*1jZJrbk%DdL+AVo_)RYezy>YR?-!Xq;f5#h`}uBP>q zYTv;O_geLGwbPLh5-Q$CHdJTpufRIR8XX-BO&}CdI)-O*e->l!oWEr!EE%dx97$z>&yIJi%jSTy@)7S_uku_17q=z@i0g;(2ke@T;Gx@qt(;q}W$kMxI4 zI~@DPis{}ZqytiPu-{fA*;9XMfA&$DvVJ%B*btl+`fh0AlX2 zPz$R%gA^%%aKTx`Xg+vFSj< zSQXVQZyYA+w(6&20}aolGGP1Z|8y&&4V6Ph(t`V=oUYk&5537Kr*p_VT0NN$J<}C=nHB8Qs!O&_ZF0#8T_7YfbVIbN{p5N zg(luzf3s0g)3+87zajPK^=VAPVP5K^N9Kx;#TBa}58y}C_jDdmHN8o6PDx&kc8c?g z&-fhR^mdJA<$UAYB*9>N+4yi6fiB2SOiE|>X< z`3Cz9_~aZ%eZ&wHioy9~$PIutlVVzykPzk9f8x>B5)pxRMZZ?mR<}X8ka- zUy%Z`wIp72^Yf-OmxXX2$x%!8dBx)Z9@0b?&p}V@gT5iC6Uo6fI?Eto?(3{7L(9+u zf9kUG%@>8Yw91uVboow&L#n(<|9UX-VlU{8b(nM6uUldR76Y z^K{y%n6dipK8@Nb&`l(dJ8pY-VyPMZBq92>ZP7>LYK5zAH`%Q<0Pt$lzDzyq5q1?d z!zUBmnt-0L9irK&gMNc>6?e}b_87S4f8MVfd_)c@+zxJ(&sz(V6)D5-uWh!<8hmH^ zgZ1X>4o1P_WaIDEhblhqax*%VfR9&x0XF!j*q|(6cRhReiEEE$U|z%xk*!8>_(5~m zNrX?T-R6Di4BDV_Rji;$w5qyZ1oESx)kp;^?#-1bhNpHD1fEc>FNms!-_`Alf7oo< zEK_`zc*y!d?Lrq(US5m$hdB&(_@Wg=kp7P}0&H*qxx}H`hK71v)=($g=4LrLgv81v z8UZ`$G=h0^+_6RhKWBzw^oJ0Xs#ddFOc8hoRmm^qn5G=#A^Sej)LyeQ73M{AEbmOL z1&#%gGZEzvpoDEEah?1oYTn2cf91mI=tN<~rp&k4*Kcf8+H7@C{@Hl^nmsHm8tQ&o zg9=4pXFn!mI0VMsP?j1{R>n{mgbC#@L|UPZLJgr%y|}|`Qt?-727QPPOpG%})C77k zUx4e0D{%1f7{qP+Be4i5l~yc?TK#ynOi{aUyKmxJU9idV_OlF~f$}fNf7Oi$={7B@ z)V+c{;2#~yYB~zAH{APZ7#f$(4pm-@UbgPbi2aFlLeyu;AHr)UuF;A60q5+rm1$bJ zn29p})Pa|X(Dl^2b5`rGiArnVN`Rz-kD*U$&g4UlTUtv!uj{Jk7eg-00BBB{t=?|4 zTn?bAmBkGU%b;BjJuK4pe}a5!qS2JPrs8Blr$kn9YmNUMrt)IdTnJ+$lGU2}se5uZ zfynwRL+&B0AU(++>k7ZhrkwOM`thqf9*EleyJ_w!jWHw$P3!5(GGjfscW#n@g?5#P zs>X?P1Ca?~IyJ54vV!1>P!TO1poOY85|FUcnFU!7avMhUePu9=e>z-p*~Lgfw`}8o zJ0821xY417EJ&``^fAeipdE)huef zUn1ny>}l7714=^If59D;2KaR19T)b${Yi6A^}UX44)QgCv*ql5Lz@wJQV4y%BFKaT zWCZIfCVC5k^Nk9B>@)TzLPdv&sFFT?e+d9 zVkvOFYM$aER_g;WmXBn={G8dbJgD~8%01ps<7M4OGM*f*e}Ytig6-q_i$UJDS3%f1 z_MF+WT=!bh6=NU7UBn(MJHW23^TU*#Ky{KVCH2`|I0;{C?=0ebY(q9yOUH68W)dM~ zmUC$tvdcDMp?z7!)Z6hrB6d+?ghsw>XkSR*x3Z7Z1hX)N)w8rj{(WRreD)7CFfXK! zq*1Ui)8Y9Se`OS0L1fL1`~>@RVv?xO`&8c&Gu$uT>B(_d=coD>C`L64#J3gYIdz0z z9NEkr%UBu^=UHWq%{b5Jdk{l~Tp}b(JT|s7b)TA=VTQp_hVMEEm4QSlZar|bDWY0- zN(UjVg`AEWwk(aAsB5TRmjBl*=>g~c-MN}rd(b}`fA1I26g)DE#6Yq7K$G-=W8kk< z;CY0!+b5@dO!0UU42+nz5hvrK_1!#T?xT-!0lItCIz{=Juo-BfuP~ig?-yx&p%!G6 zBrF}e1xg>yVO5bc7J#G{oP$L}W?+2QLtO-yFZV65%O~V#X$})SLCaR4BcaC z4nxTze-8)TwpUI20-c3QWRg?kzAORvpRkzBbSh0~aH_83Eh(LJJbrrsI-JyOxhAty zWg1=pd{j*2sfY`5WWa!fuLW4|q;c|cf(^3HpVR>oZ(I`f@Xa*&K-#BQph7nR@fRjl zL*{pi4F)y5f|x+{S%=g%B-=%N9WwaN;WXf?e-#Hs<6YeN5?R?53C@?0jP>g#cp*MHo@@kqoL452;S|)xi zJF!+%-jWmPRMT{Ag$gcmNAp+>qJE&Nf6&iTR!tTY~^#jeZYvVIKNx&+59eUU=e6mk_E)2gTA}{sfXt75W??`|If1mBq z$U7!M;6tWf&w_z*l-u^sv1mAiwb1m5!c3?(PF=uq4TG}`Y6#Wr?0;K9d9gRTVf^W% z8d6k~v^JS0h+sGDbPGu0&9?0awrQDCkSW;tW^h!>EisyyVbDMMx!3bWpj|Z3T@-}H z_(!ilgx+}*G}N%?Bkti|fJ~;#e=`g51X*c!0l(x00TD+*FB5z-K+@Cs2-n3u{uByS zkDso}a=6|YK}pZe-9&UC-X(PZKi{PJd6jOjt!UlvK&I?FMS_nLLhMr#)>?gT9t=LLKuRa;`UbmlY0Y*{{V(&@Lz3d|jf94Bc*UAE; zx>i#Myx>d)0y+)vRSM+Py4QLzFJGUbm1QI1i@f4?6Y5Q z%_>ln(T-CzO_}bkbZz~0OpnNbYZU)RiFBw#6El>HFNUbZ?SPXvEjp5jht<5#(NK0K(R7clE3iTv&_ zT~{y}FNFhtrfo&viS%MScd|;Ls;=iXMnJm$pn7VbKmI=H4OaDB8W_88?d4v>DQ`t7 zI5>zJ{?kX$^9YhAf7Vc^nl+r@c=I78Vd?vA5wF$=rZ%fE*f;r22gQXISO9C&OFpPi zKw`0ARC+bJ){O~2Uv_4-)OYbumfbI6R3&l$xku(J|MJp-E~D8bb_~h-jXKs;_ugyk zZeeK*j|gHrJ(&YyCbgAyAQjwUdtE4=@XNrdVmDD}J?E$lIfNQiozjtyoo!edA z?~_9p+i+JOe{Of4=DOKW*s;B_EZbSJ zM^BXERDq123N-^wF{qUhZ1|R7`x!1VzG}ytA3RZfa5>i6A=Aw-=O|#nxU_hdSSje7 zhqPGYe>>(PrPnf#203+_I!`VWbm!r##+o_%AVKqGPZFQEvw2LHmJ+5BO%0o0icvBT z2^^?4MyA7RdF}lar%KN*Y?|RKLO!#Gd8E4zR?xVYfIFcCxK95WqPTu3A}P=4{8jTf zWRAf=dy?L!jfY>&C4X(aZHp5B=S!V+Y0h1bf8sjO<$h8?j)Ko-0!BMV3ED`8#`WV{ zxsDd|vl}zOtm17#B+=5vVPfKQWsD%yd1LzY75l_%Wtq5K(zOeE0T-z!Yex+LNP{%ZUfAhv_Rz>li6n0ps)wf3+MZTJ+|J(ntbtZaRho8lp*F ze`pawi_LzHLN;`(016{h-{`V1BnPZm!ZZ#i%}Ep%m0T;e5s&b{VPa;uM3&r{v|yW< zd4;k7z+m69Yt?!bu+`d;r7@=fa~#wOg7wSOSYTB){_kVkIq^9ca4Srn!e!bL12O= zgkcQvQ2CXY$S&X~_EtIL*Mw|We|@HGMYAukW7UP}UKz(JrVR$; zM`xJdcugGz%|R{)+uv>qZ)5`Jm6Q(VT#l;Phn2QvJy)e(%Tj(S>R5P(f0Ri-v#&*t z`ar6=<4Z*;s4zjWhs#J=#$ymLk|a${9^r;MhN>HPaPFqsTCxmD2tmb{d$Lx=UcCyZXjZ3XWf2V?eN(fn)j&Tm>1Br!bSfMj z8i8mpm=}23SZsbgNPtupf0~NiX+O3m)@-xbRIxuEmJ9J= zi+BXISenGW2%f-ZZV0!`FeAtg7U5pK_WkRI0fchLrkm81Xgwx@e~I&v{=7fcDZ zwo`1<9Wj4o0V+tG_|)+3SAOETqd4ws(N1edZvrSGE!AtSe;R-DM%?*5S4ABi5p@x7 zw~$zO(6p}NK&YmD!lz~9&59@ReReN|rcMsuO%g5GUR-@Er{vk&8L{Zf8VTUZT_ITf zClorZl8Jd$#7OAvZS$MC5I*5}QYY3DAVyW63IGDv&zbUGmHxvxdbkLMm>ch&9Yj=v ziM;|s!hZU$f1#+!0nCgx3@rgwwvOT;_T`fS}eJb@-*R1>JWE*JUXQ z$2%1Ne_uj>sNRa@I(VV(?QC3pfzV#w(s)@Dxa~L98m6m)$Un`CX_}E8iwXoUzTSSu z2hcy4KzQKB%1ZbGQgWu_wD%=fAoB*5D5dZCEIBA|CD zh|I*1c0Z!d$Ds~_tUo@|TyiLVQaUcfw^@~Hdq;j)P>ncB@OaeVP^o>IYCHZGg^OF& ze|k*7PCevvu71QE+tLeET(k#Hqf0}%cWvghU0FQ*i2d_?9UZ{!nvZU1XaAE0rRp<5 zD2=OHEmmvF_f&Vx24D03GX;pJ#O3*X0k7dUp(FIL1$R?f^Jl>`@uf31_S+4~_Mqe# zj1m0)vlfCR=ZbMxE@D1)!ib{$;Z7`-f8mhx5KUWZzwtF>G6G*KJ1B)d#K(`l0<|rD z&}B(xB@nlwrAwasTt3ueLZUejZTA|3Ur!_jI$Vm5M?W zR>#0Ag`x6;sqL+SGfvhwZtphJ=30qC=)e*ERVUk6{u&RVqq;?r2?6I3;SQzce>el` zo)9|Jybqm#!liaUwPLbV#CQmin+u3*s?%s#dv@tdz55t1>Cp=lMfQS`Q=6lftu%eA zEFbtCl2gFTAQ@AW?mBDvfHBMcn;=m#oVrRUvZNW2X8AxmJp*lqyUh!b3Ix9deR^5d zp0|c+c5wyn!^ZnoA~Vf6eis-cKA6`9AtvlmXL@6P%Ra8T8Dp3uj}5->yHD zf$6abgFXR(JM!w|_mjAF(+LS|g-;O^y5&2vDY`F@A@HOYUONrc`a7jhEYH8~SR~); zdRt&)4SsYaSKVn$6t=A3rq3`;+%lz%nKfBBdI;fX^ESsobVSbc{>rWbf9j%4<|2rg z;GpdK<5eriz6|)&_PWNLA!pHsF!CcD0bq*kPd}l17_VGQOPkn6~UdFn_T!7a@9OKnAxE#k1 zv+AcaIJ(Jg>0HLZ0G`MCP$Dyo31?*Tqj_;tESlK~|4jyHFPQIfj_v}zBDTyv1h-vP zL~dI%d5FE7^hE4Omz-Y^();PGLoyh+__6qdxH?VG<(GzA>Ar1Et-&C~)|b&27#M#& zt3r$58c9f<%OGj7HOmB9HjZSmf29FjW*-+$gdg0I_jVR#%eXrX^RK)!1Jh)^7>1D; z6IuTh-j-Doz%DcD)PaW88CAD3qh@{Ju5i*a*xRBQ{J;_-umDaix3z%%{N2iy%N3(b zA~)0@*-Owabcn9cC9X*XSp7V#`v8B;0MGPsm-Iyy93$YlFm)6SnFtx9pmQ*;fJ~pY zJzH^P7Z2PiR{chw9TzVMpr@GuK#u`vG03*^CoVFKLZs8i+$(iCz}A%yqysRowwQ*c z2z$O5BKmG0C@iq^&^-m&#v$e>&tbf`zejEb8fy(eyL@)eNCm)qrp#Fboeh6YEOTad zyZ%u2C(xzFenMv!Wu)#6KO*o%;fT~It1#Dtd!t|?K7C|5=LoeixqbD=1}61-j2^ND zA0?OJN1FVM!wl_F`z~dAdjvuhtg#qDUdtjW)vq0zIMW>`M%EHAc^wPCP8BH9dB8aj z6`K0)gv>Jys8$S>mMrE6LQ{WXuYOSSa}PJl0JoaJdSJ}ZYO^Y+BtM{^;t9xB(o@~6 z7OOv7*^^k1;efOt9M2=q`(1A%!&H*r@A|*yJ(P4z!I~PmIzs5ve7djHRkT}8z1EW6 z{P4R8IL3?hTI^AV=SgeDUry@8P^! zk^PY5HL^5-btSqZyqUuI5(Me~LTRsQ&C;pP0SFvu^G0eDc$r;4BCRNEK6h`6A9+0D znqGIPcvFO4+yi(ZNG9$B%Gi|5Pa3PNjdx9AWYijU(p*G*A?klR)M=&^kX7*y!3leq zM@KhzMDMG63EdEZ<4%LNQ^Xq_&66m}bguHaY-V` z52CYyUzk-g_ceb&q4WZYh6&d@I3mXRVunr~6Q)>?tIwC6)$hUGrq8U2JL`a8 zG1CmbmCe6q=F&ym7)iCtLA%4lq#Q2_N!}vq_X=C6%#(j}*=NnP_!^nv%;U4q8(ED> zwTQ@laUq5)2B~agkY8dv1}Uym^a-W6e8VY7W-*)hM%%CJ3stbr{(DfCRtEW%q8$UK zz=khOGhs`oqT1={qBOZofnB{m+z{XUybQd*emVja9;HI3kGVU@HX5R?!=VEI;abGB;>o5$!|qIz6U#mH-u?Py*V)a2wsZ`C?@*;9{kZGxp@F;lkjY$WOO{}EnDYjjAP>{O5XrPH?YRaJ zgthW@+u#0l&xDbV8BL^)?HW_k#F?!|}yPrHv4?5{kUEnbcD`J$J(IPSDz#PiOl?lt=~U zbn*($R|YUqb8Z@9LhYPVDo>*$Z|PclPm;qN=whARCfYG)IozmZ8J(6UYvulDZ!}NV zF^!J+LCTJ{3i+I`VV^m5*@wPefMd*5*~EX{JB^~orHk*XAz2yJCH$7uJOL}eG9A!I zlIxaayh5A;odD?hNuu9|Jx3BwI7ri{vG&>R?iw+3L?>MVmCi-Ftwx%=`3nL#(I9(TlV@ay%dU40i?fgf^=b?dsoa%qN zkAXen*#btqn>2gCL_#;tj-57b%vl})_d}bme`UYtr*|u_QkFKd(wLcd)Z%fLG0@#` zh_cNnrHo(mq#ZD>4cjD0utCfzrWoeQFtyEj+{2XqT+l$o4t#|XmTeLoclZB4VJ}*u z{rt z3VM&B3=*Snm&*&^RCi=LFzk{1vmn|GR}Gv^^+t!+++QjrdFz<0A47dKn|Xhn;Q4E8 zCK9{|8kNEw=G>m2Q&0u%0CLp=zef1#IB5DEcyL;`p_jaY&7~zaSDukr>pq1N$@{F( zr>%YZlnpJa>j1&I26^Q|eV0xv68e(&n+YVk?qP_ZrR2B1zFBkbxQ|kuQ5{qvbo*oK z>s_h+RHYq)=jj;yqceuR0B3(UYLil~y*B|}j&_cACP@BMj5)0ARXa@M9h0TZlSqfV zE+9XqV|9C%HL?0_lGj@>Ja~$%<3ohAo%btcq#faa!&PT@G}d`i8Oa%w+40cV>AM zf`Amc9>duD{M?!dUyy$@f*=rVnb9?zC{MqBT3Z8cIbf%IWM34S*oAbb$KG{o2hm>a z)5^eCAZ)O$aMyr~?B$=-bCdb^CXMU}Ykz2L8seGbNn47czdB%jkf3V=`RUh7h$to1 zLiY?${*cQl=ba63q5^!=WbWP&^w463+apewH8499mIxZb)-`|pts06n`~prqWm-vF zU1!rL+i`6&x-qd+MmErP*b^5jSo@MI-UI!EHLDC8NNf6!d@e=tqr=GRl&Ex@|6CnX z4;7I;g;Rd;RzWhjgf(Egp!W$rCVKx2seIka5lh6L2$cz&nn{mMK&{BZI-?g+fugdX z%i)HH;l=b4O`d-RW0s;QMr92K+u`H8!?!iyZv=|J|d+=e$t%4sp1S4zgp{6 zi>A+-Rt2gd`2R25#p<=k%4BxpRpFPuvSfe zpeJvJUBQ3*Z7sXeS8(6KwFhvup&E@65X(g}uC#t_Z;r-y4Eqb5W|m}jM7Y*1fqG&S zt=8y@B>1jkJU{H9pE?h`t^KeUaJq<;5lR zIFZGEpVEZu(sA(QBA<(j1@%J<>Y3XX=>V{4Q?`Gn^SO@kVoaPY!pEMT36Ip-|9h9PqRE!vDt>JP8G>#jj?Kyb+6kR!3BAVh&Qk!ES+#v*} z$r^vXvcAFsk&NkgTCjc1GjZulU5S6x5-8k@#0ongIu}VvmZ}EQ%m|jHc9FoK%hRl}nB^I+1`l-y?Jv(E|s*F1Q7kPXcps7qDu% z%Vjjnx>R9P+&tSr@Pdrv{LF`PCdEXTAQ%ipyX4VZ9` zIek$;)*P6#hPL|#$gKR4zftO)N(hnOgryM(`imZ|%6%Y=HTLyl2TY)P*BJ2w8t;F2 zy18blS#9+kPBY&`5Lm18!)`Z3u*mdkYn~?m)A^B)xAJu zsuI{Dc-n|=M&NYa8ZqqH)v$igo~3^xTr^t6nE-YJbyd#+oD8-6eK#(Otvx|jt=)Bx zwKTet;ryD|A&RJv0IYQ3n3#m+_xG9%Lao1)+toI2n`5cgN559Mg+Om)0mc4)yir+Pg0y2&ZsT7ld zOCdr256psYvEBWsLMG44jE&%#CJYgDM%U|RGP`^JdWQcSNbU2*N6p)xI7s% zRR35Fo$WL`o{r%=ZjG9K4Oe-d_f`zFva*>yF)@7f&2`*VNt^*etF@2rGMeAy-u8Ur z$sF0ptJpdAM<8_*$x+RX&1t?D_F`kWTeNihmBH7j+zD-tD>wovTid2|;D4CAj4U?} z!dRpOs9`rARDPq~XbMtmPxX_$%(?zAt`5~!@kkDFn$Z7P9rL$bO>i_+wqYE) zZi7FIl@8G4F3REfFeihDpV*e7zCp}E!|<~|Q~^uVx>!LX%2UcTLiD>hR;doo+_r$; zmzp37&vFa{kJgp zu$5@Z5Dev{m2M%I*XVx8+*mT)yH=6>ZEi)5ijg>WUnWE1lRX{9EgPyCu~b{y9BT{_ zD#CrTAxi~z>$hw0$!OasH^ib$y>+B|3&kaP?2bTGOzp?w+i;==rbG}(+jb(&+t$Cm z0PaYKpkClZ%jti}@FVBCk^0gv9cg^KuhV0Ao&lkZp7RT&vL&Mt^Nyu4Z%8TY{uXj* zcJ=qqaA9fQVZ1EmaM>%&IUE^(^eXZ-Z~!YJ<7fA{-WpYb(PH0o@h@L0+XO$JpKe%` zZk=h!4CJ`Lc9He-sHIktURf~XY>ewfbIJAJx(*9=K>vTL9frp#aRgn~aY)Ln6OwZC z14TC;&Xk@pz}zuuRN0Bcp%4_rQ$ZaN`@LjXIjL!X-;(2(+|v=0OP15_q&)WVR1bLk zix6?qgAFN4UWLn6s3(Brl%H`ck%Nn2gPFLO9O&= z|6VH7(G`EZ4rg4z&Q&fMo4S!Zb-pFi8*S+Sm!Kx%E&*H~(#RmAG5^T=+&c!-P5!gm z`_pN8+@j^?yJjZFb+KY_hs{Ofkw|9S^5W%G3Gmqco!R9Ao6;Kb)%V2SbK;v6?Zh*S$A&8uOW0tX2pWsh>4lGH*19QZ2Jydl=hz z@+5yO3>vh^CW< z9YJbwF}7{lpjg)5_>LFN-o%~7lMGzxZcvPJ9XFf|9E8YaIQt@((TuN|&u!!*9<>0f zY(dnb?SBC+R=+?)lfk1}Tx1}NBK@Bv(O-YVk;@kD9O0hDb+yBM|7oOWrtI7US!2lq zm*2qEM!x_X1VSrdG*F66Oo>cJ)N+b&juFCc)Nja!SB1>^4>o^?lL7uidl@2_Ut)Cn zC)s#bKH|^+qYba04|Vokfw8U~5dWf$fMPNs>BvH!@UaJ&-x}c5w9^&Zm=D};1(kpF z@ka%NC?@zoIyZS3$+;P<7+&SBZ9^ia$N3tpzT3qFJ$Nb)7xDZ0s-fXX z5cz25E={)858*jdWBaZ~OhIn*axAAOJbo9!3!ZPBF-#@F>~I%z<<5dX?OX%f58Ul* ze1d;_+CTH!uY@T|09Wow4eMUnrbmAO)q36^EJO?t4!i;|KkZD!$RXlft`D!CWT*K}^(+cEH@P(Oe@>8|AxeKjVZ8dy zQuVqUF0#fhI5lg2QMkDmAI*cTU3-ycipmz*)qqOqTZf95Ed8DT!zzR?tvX zYvF*lV+5HgXh7j~;m>4a)Kh=@Ezd^bV-X3)=xgLW2+H_;?E6riT0>o59e};Y4l0$U z(d)1$Tbnzh{b3G8u7Bk$JEN-y18i=s0%CuirN{RfK=BQ-AJpRI3Z=Q?1OiP-kN}Vw z4(I8|EfrQhVCq&2U*EGamu2u>Dg51PVTY3)4cI!_lE~GM_`YgW=%#<=z(_>(CUdU> z-<8YjvyOSEPXia`(~2X?_rIpUB2nLxg;krkRE<=~tXko8zJe`O=wWSw?5TLfIvUD< zJ*3X7m*J=5`v<4k=hi13S<^{u=FaPbaNzE)y;cQ5CiDo@KuHK9a0k6rsjATI0^2v! zSTp;`gY^B4s~sRKak_u1kInF2NG^R17({U?yyKs%vW1w{wk@S6O;9~LsI^n7xPWuF zNRatrunGfbknl*rc!nBFlhZD3O!JG1bQSJ{&AnBXg>Q)>92^|4>QhCiC(FSzC9o8yZN()u7I63^B82QLtAY_)j<9}a%oBgU9Lb+dQw$1>5W(cX z*}>%T^(bO1Mj@>oeI53+TQR?gV>Y}&ikqH3GKHFZdwq7CA;VTUmD?-mQf5VPJ3 zx|tAb4xu^$4ToB!w~R&$eAmGlz&$ts&a;MR3wF$DU^F~zv z$ZbySQ#KvN%)i>whUnz`02x5$zhr>_IV~7N)S8JW%g7Utp&h&r3@3zCFh;&&#W-)# zf%E>o^s5>{>Q&~?){N&x<^E^P#VZ_y6?*1&R?N5y8;B>ay8zGm5~fc4%;q|m!j9JX z^C)tdTep9PCv+D{>Sx$t4`Hp&FBunJAalj@k*428&{`>Qjjr^fo#Vwvdc^YH{HNxi zp0VNQWkYd8L7tx&7VW^jimVK9ANNw02O@Iq5wcalBXfZiCgxuhpRYeOR1Z$afAb_C zmq9}WouY22dio*3^~?cArI_INNiEt%KVXLqMvZ^YbsUBMGIZ-2a7~_68@`y+B0Yen z)Him{r`R>T4@6YE}%b~nV=>^zJYUUO~3`?MJu|xYTY2a)aGTx3KAB$Q|9A6qDdLe;p@3?jLAJd<16en)&3PEC#u zcwPJER_<|_ijb-Ar(hd-tT-M08uaSm z4RMM~uU|AK%mRS^l-i5>@3eQPbEdLe zIBCI+pZxp{zuyQ=K03^&ZsLEbL4kkBH;%+VzfUr=?D9YD;)75iM>kKg;P$n1;GFku zeBwW!km+rs9E^UN_}x)pqF5CGRY0o0K4gsZzKb$G$A!&z%{<#t4d6|xAHWkQ+*_Jo z(SVG>A2%g;Fw{0*kO-}C7gg6Ioh<#*r4$#t!n^|~__xS&1%;rm;2-ME!=Tfe1h$HQ zVc;4;z^DxIL8X{?E1K~4q_YYo1tzA>YHnbDU5K+~#p9VZnf7@_Fx@{3N#ZH*iotd& z(@k9oDlTyHLVP#+|6Q`MkTLSS))t*;F+cwOnmE(0j|m0$XYuj?A&@qkwCk@Af;3Ap za8SU4iDfP^WSxfUh#=`wKIH|^RBB9r^miOs%M_|#THMOGZn5~rn@YORwhCik|J0hr znrLZJ{hFu(PNw!EH4E2y`?3F7->u9;Buddrz<9nkbR>5A2X`ZflIQ_E#BR_eUZfC| zIUV0@D{iQu8^X|UkaQv}&>rnOj>2A0mkyS9;gJ!@GMepwTry^cf<+6!SD+(*kcRG0 zw9EV{TQ!)SkQ)#TU}_-eb^*Nw!RVM)Id%BKsh;v~e+nEA|6y5@>r2H4;HPoPzi^FE z8(<4A2MD|Lj1{Pq_<}FW2J114- zRuiP<(kxxWl?l7MIU&=-87J_6224Ba4KV8dt#b4sU%vV$lPX;%q>jbHO|p34{|MQn zKYm~eGUk-{BEVAQ0o;1p`(K2XnJ|6zGuC<2)zX-FJJ%#eKpuW%Za-Eb=0c!vefh8( zVTjG``#=Y=BTg7egaJm?9PL29eHrQpk@66JNV%P~(t6MLc)6R<&`@Zj1PT>}7mX>PN5C-ezeda3bwAzJpqukmACVq4+C2pyc5NYX760 zde%X(YXyaJmwP$rynaBY-kTrJrpFs1nFJi?A~<>!CIpGbK&^$5Sx`j}wR-V+c z;M0Q&{h0rpv^87LFCw-@rd(q}P$n_pgHiuEumwAJtZraTk3 z38z==q;dse(^f>{D;YwSGSQz!)miG3`YKuut1_;05wZcsCDSn~&subR1~RM?j8A3}^!8Qw3`H6sBn{5y0NM#*v>O- zvS(#dyVepDvK(9(_Lozw?~imU5HI#8TP;8N1QQKP{jvsSAfbu+{-ANPy`7x+@ zd~Fuq;yGmHQ1hC}$0N>WDTi!}`PaT12ZKx=ic~8ER9#bCqQTJM$1Ft)5dPU1y`z~# zspcl=z@QQxC%B6oWBL-(L=Y0%AbM0-*4id~?B$w^tIBg4_rzL3dDc z>valU5II7D_OZs1_cJQw@uTuj8g4(X(vYGoWdD;`03Hxt@vs#+PDcuTNYo2uw_>D& z;Xw{4<1~3jBoo@GRF3B0MajI5f?WJ6A~?Lbei1J^gcz>zFZ99g{b>NTTy<0 zHgW0Zh)aFN+H@TDy3g6tv38BOf%`QhG~)yX7ff|1*2Ehn>*csON*rf7npbIFGku?4yV$f*8%lh*!)NS-6BN{+y`Q(t#uj*Xks!<+D8s7Dq_O zdv8Qw2AkxQOL7)Wvs4UL%ahjX7ZqI0fpmZbh4LEtCs9q9)s}qaP6!(XJU-WdYaH+F zR5H$HKXqKQW9Wn)X!aOg$tAJGjHa1-yQWzhWL+zVEpX3&DG|kZ!aE*>j&02g#=`MJ z-Z|DUdFUdKUpqjE6i*ifovevJ>Bjvcz3ubB%6k_{HLea$0}B_Ce7 zu#BU6xY9n{_1!x<4JglQIkp&p(1MY1FdtGu?L+adF%%r}*Pm$5UI&mtSb zde^1k3rHEbf;J2%F)2C^p6bqWd1QEw(ACi!Nu(LRrWe+HX0fESQCmKbsX(K|%t}02 zC*xjEUa3@HT)mYG$++>XuWpQbp+SiAvZ>QOsi)imW0f88pyU;9El8|un(0-PZ3N;Z ziiH_$MsH%~Mzq6*{$Lk>3N$?;limLJ?)-APyTFsX$KMm#zI7tGY7I6jAH6_NUwx#d z+8p%H1#B9ojdrKXKwI3?;IP$f#Jk5CI~*j9RD6jD`s9~d9cQjtI~L-ATxg?N(I%}E zdkzImPuT~A__i3{aiOlCgyL%wQr^CJTXZYL+f!p=%zbI$<^suo@(#fr)pamCT{X?Sz2BXLv!b&%qB%Kd0{1+rum!u`?Kk@6!{|>@+jzjj*8Ko`@T3} z{-wK^-zL8`lYB>awMN}r0r|FEkO^birTOC%z^}KRL%FF*6?MV4`noFHqsW@c92FOV zCtM}rN)Q3uTj=b6+}cD}J`CEqN_-oL4D`0p9OEA_%3{3iF3Oi!pyjOCzur3EKW zXfvAunuz=KfBZ`4tCo+|&-@jzo8je(=$%gW`~_16avM<~hhvi_)zvO&6H|F#LBcTb zxjg(Lz(tQuccK7y&s7tQeE+6uPK~26nt0map4!XPo0PtPrh2&xe=B6XY&0H8Oe%s$ zDeKzpGozg1$S4NzlraScpTR`Its0NV>O?TBSIS~TdRyMw z4uTVihE?W&k7Q5m(kZM5S2DRa#g=?w^FYZ8{!j0XBwi(}(?vR&*K7PByL-ooR&FPA z;Lfn7NJ@jO$(?P$%JpEN^cK)#dpCm%8!-Y&-_0)Tp95FTZpino_TQ`m+jOkLBXS`j zHwpd@^UoEfg1>h*cNymEkKcDG;mO&Fp1LG4;ykN=VQ*hTI-0xm1H~t+Y$=~r_%1LR zt>be%1SeL(o0RV%lK;?uFqvLzeK1eV9abaqHnf>0c}d5KTku&a;U*6esg)c|y}Wk3 z%WTSgkH#~T6q9|`_17)lAYvL)bMMywYmB%wp;+2HQ`hX2v*4y~kQPI!Fosrb&zQn?zcKy4Ep!O~ z*RSdU?v1mVjmEWQP4ClZO`9|GdpIN*q!m;(-}#}N#VqmqcIA=IN#Eos@_sb^SAw&e z`kG3oZCAQG9)g2-@5sY_71=H&jz#BGklq8?z@z}Ve5T2C?am4k=ci%o)ztZjMt&*CAf$y?E{tC2%8~>{>dC z_k+?zD`dZ^iDzuTP&5F1t6bXr#2;Hi%ACF5a&`Uo{wQ}nsc(IANn3J1w8`{FTm;JK zvLm5v0C0{5gB^#EQ)%UsNo>Q|?BCsg_7jHIOlnO97JpIHP#%=Tq1k_DxuhZ^tsA&+ zbLAxAU!*y`3}ihoN%aJnoj}5MvK2OTU8BIK?t^v@I!jXUt%oNkq~poCdlNQ4ch4ZC z-M#_^8uaV+J)l7dYc1?+?O)da3OO)~u$!7O7>WJvi9&hA7e%ogu z^P?{0he(!fGSt=A5)C=UYDeLT$zyduM^Pg&Qwzp0tIiR>5Bj-7%bsPw0E&CNKa>DF zYI{V>>+9KouM~8)k>P(~+2rudx_)4M?1XaFltF{TFW#Cu|1(WXCUZ=G9pi-sB~JfKZQgwo5NyZzo}nu-ZV`fm zV3QK%z^6p~v#(4JHa-Z3&sh*gm(}2hSSY%2V7qNqAJdK}Q>?K^hd+EJnX+O9YjUOg zo}JY1{ayB>{SsgGS>?fhc2p@-GQKm&W_oBQzBipNQ9B}xBZ-;`J*G=Up2B%QidF>% zp@izhDMgmJG0}eHAc#yptNXjQ$k!C{U3=@h%KWYEPzTXE{ugmEFO;z1Zms2{_Ex)D z!xIh=FfiEahmX~MSw+u<=ltWA76H0qmQa`kJ|DvWpHQ06?siIly)9~+W`PJ^8Gnks z?$xDS3|F^UI)qiRTI>|{4f6O~S+i$4RyC~_@IORlL1(qr+;%UB^H7Wbl0e~xlBN~* zN){Tn%oD}T_dQ$bL?G+5v$y0Bo*<12h$!H}1DLPx^E2TU*%)@+1ita-SG zV-99ZV;1y(fkD=Yzgup%(uhyF?usZ0PA$=DIS}afPjdp$hv1&CI@=))f+Kzx)@#4c z4abdbc+0!tNZKCPdOCipzRRk%ZR9&j8=9rT*|QEoveAtgpWnRd z@r@O~D%$+)OzB9+t9RxGT}jbN9*jU%Ky)5m!Af>}Tog%|9}6?1Y&|*xg$r0Mr?MSu z1>S(_Ohm}f{v1aSWy%O>Wq6G+p)xUqR;tW@C$fD`yZp4Z5)R@WdIIYYQ^V_qc{M(v z&T}K=lNCSlia4hY3m4>bb6E_&N^;i62?14A>8+9+Zw*`2{`SASJWxQ*p@dyc^qu_a zG%-%r%;UcllQLXX9sl|-_q<`v{!5>-)z8}Y5AY*{{Zf7bmP;dx)t?_g5TBc#fk97y zaogcyDf_`E#QShzpijB%Mh|CTX5D%F@C7d%u#M>0G$!jA&6IzDoN{$vXR9MwSVv(@ z+m9iIj_*`Y`P@s(doX1{f2h9Aln5^xU3m^4#H|8tmz$5dz-&2(F{)?_A;YGGA%g?H z9rA;X_yJ8 z0uX~d6w?_U^RhQlRs1X><^H20>Sh<648L@1eTM=m=?^KP*f6nz@I+oC z)(;w=j3v;EhC4KW%Bq+fu-?|KgLDq4Ge(lfJ(@TP_W2%$z!E16rrp;!NHUFoD(LpF z#;2}=UCD2VvPHpLsA%B5$7~|TR7R;*U8B`tCpS2+IGFk4=O8% zhDysujHbPI^w3=!<_Q>-QU0;hd7zWJTAh@n20OLfCE^O+G;(k|)}PAdomxkfNztBsPM1QJawWu`~w&XF;KZV=o{p)NQhb4otdzU*6T~p*hrouun|yB z+bewj1)X?Uow{>yHKppr%#TMO+OeG@c&K*mhuZdIIcJ3&T0!hhsaAq8G0sV`CX+Q` z?R8Lzf-XHG&FE%sMWDqeTJ-Nt{!|WXK#kSAZ;~OQbnvWywGKnKvQuBB64+fLd!u85 zv$8w-RWRkMYiMM9v|9H8G|X7pd~iGDi?pci2RY;SZS)z7NisCqa#qE?eRzrhJJROX zar;SsaZsT@i<4JtRGXCLc#6ifDNoRPF`7hW`C?w$(7FBk%IP{^nxWgvv%Q3lL(FC> zwnOctuHdSFolnS)y*z4qGaC2J=sw|x6qgaJ(MiWi45g2_*lgI?>`**p2vB^u9S>Pq3SZ&VO zDN1$skWoMSZ#r_OAzuQ3@C!-SG#RtvQT%xiFTx z9y%h%)Elyf$UdH+?`)Tc)#6u{WlNz#W3g_ojH7Y?6lqb5~?p3+Uf}AC&~Fu=vFAodOm2u2A$;^QQzpdIHQt zWz;?8D*Usi!{lE=T9M#TR56%gw{~KxtT|l2!z1ARS{fQ6W+3e=K|Equm|uep|Hg>z zz`kwha?0TQknYEZ(DhykiEE&)GYoi2H%MPma9Au(=?r5v<=Mnwg5b-@J&7;|^E%mo zR2URJik7*BTG8`RberggX=~KBjiad(&vIVj^c^iE#3+|w4AkIkZOFwQPB4b#*QX2P z^@|00f>2Yr&}8X?HcFe*GM&Fbs4o-~H4c5}CYJE?Uu2JI)+k|zpT-uA--tLemjj5`{EC<6*cdWF3zmwTL zJJLJcbHj2Z;qK6J2+l`4uW|P45R}z$GQR2IpS|xn87HK?38rzuQ$ZO*jk{ya{GA$` zIm!3^b^q9ji3mI)4mr&{3M9?Z475pdHda8LY>2MB`HKwRHGG-%cv7Sc;v8N66lA!4 zWlLYWO8Jy=Pn@AWnoB%ZD&diTfe?G1LDII2xW*-*kNy~srC^win}2S(w@9y$JV1y! z`MjOGl_Qch!VzK@Tuhor`bvH`Q6oCW!iEWyQAY-Bne<0@f}aNtFLOw~D+2zVcRH>k z+VID!0T2Q2@}mi<@>fyZQS1PHo2T0t3daD$#ffe9!nn{n?_G0fMGS?1OoU6JKO+j7 zxSqk>1Hb+?z|nk(ZJii#A}zt0@=NZ4$gd2p&eSj$qIE*v8(dkqcD4H>wHa^CTH`CD zH^x!X3JKha9FMO}eAtUF5okHR8K8KMkbWp8;9C6|h+1e(L4wlR6G_$5osB^BctCr+ zWDcvb(a11>&Bb&ZYk3BL(F@@))Ka&HQVGS+NP#+FA zsagLzg%I1|g^kHZWvS?A3oO<&-7y295sSPzD5TDOr!oxFIhBQCw&TX;J$W$Iaf0Wzyr6Bg*}l? zh;Evit@tw36#KWk&TF~bV0R#66G>3(gJ~?1)4XU59#*?l@c+g%quKI9r@%`0$z;gZ zc*Z_BJLaP)A50B%AR^o}oKH@~8!Vj^@d5eA13Lt*U;}mbGTg$be%>|nu5)DO*uuaOC61v z8MblrHS3A;N0fhn()E9L!i(|{eTnS_Qo84AtYmFbf)?w4f=?65i<;=~te_dvr^#)e7g+ySxa!6-J~IiRIUMDx)6E;4I$ zfB?yV>4V?Q|GbhWhJL!np<(!7u~%>uJ>%k?nb69X;E^L#G;pz>tBRFQJzT19p@r8O4k%x^j*=736-+sh^JnMNbJESD-GpYxP@mJEVOyH z>sIvBQv7C*Z}O5(B>#OStfR3@K6P0Z<~NSXlYnJ^K$;nr*)DM{SRp7@b_9AnPY=|k zaNrV)piHDRMW)qwJEHQE%&$QIa~g8PD<2xYgCG=2szFo$Z zX{1kt^=;CbOo`J{hGQi+#qWicHbK0S+0*3fV-u%1VJQh)h%j%SCCV|>$x)Q8*sYy4 z#%5uE(|q3w>W4**2~dkpJ-(NDu{=1Dvc_O&IA+^b?aY?c3 zqgA?E2SCRI^&zxJ9uB31f!c!=r44AzsuIPN}l;p2`Nsg7HE!n&t_ zZ(1@k7std4aKC2Em@aulNfYy`)ig5j3?OTKNYY0_*OvX7tavPQid&Hqx4`2yv&7Z} z$zuF8UaO*ZqEr#o4A>eJO{&abFcIO`jNV6DF{@=kY(v(yVKVPYeUt`#UmFHFQ1Z-j z%B6}7=FC2qAxVRW$gX_6`Zh88yIAReG^loCwGQQV?aAADkt|uSIIR~wgc-yz@m*jT z5G_k$A~mysv#I5+7oBl-rn-&_=H`FD3HslT-J$LGPa8JyW?jocbts#)ehc z*4Ir)I9dYvUK~D()9e=$dO&?xNZ^yaaUM|aLTD~y; zL;TG7#(jaGw)J=3>rx=hN0F+#PruqSKY|{2G4K6*qGnD;E;=qV^$xaJaG3i*mdv4{ zd@Xp-#fqDOr|c9=X$d2MbIF$p%k?C zUbzHN56MBW^`6g$Bd8C7=GE`Q&Q(6agPCE)Io1t~kzf826Hbm9mjKs)wsMg^QThKg zSWxx{RLSYiy4-Bex}bYSna3jlXH=h&-pn+1I?;;$)IB?Vi(Ssk)TJ^zQVp=vRxH}~ zu7tD7uY>_j`O9G5^}?-W09YFWqQyk@^wlY?OLp#;mGkt!wZnEsZtqmO?Q$AWJ6&X_*y^iJT_rXbF;_K5tdC2#N#slQE6gh3y z{%n;j7(ro#E7M*ZGMwsYRI#1lL57aaa4`UOxD=?tZ9B!_=ne(W-XcAL*uf(;Q_$F0 zMHW_Yl=)aa4kE?m4S0=lt-$vKF#l5%!9hr4QVt;K+rpM+C2M7Wrh&o^JmX47zX`9r zu1Cq$883XTY6}2b>rP50cYkJdIkiOEGJ}}sLkmh0Bl2KiY9lH!z*4zQKbucKB*)Yq zfJ_EFh|Y&>m4&4`ngKMPrT}xVjx(K>t+Zv=>Z~4+mQlj8=G$;dS%&j9F?d1jm6*5F z&#h4cc=Dj@z6+RtlNS78&X5H)+@b|yBeRY-!j&3`Jem_=f6LXk2u4j=E_U*SQ0jh78nw#20^c7C9DMAoeG$Ejs^ zHVe+j@Y8j{T6HFqR>@N*Tcm`cr8jn3CMx-1!q&J_#a(_ zJxD*|z8}ny7$wh-K^XU5APKRx)4**lmD>fNorK8e}wN=d{p@TCgJBlZ3OVqS!kQ6?^GjVK~)Sl2>t+B`WT6 z(%%s%E2^$kPNRQ3E?Ua70uiq3tm~&h%dY8^D}B(lWtxsHJihXE;kMdtEY;P32y?PI zXgo1-KrKyA)z5y4Wq4B=b8d#2IgEa!I>Ah@cMf!ajj9Z?&y~|D7Zb6{uC$L)oY15Yi3r@NOLV=jo9VeK_9dNk`{br0c zm5&Ioo$LI7rJV=tEdvV`F{_-i2cbAz!yrD_Ss$iAPjD;szPwj_ ziq{8)St2Q@liye^!Dn-S}^ zQOj=}N_i2+4pf{q{TJ9^PYI)2{&|)(ppkY3`k98G>Y)wSQE9|YDn}=rzk!*GpICN( z>MF$Ofm$ausnQz;NAE^KY7%?yT;1fR9yePb$n+nrd=U+mP|iq*l(dlnRo#IC8U0>_ zf4}$oBYl*gj2ma$f$AMyn#o#RUPG!lhpMvx^7<!S2cQ(3*M#|r`df55D1XGm5BvOp@9qbilt|m7X$+slwZsWnok?Ls{F@)HFa4 zzezysh8&PkP{cQEiDkoUw`SLw(mF_8`)Mexh-!s3>DT*BF^+%<0H z{hc|D?1VQWXu+fe@`RSzZPtFBp9<#L;fl~WbA_UNjA-hD8|!%30bAJ+za$m7bP3lG z0I^Y>EIY<@@HFdb;dRaO7IYvWlPuK*1u*F3p&o-{vC}n!Co(V?lhIv&n*T}=!i(TR zr~JPo@aB-lyPbGHn_P&l3^9)$YnsZ{gw5ib^xCMbfbJml$)WzR3mVw}`~HZ^m|>iz z`W$tFx=orgs{-KwKLCvk2&nyO%3QK(e(o`Lkp|}~zq1VVu<(Z;70gy3GP1OXJM!?% z`F))C5^%(5k?c~M>dZ%ff%^(gD3G*qYXCt>EyTPxnuUQLN;Omlw^-9^ox+ZqAS|?s zsww?0K!Df!CZhO%deS|sb3$ZWD5mkb=dPM1RPgkmVdtd;L z`9(1bx^UYHYFWccSy?eCCM@A)^5)kER$Seb%oB6A)igJM4hXx!0k{$Q8kCZHM_&6_ zfraY{c$$w*5(JVxTv%JSH&nXLn0=qD8;>PdHDvn^z6NFy-q5QlmgfAJfhI_ot?eO~ z3x;}|Q}ebiP)rZAAu5dsS>S$8Ad>Ewb^wwmE+bHcSn8C_TV@5EI+a5yb|j=ULJ!-u zVs<6)Y)FcKo)(UIV~j-}vgFh5XAc(|bK?NW#TKs!?i9^)lRHVz9sb>ScrKEH{e4>^ zb0_|!?9fD9d`p3}Ocg^^#dQ*#dOIazJD(TAMNd`r!cHDAYj4YIGw;LV@$X*% zoiJMR<(y>3*O@U4fUror32NkT83(bZRpC>$XwZsD7?&EF$Wk@rAo_-Nvv{n!HxGRn zGH2~U(Ex-Bnl?tRvV%xZ>nDHH1gD(@z-B{=w zv?Q*_h);$^1C_n4W6r7|D2)Dq2iQN^Jm$wne|mHud%()@i(?}Kv&M{Rmry4B z$)IX4;SZ!GExlJ0?+9!$JMPhz6Yj#93}^VKAG$#LJkMdMkF||EQM~v)8LKUbFovu= zb{7kqh@)`6_?@;c7@6ig8K*FM^4_ejs#tkdqdN59;cy~BuY)F=!}!@Cy2_Xp5~bUF zd#)%le=j-LH8EhdjLzU;_PyVDf5$)iP*X<8=7VyembnA>wS~6+W!1nVg%D$VlqS^6 zBz0V}UhODHL;ar=WrMH;X(a4aVYmCa5p|w+Y~0kef)d;JU5JR?BoL-CrjrXH*H0Fh zI1rCR!WfbM-UARyB~i>Sm9~CyyT43>MY#8Je=%;g0clr60vIlALOpyr$b$A%lHlzz z$$GChCd#?>S3Nzn3RFvPasmP{(dzGlnXM1MxE<&^^`ROO@3LVR)MeQ#Y|}wokGMrY zYfDKD-AC50a;4G7qF741XUNSuy0d7gR!&ZVK1fRb$JEcGa`IC2i-ijAEmd9$zaXx? zfAzAeW{!uLK=pQP6B5rjP^>Hr^!eWtX|Ncy_RLYh%ah`=AG(B{2#0BvIh~Jiy)Go1 zGGCqk8$L}WC<)A5088hzMH^KCE{1gHM!MrAaxO8>}xyqU8 zQD++9<7ro+0N{nqM#IdoMlFKEKJ*-4f1X_dB-@F>I*--rsHu3U5yO!jL-VM0{P@-= zA9kdzhE>6TO=7uUD}Tv85gP7NT~P3sb$_9N8a)NX`+Habueg zKKHxQ4KXeFxld~e@6!A*4eW5=oD!gvM0T;iPMbb@u?#}CnaL;bac={y5aGL`e{cQH zzMhr#i$P2uWwu9zE^_2~u9K8~Z6~NT? zKlom*EO%tdRwXx!!~6e9S_8e_RW?$-$;StXI?nXeh)oYK=nwcrePD9y!9Z8U(3eG$ z-Xtu49Aj2V>1}S>@DS5kRmUTkf65X+u`*P^4GTT!E7$K`vKMFxSF#?xImFNv?k=86 z1#t_4H!aM}w>0SEP>oi5w*UCoy}D7G41?}b5{jmu)SiJt6HAfM#r57V5%E-t+P*Hz_7gSpsoBv&pd23+bLCs@{p;+w`Ss$Chs@pYJ`U z4UHX`+z`aClVWZ1BHkt9}(4yG?jlL@sF=L8!}?w0A`@QNdw)ETjX6^h+F%=WNcK202-;O^<*lyr z^5|0E2h)sL+zJis6BG;pv~+$sx9y3sH+IrJ?-Fx)7}%xAuB(9=EU$$kFX&n1BV;TB z0$SNl4jkn|q=o(K9LNkyQe7DH3Iryn`KDZ4YVdcew`%a9{%!1Be*?YnB~~@3rLl6h zP=rU4kHpgPdfl*|QUJLt`7H_7`7(?5VmZLX6U1)bL;>IQ67gTjtjH8Xt`qh6@e$WH z?w_hs9wz+|&USMl@)(Erk>=)|j58C+;3CNTZI-A1ia4qm>py*y|8XeuQtSVLx(uLT z71{&*Mpm@3+`GMHf9AHIOYYDMaaH~Ej_(efK4YEf1M=A%3j@L-`xP1myVZj$sOiC!T^PuvLi_rb zA;`5@n)dX1%>A;Rh)T{BaPaN=^p2LtgmNm(rqRDyVIC)%6~HvByk##9w~I7tPW0}r z3Awtt#RmCke~lr$h}w9JQ)ss8@UqOkQl#lM_E}`ADlp|iPd*kr9O=DLBC)S@X}7+| za{p`Ey|iJL*kJT=@Tve+Jkg#e#L9#mM6fF)M&tC%f=f1=;!T7SJ{Bn2+QCj1va>7VakhDcBuG9 zac*z9*Wk>R!4XO2*a;*HY`0{+_*?xAPF;#DNtEk=9Hx0D>^n8XH>n}axCw%Z20*tV zK-bQ#l9AJURoTEEslvUZYA}Sjc*FNDBGp1Ve;*%J7Kbfc$SCBc1LGgO@@<#6g^?matG8q@Mi9hfH;H2aN$wfGuz$AS?T+=b8_` zZ!t-{Tr9(hKLbp0_^jrF&xQ3_dpV=qf9+oSzdZn2$cS>S3>96wH*&{6?l>a6!|u{VsdC9%u_4Il*P;&Y~@S6`+u}I%BTDXT^6=f9j$# zCfQmNod;=o!Nu9vC}_!s{4ps|2h3e8r;N+bEHsYPfr^Lc2ytlVb0iD+BH-6>Y=ns@ zi{;1XcVE?2*R)X|JPqDWI{7X4dDTS+YdK+SBp>{RTV?eZ*^8<8@hF3al>%kFN~l{5 zJ3Flg!Tx0lLb9<%Z*2ZZ`SY@9f78~V!N?j=UR{ymb4d34Jf13crqMZg zIew;if6(dnvtUA6=nL;K=0uE7fkr3i1ow)c?qGRNmaA@i#&o>#9KR^JfBfve`wf-Q zQ;fzus~u3FAdKuOe3_Dw_yGGthfo%TvAIonDqIUKb@`)$?TQh*@pTUt-U zT1Rc=YipQZRSRcjEMa$U^4lWLKtNlRq9)=`9;XyS#|$#q$f_NpfN=OXlo!x?>`=CO zfnJYx@}ig}$8KC~WuYkAe<$eXxCQ@|i$!SV@D^5e+h?&7&6v&N%o4E};+*0C;YIH* zDi~YtDMDXPw^{t!hY;d7vIH05oGS@j_P5At)qg0c_)klaBg zV#O9v2&HKAGz|aSTCJ9Nrr*ko$6)W{M-F*jjs<`4{(iI7yRv`_f6o&Sv{-I3Gs zKc7x6r(T8mNOU^MkK>kiTAAnyws#m_sGfdZ{nPNad+xABeo6~b>`)`~3NXgJp^4R(R&{4MFVfk>e(bIA) z?!)x?&eZM2WBybZf2X%(P$^XjzAi)HE6{-v%i7yCR7H_d-{hp_Pgqc6lFkA+U$>7T zuHd7>%g_od`N|nGRe-6N$fGG|Tn83$A!j%zD2PRRWBO}y+q0Bv?&&GnUJ`An8ClSW z$L)~?Ng>)zt7&kFDqXn#2b-vP_1_u4W6!lpU~oVRdA?h;f7E-I`6FVHo=D0;42Fqb ztizlKUk2gA{FVw2XZua#7;)G@8N&@`u$UGj>)=d8M+ z;+#)_XC6E*eM993jd6KJQ=&NHzV@hPpCEkyoWsDSM8&foE1^pQ z!6C|%rPg~=JJf_@8zK5s{O;t!_H}SD; za0R#HSYHOy23V=(t^By-TmbvY92aq3e^><_8uTZcDW%V$kLz$WOoRGXVb2*a(^WK@ zMM;d`e=fEyhG8%vlk466XX33068oYYPF<;2Pt5M%8LsbRhu+RHc(Cf4ES>2LFT`&{Ecbd+D-D;3ImoVtB_1{{* zzOOT&t8f&s(3Zl6kg#K(6O7Zulh5%8YXjaU{JI>2hDMf=C^1b7ckUNW!Puf{7-`OoLPj~M|%!9`;67=7X3D%6);7JfC;`I#Ujy#Pi>09R;c9!h~sm4Gr3H;8q$U{K!MWNGN0nA)Pt**R)=I^+`5 zYxO*q0P9v!u4g_3&k*6F5Fw#{-cQI)f8VvE z@*lb55Zq80O_5YGCjr?&fGz0=CEC6j?5dH~QN^4SGp7d!3aZ;p4kE<6t+WwYu&L@7 zsW9de}Bdz zs*N(@uiT<^l~p`_X?6Ufi=F*Sy4anKt?NiUFFfQqX73v1*BA&AhM;Gz!jo7ktT=9& zQat1OlGApszDM;*h(@gn_v(H)IdCCNu?sMBvqNEHxwAcn@<2dOkUtq^ki#l`yjM9k z&iiqN$pE#FKoqH@^=DcjC$>Wke^wryWY6rF*0Qm*bB3Zo!0R9pam)?~>@j&k-qQyb zE_&|lvq#{!XIntK4wA3=knf77i9zm_02@H$zl5g;%lc?wA!d;sq(~d2I}zXQbN)HP zNU9a*ezVcQ0WIJeD~5a?pLTK|U4U=oHEF;)kVf6`35$2*g!`r-$fxW1e*kQ6+U|18 zMH|L${IOCWuEHMMS5>sP-7ii=lRHx6Hat>bf$bSk7yt{Z`Ecm5SnFP?kt$xeOg z#mUV8{R(sc)Ibf+NeK`ne{tUiRWDU^)(Rt;Y*6LY&XfsH=dXP-omd6|)->Pqbt>)A zudc!9Q4Ad*0zbqGEnyR92v_nHtTXhN01XNGc+9&u?&Mvf))^z9KbKrbs+FYn! z!(&%=dh*TKM(&7ve-Gc6rT_BPaNBy)BF*9z5)yE&7KwcUKl zxTT`Z$mBF`CwHF|47&fGD{jGZUk$BrbaTJ<0E8##v`zW#_Y|mSt>S%=hLoP%1T&pU z5L9=8SZ!>Ge*ir|!oQz6%i zduxb;hoAhVlKW~=8??@}!c^0a-XoD2LglYY|C?YNJ3|t2St$a`+457S z&ft`QnbBHD67WA{`@Q$W)4lLc=s3LjTxHk$MyVIDpfQIzQ-8pmGY48~5wLV~0YM># zg85%vX67?QwMc(qpduq8fumb;6oJTuADeM7Aikok^=$LEH~!lJ4Wy|*k)ZbNX|IVi z+9fHIa+vc?|0Y6z$_@zCQe$f2wv;ot@9G~85myw(XA~>Q{lZ-~pD^bK-<_u>rK`(U2 zU8em(#}_7>+i@2riU7m?94oKeJNgSEh9{v}5KiDPQ-3SPr@h2Nj7@e_$i}W^(35tG zU&U|yR&kvqP_-Y?K1LGyG+BbQt zyIM7fKY#Tnm;lAZ4Kf_5Y6Y}QmlqDCK^?C{%_>P7i#suC*4_pc)?GaqFroY&$`_&E zusN$-CXqK6`*YmNo$me_u&MEYVZIyD@%;wz7PT=fAZJGxga+SMBRH@NW&EyF#`0Ad zlX%kYW}?wzKn?o{8z8d|7%N(oPF8V2Eu?Go5`XZ55>)^QCt`us5>q5T!Sfuw3_ZVR#^C&&hPQj}S>>AJ1|*vp^)SZvBs0ltx2I^lF9aeKxjpl^P|yGA;y z2~E0PWS8FHQ_Yz)i67ce89&2SB~r@S0?IgWxFS04Ro)J+*oKqSHJC=m7A6cd^Rp2D zIe)c{%00=H4!U0IQ4QDzoX&;75Ha!mL2Qnqnp#gJsfpe>qh^byEW^dXrW~T*oOPv2 z;6^^W%oF+9^LQLe4nx&asB1ZK&p@RUl-BD z48=seg5PwxOojN}BmMr>UFAjiYYGd{CV$+|l2F2wIHtx#FtFa(IefKwSd#rT90ilb zFE!YVy-C-fkl$jKoppuv+2JuoB;A8lYig2 z4MF|3zX2>~=cc=2Ii@EDM0fiOtDUL+Ads+logqp*P)5uuv6?u< z+A#kfm;b7B2F-Heu9Xvtp2UN=Lp?-w6Gjn#{0-)4Ukp=Pv*~E^3e>NG%72-kJ;&Y@ z9c+&lZIkBYG`IqIPEaVB?e!IPM&!ZeZC&K(Im2ytfLbR^SM8G5l5-&Kf37g3f)^{5 z&J*HcgAp2-^W7UmG?yb_Z5~>NMHM%40f95qJp0K+B;qz2R6ZLf-&i2frFvj|SGI-O zJmcLM2AVS`vHDiJ-~E7tBs}CuA6oMCH`{OzN?Z6I91xljW zlg~g|Z@2+nhO-+(>D5e^Dm0$~)iP}^9A_7J`-l<>5sNmyt1s|Ak>jiN*Oc4nyHh?1 zq6ZkBZ#n{q{>1X7nT))()qxFH7!Xh~OA}%Yx+zf5E6#>pezPXPoPRjt^XDUq%Yux6 ziS0m$Yq@)Xlw*D(xdTw}Fi?TsciL_TgtJKVp_e>cY{{E<@g`5<4DR1`H^vv!P8(a* zR2KMlhu_mS$F3+hTEvq?W!_{P=i_U%?`}aC(a6;unv&-xt70e>-ZP&~sX)aiA)#>F zTp}s92;bpkKSwbCF@KQcPc}p{F+W7n6eJYJ9xn?DZXJG=r|b>&?U?>OY!(g7aJ}84 z9o<9h3_T+Ca0kYc9!Iisg)C* z8wgf#s?s5uFQo4S8*v$A#FO~Ze`OV|Ct5u!C`Dh>QgFGM|9^vrO`#!S(`e@tqB#4` zuhv+95WwfwZf~%WC6eG_#9a>>qYaY=u@CqcZWCjC6Q7wnwU`U8&S3f#rA?lnRwJ1+kTs_o24 zwbhZvX-PQs_lcFuNGGQ=d;V1*s3LN$coOY>3nd{V#>7oGlcpA;n~s*qeu6YXKy$#WXmB`uI+4!H-v*c%ZB+V>5$}nO9TKzTanRCmjC;4 z)_xhiB+-uloihRlhjki>RmJKL?+BV!3{>3 znmSmhC|KNnGT!Z@MtSxOY$W*mjm7@Fgxr~iy3M?j-)u*7;x3UC9mRg8#$~*@fWRQ} zkt~K&*Vvf%E%)V!o~?DH#;H&g*R@`;&3~#FTaZB<)N3rF5k_uP;&o6~HI8tMpZ9|Y z984vg#Dmo@3uoPQpCvgNdl7+>Blz8^0}W`*#H&oO*koHJx6f$71CIPSaiC6pJpi?5 zpOo`eN||IO#_Vloq|sXvuN4E%{e5V6xeL<*h0S27Lg6ll&o>I04H=hfh8bgLQh(hi z)}}xFL%Uw-P+8%Pa$vny4B8NbNJvg@ZDu9z48*0W89yX(J9k&?eduCsgQ}NJh94lZ zA6o7aCY$BqP<2E{_w8EAov080n>jE(?Wn?kYA}r=0$d!gf#nVw>j6qA4Zczf`{MhU z&0!&=wk~`JZHH2dvRa7ZdBKbHC4YJ5Eva5ay7eX-_M^tpQxPNtnAgU@el4a-FX08s z4Rm6GoTzq+OpZjc7JVKA-@siAsMn!)V(3U$J??MyBn z1dAmBlD;9jh@`JAN8&R^x%0cYSEc<$K1nTaa5j{5o8XjoXr&h^3!V81)?-in{KZ4( z)f+oU9B%~YY3u4@g;Ht+wSO;@$>e5A02ZV}G2QzaZSZ2jBDP zrM`cv7pEh&1X>@XMabB-rrFl9*01AWx~1`nLu|@Wgm(Cc;SON&PsKFN)MvQu50UF$ z<|EF3A;b}Gn@~Zr$auU~TrKf@%}m+jKMsmHK0Y_c4t6S*7*KwW+R^NJo~!lAdhjB` ze%Pu@dbHSe*__PKy?@Wbc9s+v2uj{Vo#FfM7|MXNqoS~0gN`Vum#jO&4wj?wM;OS({%@#VnHP=zrQ|BoeAq>+-~*uvCR^ zP1kvpYA2MXot9NiW2V-@%$fX>Xn&f-hb?mdSRhoAB&UctI*DhI&6iFS7fpc7Y5OSc z$gVaJF743v$8D{CcKx6%^y2V%jdW0;>kLnxe*{3eSgR`;f0F;ixD`P)(K0GEwhln z>NQb$0eHk?|Da;{PB3=yo*JaD*R`h(FZ4vQ$bE+^^EYWgL@lIB3(5q##m3Ej(%zh= zj^&=-reWOVlVyy1g)H&Ue~!}|so{Kz4o-}d<{(Y7V+m!zs7h;e;$AsJo*f3~y$77? zavdN=RDWUDqV_k!4G#2y{fuBkO?8-&Y%mbb7mRkJsUG<~DsWBrF9ylF9v}H~rH%Vh z!Z1d>|G`W<1#J$EN&mv$lTgJUlQph0`4_C7r&GrcGI87Ao+hki#+%t5NK2HMX4_!_ zNBM)dBsakuQ}GKbsQc!(iv8>Mvl8(xY6~46cz->6ivJ#WHWsSm$*Cbs;eUpUl-LB6 z2BMtioAHeEJAy&!+7{uJo6O00U8S|>i4km2qV%WXL)X$|cw4kripvBX|5ea*Bd_s{ zagf7(K=?zEaVLd}aT$&JpuG;YzmEwo4bbHwte)!IfkO3)tjWrBj#UXG(5M&768E(S zbALLnNO3f_?IGtm(=K~Sw9y%qRIsh zyiTU3EhZ>>w4D0N7G*MG^57U7b7m%~E2xLST}j`1pa}TEjoN|RncDjWlWLP+DqtDy zyF2TAeAGA`F{ZdeO_I@`c!q@mqXc^v?>BozWdRRHvj4sz;nYWgd= z@SFjzxH|xD05l={KpPza-RTf+mkKu?4(Cyn+LEac?ds<4E*U*Lm!+3<36;7xY$sChD2>bSfg&dt9T-(v%s;d4G%Nc_~(G zU(dGK-&d(tR8IQW{DAJ@)&rxA;Y<_9bqN9VM!{1CHS;qJNP_-)(cy1l)%Jn4M-H!p z#i5lfvKWO)nDo@;BFJYnK_YWB)d0A%lWfP)QIM+paJDqyePN@;%#oB-jbhgkloObL z>KWP&qINodWcsHw@Hv0sj(@E1Qqe|626~ti(l_TUiLPK^o*mvj%An!g&6lZZw4@n> z(?s+G@NwAn5t=9rg@IC6xy{mA<48MYjJk6Y!e@qVBVGx;p}xU2P?k;Rl%=o`Q#GKF)xzrbPZ{^w{71Z`qJQ4Hj#y-727#}N z(=uBNn&+&Eox7JaLqx1|Nc%v5ynV6Z^iqXngQ0L}@eCCL>r%JNjeV}SH`Md78Q)e_=`U`TFy#j3V)ONRD#uY=w{*}!emFC z+c-=&Yz_x+SQFoz$5t$sG!VBx7H~w1humT-$;gss>yCV-f?Ra zPTqb;SZehS|9{|0n3p}{tG!!ZE&}RaEQ{LrDe)D`Y7isxYeg@AuL)4+cMq3^Ydk^| zBWg`9^?BI{!q%6<9nQoFaDhNohJt`8ASq1^V+6UaF7Oz-BVV$3`np@_z-k0oV))s< zMD(dM1ZYm&VUbt$;$+sKIPw!;qevG*P4fLEsE&m?9)DPvYrkOt3>=#LKMkK`v0tgC zR3eHV5y(C7Ij-HSJKPMPyGSV)kY9bX}nR(k|xo4eB;TgDADZRj>=5(pnO;s0qoBU6oJDA^m-L1Isu)?8heRn*} z+hI0ez&1*6LUG;*2fTP#7f8m`^b|b}hT(NE`Ss}G?D|Q0g`>#!K#VXBgn39EM)id9 zlYe1nv8;$O+gUI!3i(#?4o{9Aj$5CMKNtIc{jq!k*uMw=ua3w29G)QC(5%NTo3 zTU!=fuhh@qWgJMti{A_D^=pwOAsO(Ug>9Fza7L&5s$Mh!9Wl0s3mm5-z6Iry)8q1?J&C5SF*s9_{uIWp zEk;8Os{b5-80*flo~Lm7@wLmp-?0B6ANz49Wl@ks#l!GrNa4W6*fQ-^%XO6&vVSd@ zGgw~CF#J`Mfcjcj^w-(MtOXl53TJHIe#0UITlb(-Ph22u$I@ANaYo37dA$y&07oWA z@pN+IpzT%3gXhn*AXPs$_W;p8nc9`jS9h(GB&a5Cki=WQMjCG9h|caOo<_a)U<-Zy zRRPK>us+X!7$Km&`doetpIR&OMSte(EO$SZFsH0`BEzs6+4iFOi$&(|+F%@>e-r-E zTy4M|(Ok3U1;fV2%L}jMXF|1d`UzF0JTD}H1%eKS&b^56V8F`If|T(8a538QSo5?7 z{G$@A@A0yn;% z!F%aldGkw#*tjlHc+pt5;eQ2bQ46KZ9{Y7vaeH@<%(0P<|F~u@`x}q_W9Zyg+iwjb zVt&tO7VO!4#MRLR4LS9sN#fzu@qqO?9+7D%cv8uJQM_|A1m_mpyVhx;3EY@>KatRn z`fn@$VZZSkmNu@jw-^g#sL6*v=Bsq8X9H~u@<7cW0TR|$Fr0IYIe%3Dvlg#f#6Eis z(KbxK(S{)2G52)1;xoQ*>0ZL9x1bQdN*lqk4^`ZrG9@TVPx(ipjW@olMM4ekJ+Imo zW8;6`IcJTX$ppvX607Gw`py2XQ6 zGBLG#@IA^?HQ3$|yN&l1`U4k!m(~CA0J#~Sb-3IkC~Na`c@{1w)6gHWk$$#{GowC# zpNjbux+?Cp@M*8X}3Lj!*P^M|1oo^Gp$T;jw z%+b1*C^ssUNPQP7B|Bkq9_lHQRmd4b&gP@<>m;U>l}BlIlc)0}DIzp=y+K$?qOHD^ zJeSdiw`PZRgMV$tp|U_q6YI*l0F~8Dg3Tj*l9VV_za5_(Jb_6^<`+l(m5c`j4>$Wb zj$)817J-Vd9wuWlA{dLkolqmKOoBec{YH=dGq^dz0~JXWoc)7E@7*$Th{afZS~7`} z$2=u^J^3Z8-}s);;kgR*>buyQ-(=aV!#-=xt2K{BWq$?uA`$J0hU#BhY)kiYmAWfJ z6m{0!+swlhkd*>^@e*GHA4Yl?GMc<`vHl6)I)p=NO>EF;#2{J=_yk(7BQUInm9I7x zNy!6{a&KCA>;#alt<(wuLIecX8_Z|zFy!!i6W-2D2o*!IY&5cWw~z`9isi*P{Z}oc zz;f&rynkH=Bop&VigP`|@R(;!xGq5uGk2d}Nubd8a-i?jVkA{XC!$oVEV(?mh9u@zdoiU)bdb!!VsJ_8i4Gn z$@jP(DhnVi2gu}Gc$Ue1-23+!;(j#DBJnHTLw``Fge-ac;BI?s?{bsJmSuYKwkGzp z%t;l;WOqbxj3Zc?GpB6K;-~c4y#282(4UdsWWg+k%U`wtWjAhHla1O+WHHH0m#K8- zrG6}9-x?3EeE7=_|9gfp*J>o<-Wi*20XWh18Ea;M*j5#GYXMwTNziHbR43!n0 z*bH&9uxj^HfPm}2KFDjgVNfOQ0;6QUCJ7KhLOND6Bkct6uFb!{-~9gA+cTzP06JXa zw74vlp^UWI7@JQRg7p^Qr@Crswu8TVhkqj-xRy;5H>Y&H&OLUCw^wEU5@WWv{7|Ka z;Rw1AW`>lY47LyNKpxSk%OlrQ5E16&)vxk;n!@P9ezxM1p2mrcf>)_P z8vZg9=?0ExByt8Zd}2~jXFsI$$3WG<{Wc^|+!4C=4^>W+tGK6!uLpLl3K!@;A;+qb z%mu4H0m7wpMwH4UWeLLf5uE3IwP>3k^+6u98&KCBV{_R=qB_pp@wZZAldee4}9$bYIsgKWhqf>W@u#O7w)X1J9BD&LIdrR7+)>W6rW z?n-#IPvN-2@J&|r>hC({CK`-pgJ52JLk`c_U{UO=mXY83MpD;H8jo`GZ&gyGOT!GC zfLN1cGmWZidw!z&8L*Q}RV1PS?ds@^!;_9&X1$(QPt*RzSB2tlkLw$FgMXpKgxAL* zI}a>e&zJ7L3G(eb3x%Zz8Szt8gxhZfpBqI1#g#O9quTj`WOt`5d~>?$GBR8Gp4zVY z$F07AfD#1U&y+Hp47-%Rz4U{+5DPKaFP~9sUNB!4D;1!={BG-+EomGxDl?1)zqAeE zT+Yy2)@`}mr2F+ZA*SP-<}GX?y8%dX-F<>NvjAnW z8EYkAzmWk3eufg#4AYYAcN%7*`0$3MprM{3{WZaYzYH~rnkPW4XYr;H$vn9a8lLAL zi8>QDmfswghV1sET@7ik8?lDO!b0Sr4>J@{b)W;gB`1+$;^zM0dVhiHAmt$dUxq9f zP%#~O^Qtr32mp3|1Gbc3G~QSddBbpWJymB>HGXk?q`)Sb0LQi&Ysi3ZyM`P|+%xI0 zSRqjo@C$6T@M<3)foI1+kguEQ^j<&_J^2;dU;RxyHwNu`|BEZYL^vz z`aqj`_CwAV^h|S^bbqReiwGepswUEK@ZY`CewjUxM!_hLj8EKS=FO~;iq>%8o}QGP z(j!I(%$fOk2gxV185}{~7U>KfXFTylhRV2tx*3=pbjPKOx3?QudDlN;DGQWq#nyVm zr0Zs#NCksGG@*_q0mV|^gCZ!=#2X;mTg-kcseL38Vfk{mZGS`d2m=>B;I^IDjn=Y$ z*G$&jleao^wP@hm(e;7AOb&KD9EF#tdTc5X-y$akKXIkZKIP_;&05H9Q`q@8Uiw(F zLfTBvbqU;2+!buBCm#*bk}$o#^2Fn%HbQ5_HZsq&ca!4*qoZ_oGxzoxGV~ZK4(~jt zx1=_M@z&nIJAZ&<<%-?!(Rlfj6=Wi|eK)ffkb4hSI;+H&zJSt|B&~riQUnd@2RT23 z${tB-f~jAGACrtk&d+am7WEk|c}J6L_*;*#arQMbN-AWFUyKE#xx$M-bnn^2#x-#k zBF22sGRbp_N+%;Iz2|yWhB42tn#CgaDsBI0Dymjr;(stLzQqytFvdn6VqFo6EG*UFrO#Oi%k0ERBzWHL7MnMl^KR`#$Afetl)k*Ti*4DqK@f zJm_~p73G|>-0Qgyz!@TMTRv(>TDijt#ge?ppV#Bi5{6nm%0W2M$8U?D+5`Pso{&0I zGSOkU8-IC+H6FDlHVf2C(?@_Yi!gccFPi>IRbYC$7tnn7J;ApG|%KN<@ULD zF!7p3+@Wa+)L?wX9~Dyn_vktH#*!S)Fs5!YT*|PitZ=nx%QqUPDy1)rGa!Ky_+NWR zb$>Pj?|S^0{8AEeKdqh^JcC}xz~4d^7;k{&@M#}B`!pS6+x!w?C~m2wEaq5nFJ;7@ z>^y(FfG(?k82Wk9L!glcm7$HcG{-47O4U<=W&oR7X$dV1XbR;5zg)YE!(4Z2}moxHTRtwx*_!n0;5L z5!L#K^{Z}*MrwPF!j;^cOrWyX%|$E>9-AuFu^$4lLfYdw=Iu)%B1sHU97T4lAgbS0wCmhepADeh9IuQ@F~b z*$+=^f|N6gtat)fBiRhm&a+sx_zs#P!_K>!c_zDVUttuaO>$1+03v2l^Fa3lY6|D7 z@H{sko}_hZn_*3QN(f1WRv={6#JY6thAY@BNTHXm&VQu*jfP(} zF+ARxQp47yJWfJ!;|e0}&)WNvSh}JH+F5@iMjJ@?!b-CJVH~jNI=P^~Jb0^X);Twz zuc}sbFEeir%J#s!g^{8}vyu`0+arYV%PD`AX(h1%MM^;J@z`BIg|eI}qC<_M^EWnf z)P%Q|ASk8=s&)eG@3DZ$xF+9++0TynTPNsE%|!Z_ zVr}|-H}6IhRhyzyj^^FO3tYhY5|CyG@w_TzFJ`zTFTS_hRkP^CgefP~PzL$#VvvQx zs!Ik!5OZk1t4dIjqF_n@m>}tma}2Y|r)%DhCRg zUttXC3J>(+847C`MlR_WbZ-%Zy8V39)9P_F(6gBu1RzzmI}H@U)>X3B#4)71i5_Ir z1w=u8pDV-pGyO9ED)u}sK3#p7e%n2p0~wceV_*sw#@4Y+OMeA#dBDRQm}HKPLaW^g zzSq2G(H!+(6z7diK&^b@*iFD+66f}%z&9q~tD|4_QWFdq48ymR27i{hQR3alGYFQO zkP-67S$>#k`n%ASJ$9@St{P(2NY)AZjelt0mJ66#QXl*F-lU2zwUGFmdCz(zT+tc-@A^h06jSs$C4#gZyjjHC z?TL*GZY~I{mMH*I{@Z_i*Rt1;{CzOL9f6Qrl3_noo9M#?uA`PPIwUfrK67~a@9i*9 zCEroTU}3j~0A)d)SIFq&aYKpVqWH#VcKjrnOTEc-0Dn|5ZMDT0s071Vdlt1YDa86< z6Yj9;Wn6I@N@9EHYy{!05HyO3SJlffTwcJ{O2b=Zkw>8R?`;CF+ z!t&$Vg!0!?*1vjHNMts-{->*ZX^_ZbFr2nYnOpi167jm(fMdwY*&TAwlCTz^>9VZ% z@s-u36@O&?^jvM_BYT&?$&xmkN!9WhDMo@rzq&XaTZn7XrQtSJ0Q$J{@nn?1B*tSsp)`&hr2(fIq#Or3n+fmOd(h>xcIlF`jYK9HTw0I=S3SlyA=md)X^c1;MzE+DGXK{ zDM4uF_;i;bHZ|-q3bD-VDl!(EgTco;cevA|`pwkoll(a1&lizK6us!s^~$obOdM5p zi+^&Ln-;89c5h+UmgH96EL~pM3Z%>Z@tFApXoGebtv!9)ha@%xivxzbx^=QzeN3HC zX+H#C?VFJcY{WfvvXUZv0gYDD_Y-(#A>f;vNc9(=$9k>I6+?lCo9JGW{qqRIpw{E; z+f2qBd)`ZkxcvxX_7;`2!H7NhLdzgfOtS{XH!g!q+hDpYnW-h*s$ejJ8z+F$7b>f~xsrYbMah2zzE|xd!|vb6Xsl=-redS_o}SioO4+k$)#; zJ_rPk5Hemw|4HI|W4Eiw!LMiYWr4S^TRiFin>o@xvX z-E@~L+Uwq09Ry-#o%coV+Hv^5Fn`KSj@b!t@Apzm`S%9PNp9Jagqa|j<$W{us4CQE zV)~WYDGe{!rjabV^lH$oqOS`^-I;kPoyWF5MuD_$iU*8GJskuGU+F7{-oqZ30({mB z`KYniz))*o>B?Sac1d@{{l|+?9P4qxyhv;L3u5tU0{>U z!|&u3Z+6IAB(r7nA~}85B)!CnRB@=}?bbRG4KU^$4hSLY$8D3B;m}5uHA9sE_>|;Z zpt6CE8VCw?*9D$0Iq_iDUPC2TP~>Rb-1Tk^-u*OBd8B!T#oR>n(<&|-eZGZmbq{`OvQ}u zLlWP*alsKCwfaW|oDz&2LxZ#7%R{98W@88hMub1Y+J6mM`+2k2UQN?RGwM2z*H>Jy z2@fgknhyE1oZ*a|>EKSz0>ypSILcV3eudDh7I|GCJhkv7O~ln8QzF!lRi5c(sH)c- zkS3#^_M>-`>rniS=bnmNWW!s=wkYGwqxke(idE~azf*H>0k+wu^*Zj(9qv`=cz}0L zqjE`ujDHiWqHmsG1oT}Lprv{jw)>IyS;EcQUe`2B%L?{^g`41rp}IgE}Z6}YhR34Fj3{{EyNTP52e?boO&LdU>u+Pwj5O zogn{2!-wm=jwqON+V%Kg_2}htytf^ROGzp17&w0+Huaa`7Zw$NGGs8lwXD}0)9oA# zrZ2In0gp3-o^A)hgJ>#s7&T$bXN@&*K-D5pho}D>F_*z8pyB-+)n&Z%k4_ zH$|Ffw#6o4klrH=gc4kdZ=?r~wS)t@T-HnYlLDuq_4figU?6&Q-5H6k<>u)IAU#Yp z9ouH0h*x1$KmT%cYk09{aj3R=Jor1LRiVJ99 z%1))f&N^Lx62A;UhREZ~<#}uOGVah|D`_H!;`Ckz^nQGi-1}f%Nd>FA+oNQFpB}N$ zC0jtYq<~pbKx{D#>Ci_wzg#A=F=r;t-3~KK9roanY^5SY8>;OSVR#x)&(PjmnNqQG$mjle_vbPaC*RO{z9{KrS{vM1DwiDmM#=sAXn3TBBdRYEEOI3{@1!iIZ91yU(-wf39I9 zzOwL?>JRy_RG=*g5xLxF#Q&)DU5KYP3jI%-q~XU*Z_AiB0X@4q7PNIMT84G6HnnJf z<{m^CcNgi6n5dj?h@;!FO?V&V4&UOVfwh;=`vN3z68(A8BTYwlYy|MOHqpe@;sqn~ zRMgRr)v9DvV2xRhdm84)<+tXcHO@y;4IhhtPLpwU7ZhijHmj%+ZR*x|HU3&m3vAT~J7$K{ zy=-pg(0r*0HrQ*7DCh`#o*XnVH%id`xU(gP^!UH-ARcUQruDrE+5EZhL4$FQv~t@* zIQ^Z`S=3Qbu>JW=Kp8C^3iD73nOW3dQQ=jnu8;6r@=|3%Re1GXGA#df5`Rbn~ zpKKl*;68rs)H>oi4;l#os2U9hkwY%}Aj-F9+tmn4vH2qxVR?Q&Pj)HL0f@Bu5>-Xw zMum@!P>Ar3(l~-0f;r9>I4Cs56TzRcv?F8@bRP*$OxMG`_+E%))cTTOab*k1nzAkm zkba*e^6hao4d^i4r?fTO#BlyAWT-FTVRt$HN@D-?kXC?0&rvtTo zkC%&@ZK{1*JGwc~>_6=X7k}W$4baQs?M+CR;xl(Q)*_u=NC%r=NgOfhX zk|tDP|2PuGjkkfFBfejd1O>4aft$sX0Nn0=Cwd0P6A}39bo@+1X{ni zF`nl$D7#V7>+~>xK&l~VGtjpBj0u7#-BCri*)sQ67sh{*QqX;S%O;|rZb9AMyDJ zGn@UD9^tBg-d2~4N{J0Am4HO|j1CT~G*b9l>r}E2#lMgjK~y;wot562pIi-W0KQQ_ z&wB;LGYEK@LZpOQjt#;(j{X5bjf!#OP)t4%_3EVWzhKx}#u*7I6jVhIQYqr)tanAC zn9PmFf7bA$zK^aVW;#YqW3f9508fY>Z+d7i?{T1iwC*tX#F`=gi6zDs9uZ45d~}1; zzgWjlLF+w3tIRgVbu9dmDgDuc|9d41VlxYEX^|Q0cdS=I2MtRhE;*9?OO-F8pU1Jjw9B}aDFl%A(wJ!zX(q3Hq$P}LP=VNI5yEB( z6L$xHruI6pib^nVki4z8>3HC*p(PBNOtV+Hz8n1tdQz9Ur`xs5$C?-|)(l&Ju(dUS z4Vw0&$(0Z=TiH*3s^_aehH_^AWz}*7V8;Y@vZCfJ8>D>R*NMV1F+z`iPQmw6%4Eg4 zV%z)w>oPTnc8r0aE~og~DZ(Zs`};X6Jz2tkYv)z9R)0=uJg_yXmATxx#TPv27Ig4q zJa@%g`TW*gXo2;R++|Vce0>F>urLaFqTy4O>1MZS|u`3%6Y2 zy%u-g+BbW`r|0wM^k%Me^4ImK7g(;XKqCLp$Eewz2IonE z%tvde|KWvkGW#G{(^9xaq*@F*iN5uJQ!13djq@pYcmRI)q9u?w_)St8l)K>#EA9vp zT1+L6qj+XGc!*a$iiAmo=CnCE0%pQJSBKP<-2`YQcrfPfB+)&l3}sJN1NUjtEHb7}ZdJq1n8tJH1ywy1i4rS?}^ zRWhcir?^W+YxU+MH6d{YUheK%tsOc^(Frx`)-QnZY=+C%WkzlQ&6VDi@py#A8WM;L>db|?6wUqOw+S!jTPhQ||Y`83(Wb&wcFg+u9O44IK z+_yth%D+LJF{i5(JP{l#Lh2TOh(8+SgK-sqbZH$cGElucVPv)jz}qL%8AHoiE?6cc zBAnOO(#?H0Y67XHM-m8h%N_-b$C#+0WxImmNvLKKY{t-6}PNwf=o|_+_N!-M0vsG>}zI!FAmXZ1DrKC zmZq61A1ui>=BYnpix`P z-!88-kvl~KIY0*uCl%R%73?y9hS4&s#fVs?kL+Ee zr6o*_2IHGR_Hd#)EKt*fsKDf$ms@-Q9YEs0RHTU$rI_d>5D0H|Uy7^!r-%Q?>6))~ zF2=ovC=C4qVJ=3qg{mq9sx?~ODC`_Fxp&$F!vcH>RRlZ%SS3#R$21W3cORyU$B(Rz zK$Pn3w_`p)ySQF|R)Mt(3UpPN_g`9voQ2r%gE<_T+e0iN#nLOTn!)e3R*|hh<<|!_ z6lWEos5U;Jc~uX>G}-;paWw*;jovdKz<;0rPukT;?zRwHydoGN^g%SJ_ndIZ23u>E zoB9_a$;eImDSj?pN?iDJy1)b z)bbyLOwt&Wtvl}H^dEXysVw+lf-rC!%4${L2IXwG65pPvLDyZfe-gCbAP zviFki9h~UJ=IiB(0S@68i}cxsF|ORzzKdsP0nExN>2Hu##cw>w=o$We_tLcGHIsmz zfyZ8yR^qgOq%K^s&CWs~9E`!RYBS_}Ee{1clo4uPpB7`8Y;(i?g9}dWeNmg_T`pV_ z5K&+|lVrJRrqKqCcMllYT7zplLf{k7!9vq)!vy79rJl^v1I8L@1QF}>aNQ$o?9R}1 z8hL*gNP|u1To#q&Mj6H@5~$z=pB1j{_cBxZ_8~NXTO`$V)eN#kFpA6wLgqw?!H3?D zuRy#DxQSpi7}b;0=o%`>SgCv6ctPbaEG584D#>hGA_Hsdv@~T@8*EmTnj7Eg0JaUV zIpRZ5`7sR&W~F^cVALoG;(Dc*B%Q;Py8FNO#^qN-x}xz~tu{Q>v-o%r)Gp7M2A;<3 z=zUv%)R^sN-EZVQlUz{AAyr)}G55@1enNo&Qb4W0^%jehK!CHC`jVCX+*g;cfjib_ zYH+}NW7w}dr|g5g0=Nim>qURI#RBvZjdZ>ORX9a(P5Y(a#@z`kp~E+t)1~-|gq%1T zcffKo1(`bD>Tpk{<$j}wLkB&hqL+1^z;QC%*+N8k()1; zhF=fsG#CxLV9YCdqQ^+B`fZqDjVB_egzM1`?8rQ%D)I;Hk+1BwuIVUyW~0EyLZpOH zr4tgUBU|=XBacPiTde=5h4rz*>4w0N#ni+=f30;jT)4>x9Be+MR1|j-&CbFH_OB0P zLD7HEu8e3BI@f07_sJ>TCHnvwR{hTWKS7t1`u^FIE&>&icz~WN_}!4Kx+-wB5P=k? zI!TxGZ7fhUXzOT`S2keCfjF8o9SaWCd7ar+BLZbT)l(KK`6h+jT2JF#wm_MTP9(j% zf5XwrL4BFX`GgA5;#)>r-o=zJt@%6fgvR7xLn$gw70dnqNvQ?1Z~BA_lt^e0jT;AR0x-#SlQq^viTe=!1o z_K|iZ#(&$ZEI%e_n_+xjv%f-$kZ|ET{of)DbQQfU&24+m3D9^zUS>=capX8k>e7tPS!K^1~5idi6E?-A@~rXVclSCN%k^J zC3Gr*m2gYi%3~Ev<FH2dmFFFJg&uHe~f3Gm2uqJ-^DY z-`4kJ*fFeRw^L{XN@bhdy?%E4juVHQET@KrvM8ILH6Q-^yvaQdA$yfhy>f)=#LT9l zjq44BRI7q$#xKq?evox;9 z$W2p$r;>QR>iCp=y&rpj9nc59!=CV&JN0Il=dH#>5QHdj5VbmjGbLLX+lPo6wJ{m~ z`oxmrCgx%tA2mN=wKX4Gp?Lq%_l2( z)UkCsK_uj|@C}vAO8|49e}YgTARmQl;P*+Y7+M0VxRbbd{VFLo-=Aw3NZl2mY1to% zjUVQo?0cock7H=eXkTNf6-M@(c-$EnHz&5kxM-snzKKGws^yj-utEBxqJ zpS<{IJ#+A%{Qk&U#V0JZ-PdvM&+h8+F=%7ZtER!zj_?7X3F|RpkPdkhUa<5UDSoxfB20!#KBe0!I(d~>kqU{ z3w~)iWY#pUb`tV3>_;JZo&9oys{q|Rscb)(B>23OHHeB3EeckcHH1X z?K+wk_P7h0e}Bv?(zC@UyMNl_9unNx5ga`zJer?7riQGo477YTgUT5x72ha6Ifpv_ zfEsCk1)`~Rw-&&OdljVJzw#DFTefYq7Sm&*e3S-WXw&BYc>Q<xTa-ipz z$xM`4xyO_A@QkIt)PO<%NG5d_5U zxz4n@5_9a)spGL!LH8*EWH^2jI9JON0tcdyf7A406SVV{(q6p!IM(X_a~tc`Xv-!7 z^v!~J7sNS971)D!Dl0y5M~J_1$gR0{GN^Px@B}R@Jrwjf>(F_zoC`1U?yd<{TRrtk zTE*8x=|foXKC7XwLwD|ZKQv_@yI;6cw*VN|MM7%%N=l3@S6?Wo(Dh!dsrYkd1Af10 ze=PQ6R0C;+klczm9ip@W!*#o))wJy^8H`=M13$t?C(bxv#xnbP`crSyu}%#~9>md! zdpIFv^&em9y7!^EA#3B5@IiZ9&$sXasXx~{4Gh$$^9dG4e5hd1j8|n9-!Bh`MNX|+ zsO_(=hpLec-%$l$vIC?o8ijXWS3+(ue~!WJQ5rzhw6M&NfhgtAu0wj2u%0yJzP`F? zdG;UuxUh!6QgKtg0=VvCx;*-ErcxNh=ynv+wV-@!Ele$B z;g#DWG?0uyq`iMQ*7o=jl9_9obk3HZiTS%rwZ-yc%sAEr?4G=@dcQ&0k3jc(e>)~1 z%ANjf1sKDJ5-g4v_OlQeAIa@8v+~X-OoY%6?Z=%TLjqkL#wMF9xq8JtOy(3Zc(D!P zc?lRY5xlG*cH#gEbz14>s&!1;r<5(FT3Z?>Y5LpIoFa(ahWFI2c_xcOzwL_yw?p~y zNH$I;f2YhbLHo2eM+d}*Xa!%~e}3~5n7mtfgC(+J%ls75IBBUScy9)Z@0kzng--}! zHh+gDePki&8I)E?5xoHQn;>UWm+JRi;m26|?)NB)0U#Kac_FCx!K05_YYxWVWn)5L z{@R5}i=hLrqE$XW(u3w!PZtRIEK&$p<)2dBbgN~uAZWAE z9sNjVj#l~5`s&>ji!?{k)|zB#riQ06ACE6;41_ZE@R zNl6Q++NVIHw%y&FAr07<7cE04yZCj-QN0v1vMZ2xU&J`BGtzru5A&?B(r zu5xmR6xGI~khV5&#hUd@d1Fnfc-!eeK2YB9@(Ze4!jF!d<8(J8f9hGVj!Y|CvkX7W zi=5M?IQHC3##P!GlK6#_CIn2J zI1K=gJ(`ASQ_bV>iufNaW>D-*ZUJ0zt+yOpf8+HR9Tnf978*o-N>@9@@qT&+dJju*e))gNahG4 zTAON#f28h?aB;*sebA$9?ivVd<`ZLITcB+S>^b!%JAhEkzDY2*c8peyGUsQeET8Mh zt?ngvRy=GR@4#^$KzLT^H+X&8J^q*1*EP1cS7yV_4Ud0uyzv%*&{C`~Xb8%%Ci*y{ zL}Y5N<-Zj^R{MlD#|&M-bro(kN?9BNjtzX;e+>h1i0Q}sfMh_X{T8O!kEk(A$;}>Z z$}lGpQ1@sGhk}s%3#hcH;fp}l4zo^q+Nw;2vu8O|Yi}?dd ze;D48ESn1bh>_FRPXfC_>J_j8*}ZS-Z2|ECYhLw8Jdo-9%n+db`=k1u8CJ#Vz7vUg zk{mpTxQ{kfPLT|sLnt4(mCM^}T&O*K_hn8xQ1>G zZb2sgHt~Ef@~Tye_^peCiIDA>86b0`UXZx)uI)6+$-m~$ap2P zRm~q@_`VCbf}@2Bor4=S@d=3M6js8KA0l|1{`&r0LRxDPoHySSofSI3aHydrYwtjT z?+jxn=GjHAmNlMBP}in0#8x0QohA!j-XfN~AER}hekLaNL?yy-uNQdCb81eJf6i;_ z)yy7LMCnUMv2}_FzwVw+edwN;asi;MJwvp57Op@-g{>0Zt1}85XW2aE!m+MHAo-gZ ziFphj;Ygf^rg+>^?OrOuQiO3~tPpJW-4cuRB3dQb6@ls%x^(IXzV=UcDYfV2MlhN` zV?B`&*F(yT>hIJ0vUBVl^CqH#f0w?$9wa1_W>-kuU5r&=VXwl6sKcx2pAuCr#}g`w zKQ_VX<59}9&et1MR53^*i^bpb*yDHfyy%Jv9=$dx*~r$Sc=KN{bc?wFRl*RK!xgmD|Lh9D>viC0MIyyu^(0>_%?QJ6UC&wx|HFApIAK>TWq*fX@q=YDSf0&n=3w)h-F9 z*wP#jUN~kGdxB2Rsk~}k@GMf^%y?fdaCtENE-4LUB!CHg;b{MXd7V7QjJwuE0xCmA zSy+*WsqP~5_o9}Qf6psoD1M#*$*%h+UgOFAp1a8;4Ur>*wQq9r+*Ew%MFNOs@)2il zC#JKi1b&I?hY3u!ce&#xLL2pC`R>2|?vxKkgXyM3>e@9JROcHS;Li1AOC}yXdH-9M zpdnIY$mMGqKYh%M>QBmiiONNI4@4n;vN=brF&4()#)|m`e?gBn1FcSKgWS`0GgGia z1^ODDF-~`Y_1LJLE9iD@25(xpEUz8up%?q1G~J(HyAB58jW#MjLc*7CM2R zkH8w9$O?h6X{1$^`t3^cD7Z90b6&eWgNf_YayT-DlBb~@lp*<h#HW0b0_X5|1^eIypyf_ z&~iRX$ImUjht^@-^rn-i#=FhLBi857FUX7#=gcNxf5NteGb*BN8Jbj(kj<<}8h=u# z8=JP1gMng|k(niFObW^X5asQ`R6Bu%L~EFcZx3o6BV^?!lc zH$Im~e?OO|@t>;9eJhm4u4Do0e&~B{K<6%PPByP9*73DK^3CXvqb@*KiCxd^@$sa~ ziwAprU8gG}Oybp)*Ezq9WN#v*B2WT318HlZAkl~*s@3mIA9vtE7xO5|yQ?iKsh0D> z@3VX|L59u4KI&2qHZI-ZO@iyxY{#D*QRXB*g& z&4^xo6IP^&IUMn_F!b0mVMFB5!OcSN`KpzGg!yd5JO~5=LKA^W1}J_|)d5-GvE?-? zqjU<)kN!_nW6%^)AM4D1vK&)PiH`8@AC$$oM`a{$pbXM;&jzhgZPPKB)3KgUiU_hw ze{APgn5qoe_QJ#0n>re~f7i5%MTNi`aXqgsdt9`?Dh4&cwXJkpQ zs~n1H@diqihlLE5U)2Du+EWVG5sDb?8m_?e7;y~!{;$W2kj(_Icg zu5+Y#w>8c^Dz!xog`L)P3_0-a%zz*^PtcV=HNyB}2yj-DYscPcux^99G+f?4e|
      d~x;OLw06qfc^Pon-sR#q2j(Nf3W=Gx3iqE z{XhaeRFzawsRR#_Vp5S7y8u0Ol{U6kT=2|_ce_I3N{`*4%IRC!FB;7WDQzb|)QpgW zaPw{oU$0Grd~HLMg?pv#$u4&4R`-r`Vb!u9;1ikX$?k5LdSbL3ygLO6>gx9eiA z!F@>qM2@T=2DQg(Au@>Bz+jth>FqAiU*J9pSnGDX z`#q!Me6im_q#CHg*)AqkmJPJ)J3xrHdwsSp0K`FK%}ck6LX);!|2lisHkkC<%|PG% zT1Z1~yLDye`ZHOBfAZ8@`7aRYu>rn!_6S_jZ5xcY;QXFouLZV=k`}Q+NN3mMzv$?b zH-IRGLb~A#svD3bwao)Wss_N%XA5+vKCR?fjpe|dLm z#?p*nF7cV+-sfEpd)D1g@hy_?dN+vgJLP!!9m*Z}7I2|-f9F%#JlOR*JhdaZ1LK6r zv5{efe+i9H-w^PtpA<>y&u+E3&7u3jW`NejKGM{+4pC~V(a}AWrByc?>yTMMTdy(K zmM7$Cmlc9xF^l!Y^wph{i~do(WRwobi&yqSFDKau{pSep{n))S#EJ)iZsrwEQST?X z65tC^Btmitf5q0@Xn~IT@B(4Fx{3Un+I~eWwhc9P@eQhivQXh-MDyA&Rt!v*NgM}> z!KjbKuw2C$9pG6ekI6&o$F}xzSzVBpMF(H?mp%DVgbWMwN<+n}`m^F)g!f)H;!MW$^|5T6>0Q@wJXfK%$1@T86GRa{$y!z60i>Vs=Tde= zvF=v*@a1;GQ>2^6PT_cBqsVqv?N;V@IX|$G`3ld!TBtgG%%oJN^ggiZI~;XWhWB=! zes0};e|;Abz#Lw<>wV#wyuc1g((8bl0e}m4HmhxG!|3~He8>`H zPA-ax?0><4$Uf-k7^??sGN1`cbG>%+JDDcke*trHKaC}akQhO8|mRRqgRrlbAQw*iacvZW5xd=WYv3l%~!tntx?XIk^H!$ ze~AU`BSnmAp&(p2P52X?0Sv2OD_J@Xx*_@q8J_+NdCsjvJ!fYJV=4k=8Iv|6hu&w( z1~o|MGBh&iy8Sdy3)Z~Lte~%4Qh5hz8JWn)qC*81TJxjCzol`0_^;c)Xu8F;p8yc+ zJ3i+r@rMayUYUhcXQL5`Z8<(-;Y6`JL3^HnhHl!{$w;}jy_3DsxRdpbdQm}cxC zjLr>?+l0zz#Tb)w;7%ZJw4C!G>$}Hml2uQ+oLQUA$=ScnZDKA7DwuF8Awo}NPPmd+ zDaf=PWCqGDhN%$En#{kD)nsa+sNjFX&}nbL7utX7=7*eU#Y_;I zIX8wf?=&3Dt6_sZBjgM3(B)Qjm>^K&7y^SFuuk3h5+|}>azRbyPT(KRfY&~?j zxSu;yC0dMqME1zIVz$^;L<6WdKbzr(T|w@O?e8L(49D~7N#4X|&uL;%v;NdJBWv$b z@w&x>pRg%BLwmM%}qOM|-YKV%Ju;>7*> zzmjoRmiuxaO7q5p$B7$SG$}i>#_Mvq8h7cSFfVr7jrwZ}d!qTB-QF7Q&E}S>_cnRf z(W?~D=SFtJbhzTa1!}o6SLJ|6VQNKF-;06b{mxG0AR%qz-00Q}e{<>ClydJH5K*E| z+<&FE(GZjXII6mqI&{-J%b+JZ(qhXs+xnAfugxMlbp`f`SnY46Me@Dw|A-*%fx-iw z8JdA_jlkaHKtM^Mnln5h4FPITY4S%-s8R008C6^4rJPU|F~t-p(i#F>Io+jTtstgI z`=|RQ;^Y-s!FjzCfBpfFikHO``)-uC>0KkDZ)dMmr9J%b?yLGe`>3w4*W*o3>4Bto zb_EbYR|ydmPGQWo)(3$oJ8S{_B-vrlFGZvAPJL}OG3$k}ZS?k}CjbEO>bEl-dXmpv zXum;cb#4?kvPs72RgHHCI=_~341z{~((IKZ4f(+kiS@MTe^6ng>2yc@tlmvoWOcDU z6joq|Gm(l!SXVfog@&?bX2(`O9o?+RiBxt-cU57B>z7aCf1@%KV||3vj4>wpGk?Waih3`o z77tW%9ooaBS4!1$AAPpKcd@|u@=RGAL~F=#4PkZ7OZ&}rkveG9Q}#QYa--wlXImJ_ zlqM-k(Yj%h#`B!mFxNgdk9@TIiL|_$h)K`sBYP=TcIrSTV71+LNaU)DAc^DL#wLE2 zp%Lm^e+BB4uq}8Fvc#MT>CxQAVCHT97hm`bvrcpN=VZ)h4ejJk6e_w;$!>0*#@mL^ zj5gx7w8}7LzWwk~yoJKaL20*eaG#FHTUayoGTi~(Wf6L6b65!POF`3)_Iw-af~nwT zgRMW^=}@L)IMm)uuL_m8^^<5jzp+E|Mq$_Ke?xbjVKPFWn}N0Z&cctqJ&CrX$>IOn z##l+k{<3f&#Z{sI&G;!sNN;C(15+X2PLi+NsO#}oi%j;8u98JmZ0Dx5AjT&eEp(+a zfe@Goz?M5YpiL9}!T3FnvM>x|!FTrad0ndfieDI2u=>?#MA$5H^--eBFbh4{kuxcr ze})?I8s2tyGy7d3l^(3!8eqf-B7dI1|J~O-U{u`o$>%z*l$&t%gSh%hRBgcLQyl>m zyq#Eo(O^6@Hor>B*(SmgoS2=-WGhS|`^XYWLG^hP|0lVwPvWDdl)jgA-oay@I1Yk( zI$M^n&Ylisni0R^5DqwI-Soc-;__;D`dX|ev#Gq~ z65ptMjtA%SVaC&5rnR>V;dm2hrb4q~qwzH!!?SfQCV!FMyjJ1{KYI(br^dTDe|&M$ zs_dEreg$`2s-G{5xt_I1He_1t_f4_4W0c7)0vvRuk|0kXR zN2EhtJu$mLdF(wDY@1n?e=i{|xMzu{PZ5DFwPmrMf3)Z%=U?)sovX9jPl3U`BIjq` z4ei<4Z@_ZXzh_ib)mBwpGS5`z;+tT z7CN@xM*&6yf>mEmstB6#U8K!6nqdBfkfq*XA|37uw2=Hrx?@=He?deMNpsCJ31MN}dg+*COf1H~Jso+edb^Q?{ zJhbuU#zSY&wL&@wGwphdt0nM<5~6P{X!m?*fmqF@oEwKP)t=+2e^tE3NhPaHKljEQ z1CxKghwORFJv>@he-RNEn-Xai6y~$9(XyVHO_9HYZ5Y2E9YiOR-i;ZbpXgI-3&rxF zyZuBGUFjHR{l)GybMwq`0z!=e@`HY+TnE^WNHt|Lb5GB=A@QEAtkkL9BB=pR>nvh) zsRwAw0ZCXcf0a!p+nxt=Bv;@#wgeIS zuxwG_P%8qb)=r4{JvvTAqHA}ESmWBahU1$y zTBcX*CEL~yZk)F;1M{^Ozjvtdpp52C(e zPH{t^e|G#S*O}Xh5I>*|B}wo3jigLp7sR4Xw?x_v1>kbNmaF=7nJ0q*tjO~mT8R&0 ze(-4#>D|Xh`9st&XgZrX;g_H>R&U`4fs09O?_7By#{fm3kT|*8i?6`EBgrd|DbB%h zRf(%^WAeW#Ahfw0G(9dY9S~}KJC=?DW6MlEf9oBsZOm9nf-4(+Vbac0pfDm~*tSN3 zh;OA0iFJ*Tj45_DcJtzlcD~Ot0Uw?PkK~W?88e|)q%HB3OW~-d`IKfDq@IY7dux1h zqKnW)zYTx&{(2kI{qneNj;JH?A8EaIKC5%M`j}D?*Vu4#Oj_aDvXxCImM)ysNtRl8 zfAdVf%DJ^!*av%RS`Fz4@wuUxc6D~Z$zW%^8rOkNy%&JW9ng`Yf3ra$5P@z4D6^Q!-HhWGT>uV?rN;8G8MYvX^p`84Zl^$YWx;5X@jWDF zv7Ng`RFX%tHP61gRCTTUQtpp$aSG#Q$TQ6|V(8H>tfv{vo0j;fBHR4zf4T2PcM0U0 z9>rsTmpyE3m1OB@|I(!fLi82eZl<_kU~tVODXruy4R7j%z+|*ZkZ;foHr`1yk;0Ob z)@{yp8Zr=u_!)AkM!RaV(EUQ|oow4z=)F$4pXpqrPtQU*T#gL^1MB2CIkOy(e2uTP zG0k0PI5ck-jrvKe+P~ame^~zZ2}-Cw7gk6_l#&uBT)l3(6n?ir(&ntec`%t8?6cuA z9~DM-$}Kb3II=rYud=^Zx*Ea;)+l_BcKM%f8*_|WkuVk-01H= zW>v=-OkbMDREyQ*39*UCg-i?HT<*zXvMsw?Yw9% zV@GNekr&|6TFStC*~W=}?Dw->WZQw2Jk*WC#ps}DN^j6!e`z?tE>Mj}T;$Panxv}) z+%j_hZ}LAG$a~KXfxQ>DNzf{_eRM**w|Rsy+2g(kyv_|~ic0Zwxn6CoAmZL^IC2ZT z*)STGeO48P=jTqh6M!5Jad<4_^$iG&rwJJznug<4;EXaw_^Vb0d@xv8(N+Cl@U-a` zKp`%ER*_bAf2)P^Gow4IE=uPP;BLj)Vg`^fT-`j%mH;r7Y-b^vXaB}PPVu6Hib? z9U@I4Ge}_Qqa*HF0%pm#9os^%e0j9Um6RgOWw&F%k;pPZu#T@94 z&Pw9?1ZfmGAVdI98t0>hc^#N(gNB70cW4gQYF{TBpK8owD(-~$UID! zBET5>b5N|F{^^UwgUJv z>)|jZc~ul(XzEL7wfN5?t%4#V=w_Z;t5PL2AaiXIa@BU(Qv1x(RuQ;<|gb zngK}0ZERjHr9cHqT{}P6P({hp^*3!woA*i#0sio&6~$6h3H{?)y_J|7zKmjM)_L|N zfAdavG%jbw8~s+4K$$if|4u?+yr)m<$gn>_LL^{*t@LaZGUz@bfk^4V#wCfV=aMa? zx#5C)sp(mB%g+QhC#*Y?W*u)gKEz9aH-NxGcqeyLE&RcHJXDQ)b8YggnYVbV^K?^C z3o=54BFzaMI!PDiu3yuzqy>U?e+~rre?K+8xuD5V?G4b^@@vAII#7H{ugQ;eF zxz5dU!(CLled?@4Y!^|b<=YYNOV}BD+dY1UAV0)8xj3v&J}+y(E~)0%oR4Oq>$7&l z!=C<>r9I{k7gvW78;3b>x`q>kumMnO!$gC<_f7?HB&bSG03<>DnBrj#st&>2f7jxS zfYb|Uvv19~;eBrJ>Xp!G8Q{@gVWoC3s~FTd(5?qf-R2Sc$mrX9Jw_lSrIv@7H>{VZ zrZ-5>*Kps_G(I<6tRhE22Um6O{#Y9<1oK=DpHie(L5xY`^(Np^2-}}r%mFuN%*BBa z*X}iMDQ-b@X$8F<@%FoLj0oYse3-`=o8Wa9R6w{-%-eM0e@BHtQj8qG zVBGKzlNyXV&`H0L-oY8?Q*c^h`DAlmH%hpVWG64CxjL zZy8%ZzTP_?E0brkPC|&YrezS6@1=5QuPdXJQPfS#@3_&^9YPMhd?-*%Zqi|Z_)!pL z$!57QgOAF|^j6S>o?G`Fe^X1^za)f7mZkKr7ug;JH`8_RUIZ2MSkD07V+S!(NqflB zo$t1zv*@gL>B5i`FBZh^#lcljjS~(^-c>Co%{SY03zZs-rNY3i_f(D)Xv5YMowXFAnA2yXm+0HE=A5G38BjzaAq>?D7W~X z;WQ^d27lTMw&BpBv(j*&cSnn>DYL_Vq~+1SF&v2K6lJ_N8|uMfQjo+HLzym#t^q3P zV)HneQ_SD6n(|k|e_PWv?1C6V(r(|Q`ti?EU?Bt!z%;Q+r6zsoBaQLB@!AJA-M50D zU^(LW$YVx{iLb7Z_pX3NUI&m$1GGlpdV4oQ$(^qbkK?!7p<8xVwJjc3^T1T?Wb?|I zIHg>I-h{-XtRqB2d6U3|QyfPOYCTE9SyRK4(D2I`V#}tfUL7x3?NhqxvdhP~%bW9e zs)lIymhC;;M3-YK=7^T3)yIKXZ4mlYc%e;5{|1^P0+sjaNCA&~%RC@g`Ia2yOnLsd zm>_yuQJmNbd6s|9t<*j?Q>GjgtibjDs}*RM;TIMae>7z<(I()gdjD355O$!Z3TezJ za&GFDlyWDo56JKn5mxLI7$SNiNr3q6opHx|qCI{=`A$<(4*=wq#!G1|J_s6t;YXLJ zTp1B^GpvH1;$!X4Y9@)Ts?5HvN5sX z=>@JF`KC=TlRynm)to9!6ZCt!dB;z(pfZo6LYSFC4r|}rIAiOi*LMV<$EccIHGi`! z-2Tf}#!wlRJT8mcDR-J&Zp(QC4~c@#IFF;Ef2)qUUtql85GL5Na)euH#?o{%j3~U# ziH{MVV=Tb6@K$Pz4mdj{3JyU42!1{php`Jc@*X10;Hu;7<0Tk%iURGST zuo7XcK!5Veh18b;H*q%Og6gDQ&)Z?TYJNq^1jvH4^7nrRlCkeVr>({BA>92Hr;S4J zf6Ei|h8UIHLCNE#d8lHC`?|~9*y2;SUuSMoLM?vF$Lim-CoHt|Y1jCH%_kl0y*?FX zjq_&Wm6^kXqwm0-Oh95)nG@T9rao~pT3bOe@pgtgmhrGK=rRE#@Dy3;4oCe?{`{#b2oG5tD~7KJ(5JKo?< zIP3*Ks)BXav2fXXCu40)azDWc1Grr{R2niFpwm48QU68%2Wqb6z+ov-`cg0Xe-jgb zB*J#uJmw8}W6$SYADueiID`xjT?>zti)m=;(SQ@V)ReKuiOeciT;?SAxTm7=?o_lQ zG<5z~T+U#+b4`fA!TOtGcmaju<$n5!HVKO%U#TgW|K zvxjt-hI+Iid!zy8K#7o^hG5Y=-vh9^>#p0iTJ77-O!ca!{hip`27o4Wf6?8)$bBT` z?lw9&i2YyA=vgo8iyj-?pM1TILT;wImSy|9AvJi{p=B0vI^A7k?szcko;N8*%J$TW z?$_|)whA`oxR#wb5>Rz4Fz$bBMsG+)!lMi_nr@Q)8;iln-vf6M%WH&nrle&lo!IfkLqeW`6Q3s#^WNb*wgQlp}NUuq}h z3>6$YU~{bu3nZhd_Ad^-`0K^b;w80P%>cn#uISFg-~=r)9;UzI!p*b)VndJ~2Hz6s zu0gGv-Yb2|^%hY#I@t?JCF$3%Cc)v@d=-~Wa-M=(#NT`OrfRP(pg~xj%fntqhRE`Vn;OiLyRzbmDE9su4 zwP006EApjwpw%s;DaBN1Qacu_rN0t)!68=$j2kr+EnGRhY|AR)z3KP1PD#QtfL_|| z{CFhDWX`B9#Ol6O9y-rP<0+KFP`1em;`+~Csq(fK%R4yUe{?Rv$;U^~&5eo2dRJ z?~vlRw_qISh9UEpQ2owc35BRbW|OUNOREr`pB_G#$5b*Gia9<*5M@Hbkj}Bf$l-NE z{g|;X>|JScf2bEu3g?HO7b58P>2kgEBX`}187-|4y}tiDA7d&#?S1GBw|gr>q|A&h z4{%&ERbJU5C!Gcmv0DS&Ft*B65*nFt0u^f3b664i@^uIa1xs3oz54&M713|up4GSs z6t#EXRJN4fQBWfe?)<8v3=Rp0wN;?`CvB2UL=Ya7e}r`d|5=vZtsqHUoSQi$k+>A} zdLJ<=S0P~ClSOhO#|J4%X!gq_inq};^9^MdjeoC*9GL;o-;LsDbIq($dfV|}VXN>Z ztTyT5OtlL8!e0Ak%aS%ijbRfgNU@abROhnnT{v^f+(A&9q!aVx%(az>`*c8@1ZrI7 zUd!kkw+g{r@_KJEH{*#iivy{g#k^Ez0# zO|ji}C}(`O<}|xvpF#GGT47`s)u5REyZ0_HIk$YJT!pG9<)9zfnkJ=0<}?UDM<0}+ z!iHcpwMNd}W=BEf_Vi^OWt-9LDCLDX`h;+ zEjjLaZp}9^;lw|;Vpe*{Ab(~S(Yg@iQTl7`T2GOGjBC*y`Nz^UN|CbW0 zAj2-9#fw!zG!cTL&`7%B%ibF@et3*>weR7%=TuiDxYLDY!_28Q?V0QRBKZURa+|tW ze}yeGQXRR>UyXngfDLU1JW$14@UdD-yzNWQ!NZyRCHcnp4t@XA)&w2S#6)oxu2>0B z-8<6&G`EgnF7% zt>fe14MFZXk~MKN4DiCg^Wl2COd+ZVe|!43h98XI;Ahn0r7O=R{%qTWf8&{_1zFV* zjeZ$0axzVi1?8i@zKL7ZU!;*Zfd_ZVXEdWNiPu+#;G9eo)G5tL{frL zjltJqSqk<{XpX@}Z0NOX=Qfa#jl#k>3g@y+x(55SfO6Bve-bxMe;Ky#ae&b;cO3Be z0IK|pVR>=8)4eHyPbus=mSzU#fAe)_wm4V#lAi+cqk#~N>7hqU4($pz`i_}T>eoBp z_2EVs1p1L8H$w_P2__4V!^Vmm4ub6Zg1`s^WG;q1)Nso!PJ5r>U1Sl?1KGmFH%f9XdJg1X@7 z>Za%XyiX04i5(n9l%NtsjP6-F`Y49GJ3mI=C(FxU5AFrGtOSM51+r4jr~vNPiEeGR zh8SG0k+j7rnn^D<6gH@s9OLe%`~`&o7C`C0NS&|KCh-w>9+?Zcxk#l&8d1?{Lq&@f z*lb0{z^5J;&1&7h#iwB0f3HCUs{*4mx9KPqdlh#Q^QS&D4rgjcJ=1LW;^kPXUgSJB zg{x*V-(xPUkClm|c3cfiqi#P#;m!nutj)LX zMfg2`B9-kHR3rngA_4}jplvYWx}Rgs-sFwc#Ms{1IxgC&fY1R^2VBCm!^${;S9Z&! zqhPJ_6y9i$?dhc0fA$?spaY1nAZqGcFg2lK+RePGe&bRLc6G4uDBx3{KImE4H1top zhYni;2@@*|Z8Yn0oyXO>X8sF|4v!#M;~V?c;4|`!2hBHq=GWls{%~VH6_q3bV3({c zafj(G!gy1L;$oUVH7)7%*<1a_OY#YEUJik?7JV>pk`H?}fA}WLnOsgv80}QBD-8#t zgF<6}_K<q@dpDR4e9gK0b~&BU6Fp@`V9(7$21+&ZGN~R3CUjY3&4OmmXq1 z{Pz56saP2x{=IHPfbdMzIM~^iPpYynyZQE_7=2;(ztdYBhk# z^&;eNzaJN%yMEISA{O7AmuvIY!wKEyIS@6Vnv5_AZKIa3mVZMC^1|GsT%ZG8zHHBl zZ8GTr^{*`rw9IOZR%{ANyN1z24%YA1#VFI56H}2i#a=2yA2L;u-I2loCYAXqrlo31 z!)`r&vmIK7*);2~%K-A`f}d;{P#2rEJY6SqBOIIFIc>!TzluwSP9zOh;lS&`o&5!s zbVgun^!LP0lz-UQNPW)2q5xXp4ir6y@i;dPq-IEi$PFKSa?yFfPr^i%GXI~Uq7geJ z^Z<-IUZDIR^UhONs&Yo$sFaB^`1^Z{jlvR5U<2uL|Hyl5RXrnh*3AqfzT!VM-_;no zu}%h^jGi9ohw>0T=NJvW7zOmQfWHXLiDyPizR<_mbALm2w)N!Dk9mXmR%N?(23fcN zmi-AsE^b0&k!c9Lm)K?PDg9Z|sV7UOa;FlBEHnLnm zPBKZ)i!mV}oia$~%Z$QEa!PgC?VkiFtlTXV zHJk`)F)NA@RpNta#tRA~<=E3v_3g1*w1?yNW(+8P3vJ|wNGu#gk5NTQuu zntuWK(qTb9r?2d*xnJ(6f}t>&lS-XRT=f50QWc+~>P6Z4L8w`iQr2RRO|Q0QDwOsK z$6=J(8=k}Q5;?m$<{9D^==^}z_4k&Zh$EapYT~57pBu-g6~Nof$P^Rz{gT|jLb9`|2#8`6J9nmi zI8T<$u-n0=WJdFfU~5lGe?KeCcO&4-9ArtuHOS;{oau90?aCreDniDdOsG2y!&SKDA9$PQ*gNtGPy$5{AcxVjMyzcC{QxE&9tN>X58U+>pcC9uNr|9|5? zS3tFfk=#3UONA*@2pO=9a|5hDj_(TtG6Qucj=+c&Yqf0#*9QT_u5odQ12v9qD^`h$ z6YeL6+e;v#q=zEHneRq3{1qCJWQ$X@bLJ;U3Mk|#%Yve~BNaiIf3niW83a{+6-HMTu-Oy^ShNPpXuDjDdp z282C{hu@gax+t|!Y=HFnW(pgVJ^Bl2Iy^m^qX%}7Fc$5nd;?oocnHb-=>N|Z6%bjg z@DBam$T=k0%+{gWDVOE*W6twPn+@;_v++%gHi14QH!5COfP1;+Lqmd|KORGbL61Zd zLY(5H^UF2g4cyTuf}!Q#5PzKPB{N!E$W+JCjopER$YpN=FkX;@X%(!VO~Ng^_j%&m#*=beMZ=#u8p1M^oTksX>W z%g*L#cS+Wj=0+oC_tV9XS>_JMWN7te!++bTe}veuN&J88ou=5c<$w3HJXM7=FWV2v z#Gox+)2~XTjC|}ekDm}EOfJEt4eIQ#i3m{93FO-~oPTlVl4SDC+!^yudx8m-B;0WQ zMrC>-<)@&|^LYWuH#7a_aE@{aCGI3$3LU(w>(7u}O?-Z&ZrsCv8(>cpzt!-1dy&`c zq5Ju)4et_5i|JZ9T7RhSgRRb*Z@&D`-z*$D89@Z$4ROqq-m%!cs&VaguR@dMj}7}x zG1}cs@nZ9Vfb=(dMDj$-XH9MKhFn0Uv}}=e0GncWb2b{7^8W4?=OfR5*E8lJJYCBr zGOW{E0e?nlVqmjP%Tgbe@Wcmr z-Pp+2UgiF3kLIlDLEruT{b{HZ`Qe`{tt}6z#32>o)LY*k4R^*`@v{Y^+Vy3{fp@}% z6YMkl7g}CJ>qK$`wozTQU~=g_jS0qlhx_tZi$8nrt}B0om6`~H#ENn|r1t1zilH9| z34mfO=TIL5M1OUZ2C$22?ukDRnABt}a!*g$d_6K}3`6PkameyQ?Lt0OhwN7W3=T>C&jC zpYiF7of7BH*Q6xP;e}_-p9*W1?o3kqSOUXBSMW sGR*!$~*-QkcY!=&eP->rwP~ zT2Q{LvA;d5;E);vj!l)}jZ9Xow}A4{U8Tg^aQ+8RoWIupBhojn#6J@jl*#$=29kzg z6n|BYxA08ecD!p6|043P!1lm7sW*X%LxJoa;#Xq!pbj80NL{V)Isb4~m*8WJ-n|pq zDV`t}mcTiC#CN|Y?U$f~n;)sKhzsctpfgZBAU8sR_1-pWbD+`^IEdxofD5Ri)N0w@ ztsx8JsDI#|tV^7mV`7`;3=fv}FdK!D6Muhv)!}P?Zaq`(Xq%6GsJZA6R&tXx%(*n3@tahmEDYgd&K0OqWuON}xLf5UM1`SIvhzc3m578GJ5wh_R2RF=pb1gk z5lg9J73zNIGRt~Z_&lBqjzO9n64)ufI2ficU51yP2Giba<)+uOULB`SnmM5_!j?Rn zcNo{70&6!4di~RwgMVVVT2q$8;N+)=?btFqIz%bNq-&PR4V@MD31u4wp}P7=ewQ~{ zT?RN*Z~an#R!f5J%G8^a?SShK6mjrKXCSWqkw=hOku_x^*^_mcq&}}7o$z6SmeH!a zg|vvPq1TIEkYY2b-0k{?INt|-3ljsMYAmHw26RqB;oWvfQhyV4I{xOSC9~ZjQ36?H zQ>VoX5`V|i)x)Tz-Bzw$yn_uCxv(o}$-*xHaJ(Q&{J^>8_f7OzJJqST@qjgedcnk# zaX+n^P@tPmGB=+aNzH<@kj`Op))$D7B;~p3yMu{aw>tCzSRtl#e=SZ=*ZO~z2Ko%n z+EQ%1l$CZ%9Dfsru;{ntM7^kazl9?EZqg_+%2Ey&6XuRMi|A@yfqu@FrFU%(|N1l; zJb&#kDa-eva=+SnliBX4rs55&#~P=kRL3P?T^L7`7$v%N>QyeeQg&-kOMEO?6e=h< zpDBD){UOn7E|?Z(&+-=mAl`3&1~>v?OwB4YrT)IH!+(8B7`Ea*7U{5%@u(|a`900- zkjogoPG3TA`z9{I)=Wy&5E=#TOyq1*r}{E~3B^-A;{UsIq0XBuw5SK<+}<~pWO*EK zuUtIK9xH0{Ax#=-+i=N02Z7ooGX~IbdMX37neGj>LolocR{(>tO!rJV4IuyxjBucM&ZIF1a#erYe|QjE{bpF51*SXXt<0Z%YSL7{9p{X4%WN?ILOKzdpHip8$bdPsDD4z zB}|o5akd<#7y|ZN9|2y=3K}}&Skqc)2Ej56>G7TfB$}QbhxDDqti|vVU~BjxjY<7$ z?(obWSaF&CY7bx;E;R-AndsgwY)PfXsD88_Q<3)x>JN@NQNIMOhy^lzX<*Pm%Qor(?o89*p zStdKJX`p%Up>XS@|Ll7i_l@sv@wb(b<(RE>?eLlj!E$$Hx^)72ftI68F| znK$?U<6Oq3P!nk*hrAl`h1rlw;2=S73-y=H2n70>uiwY}N3hVHvAAxKAAgxnB$0oX z)2&}QUxwK%p3i&zss&BKV(tivRsoI3wlH8(e1<>_w>WLuus4QDTSnz*P%8c~1ZkHG z$XW$N4&3gT6X7)%nPEJO=e9D$cEK`mX=hS?P;aNL5d)q`GJ>%jONh(jI*psvu=U&K zI_-Hxq3&PeG($=a3Z&HNYk#zH#^y*CqyEL6R>N9^H62;) zt_g)hbgT=&YaF6+lkz`DD-%bV27M%5(kMHhF7?FwKmNu z!{&W3F36X-94ACW<(T_+3wDboZ`txS*tV8rXoIs`sYZNUm3e4MXQ6FPy zA&)ngEfRc7!aDkN-rTOrF}S%37!e7(c--Su+pB0G{}Yv(!*Wh+RN6~n!Cf3IpGI|RB;QPc=H z$Rr;R!EN@2PAPB^vG7h~h_gw>U}c9MNDPDXo2#)1^swh&*qMi*zESQ2vFfel%$;d9 zb{9fLj6V_H>L+pF!+!;u?fYF?ZBkxzIYt~(arU3}j>&YkLw_0_7}?b9Z9J;CRd;yi znW!2!s(g!5r#44LgqZS-I`Bf#YYKlOXM?cPmyD9MDLp7?1dX%VfPHp83-L*eeuZeQT9a93ir z;%sbU2dTLruYccq?8~?PllTbfKc6ur8BD-+u<$!x;zgiI{VEKI@TCdU(cVD0v&!DL zeo3{ZE6I2?xBp{F6=LKAWrR}NJ6md6C$!@qbK+*{omYu(_JGm?PzqDpUP%LxWFpjk zTYROz(i0(oUs$7p2whDdM>|bkcdV$UBQ=qZ%X7LnhkqWR-y~q*ANdg&hM+K!8SOY! zw|f$)TYB;epf7iHLdW|ubL1Bql)l5JbD5p(Jid0Njfw>lL#%OZ9waMhy=nPUjjG4w zZiOb&3yWCt*4nUXpW<%et&J&V_0x9O@v3K{n~dkEgaMc%dQRNtR4|iOvlY%#-z%c* zuDjtwLw^$>%n0=>1}cfojo9-Bguf(HA;R1+=-+cV^4|(cg&=;kCbX0UfMAFsmg9v$ z2S#Ig?;>x2hPkWDPO};dFJbJBoamJ!}wqk_V<}AoduLt!2*oHKOFYm z%1KEACLk?)%h54e0^xYq+)^-2hn11|B&Dg<5ojXm^rjcrht9$BBBSYl= z6n`s(;NQ2}(|$@&5H)<>4?qXy_=eW_&)^}*tCFnHJH-|x>^tRYQ@I8~kS^~;x{cbB z`03{YF-Ad;9SGUN-ietK+ExE~&y*)44^rV_ae-iu{R{v9%R*#Lg|pqzf5wLl`F-OK zJzEpx#rwovp!Sg3u0pe->wkDLBWeCNUw^&;h3?ST>qVpp^StmU%(&%HHHT0!1lS_a z=uLg2pu{Zss9J&&*m(!b&;O<%r1_=wI7?}j9)s~-X;rU!87Wp@||VWtmqMST%S#5%MuA_W>``4ZAnmn^&V8;Ce z(;(w>n_nkHNbt!D|AIKb-IEPsX7q~5z_vnTZ4Jasf$>&AuZkbWe>KI@F2z4a6Q z`(Rpnm)u?w>4=@f>*-f&TTk?->Y`WV?d!o|1_h?SIve5stgBx5sTiW7REjJ1^Xz=y zYSmv4-YHYAjLQxoiRlw=ZHrY-W1e?yvTI?_y7FIDk#{MGVxRfkpEnXRVSnUJTwy&E zClgh;$c&2Jomn@ImYFY_;Vkivkm7_PIZwT1GnbRv0SHVfV#iVhz1)Jy6*RFNQ2!4J zQawzyD&o?Hmw9+0sN33c!=x1jJFeP=Kd0lAq|wX1pFs;748UXc@)#Crm&t_`O3qv6 zk^*J(P0@sSz zsAykv%{me%<2+VF86xG|xitc>oisvC`F&nS*%X(HZ~!uTe|!R27{uT$i=WbUoc9mfI7`L(w}*xE`cZ z9OB!MXi+1AzJDUk*ot5_`8gA*6ckoqbMyjUXUwGm{|`(?)05p`U6eg8MeCh5Ke(_~ zM$X_#Gx6n}<3U7NkY!$qpWJxba>)L+yR4fy8H{zp%VQ21CWdI&{KIR+Ty%#Z+sl0U ziF|Inr2SHAuTH}YEN118ORVh?f7=15d12jV3@ZB|=YLnc^PN7-)eX;l&>@nGr3-%t zx#&@%G@>9E5cIwe{X|X60v^+1Y3+`k1J2sg&W6i`=Loj{N=PW|t(KS^ ze1`W0Px{pwh*&C{O zN!+*OPD8bTC&3*9rX(|a?9h1-SF?`A3An0FQ9wC6rNH0fMAJ{2stU=I?HA8x{7NS@ zH@H|u|6Y&+8@5meti*inzhbS>meuLtB~BNa3D=HJq&>S?Nu_eXGOBmQk19!aoT5lNnBsui2K@W345t;hDF&u ztACA_%C2Qlcku4_?q9YMH8tX&&=?7K%biEfm{v#mEpOPWw=ZPfQ^$Gy+Fe|)&8h^u6+-$9U zP=pwBTZNh}Y!yD&AHaVtx%(65|$YS|HrP{wsOrd{?_w1 z$u)q_99|7$u$F^6txL8l;CMh1`X;cU8KX_mg9(~Jj1m0~w#kHotQyTvWP~r5s8LP-;e3 zm{e{e_mV2QaTccs)q5hbUa;Int~QNn!DanrzLar~P!;$_t2gc_Mv_t|$s~Ddb7KgE zPtJWeoYm)7Wdg5xr#XHl8^7Tnp^`4>v|rVJ9#_!mg9b|szTDB^?0*JF6LqQST9$h= zD!zGiMC#G!Esyz4LE2T6@ITQ?de;E81xIq$i{TdR<2`7Hpru%5{bB_HYB~|h*g+6d zfQ?$r?wH)T*r@G8B#>Ale~@|A|8`Uuk7Y2s4loF313KLq-IS>FmK)rWIw<+YeLEy+ z%ntSDtDEsh$-kEMD}Of-NbGh?@1x^ts1Z?flhE}Je53~4TZLMqu+VbulCpEust=Ge zzW00~kyAPp{c-uamxE5iP*CcnCdUZU5gMlyBn9^{8{XE&=CzO)_PUP(Uj#-?MChjA z-${Qd@RBHd+E@cH{(4X!2oU_*wC`9@+@|w$u4WJwlIPM6 z?|cYUuN7aw-t0gh5U*6}wSixhZu^+O&jH zs+q1jlupX@Pc-IHW76w3v^PtJO*Xf%hynYR*XI!1u{#TMGl<}7Us;v6SbO!8s#zX5 z|9rHYt9zrBn8kyxr80+p+lBGq2iKFeisp` zgJX}6e@T!>fKes+6(!37O)U!L9M+sQNC_bx_MW)N%ra4vMj+lM7tY#XXv|3(9EDE9 zCd(B1-G6rM^Yy6jCHbGb>5Dld*G5JnGTVCTi5hv^j6fFYBP68ggB-%k^E>}RH|ofS zSjQb(2w%BK$%DHy*ipW?z4CO5e<=}btwvsv4fQQddvCH`S~q8iKR%^K-DP>c%#5)! zyg0f?TO1l~>vEJdO#d@@{538%_HgZ@eSpTGRDb#r`jX;=DhZ}R&$@5Ky*nE1OgO{j z@5zMXofP=@(0#2ocjyL_)AVZIM68wrBQAi4vUE7O(G3#=-&-LvA0l|Nqew;yWO%~X z_*`YPEH;((;Bn5pH?TUrmt8ry-+GI!;5Q7V=mpepJ`_So2>pZz$Qfq1GiK{h_&@V` zZhzU#n`m8G4JQftFSU~S%`C;E34O2Nau_o=Z(ayYF*@_2+yR%WhFKrygD>5)LZJ?O zL{4r$wQ5jUP#sM2yQ7KCNki`Wg_6%pVkh1Vlyd%qA$YGu!U~Y`)QNk;>#YCE*DY5; zjk2FGp3Fhta`+VE_EmduaxvWAhy}+rHh+q6Lga=Ed8i#J2L6=)&Te<5bFgiOM(#FW z?rT-3muRQZ`t__r*JBFdA?P+Cct{#T?GE~0Ny^RrtgmxP#Uzd2L4D9B$7mRIo zA4vAA+FyPShOnwh3mkoZ!JD}1cyS>`7sejvH?wWt) z6L4ghg-B%Jn{M0Lc17pYNd)_9d7{3*(t~ zA0s{VTN{pE#u6|iREU_+UBsXWM~_c4hK0Z62QxcZ)Q+wVfdjy@kbjJ^Zs+4jxjp@) zso4e1VSJYB?qq*?HM@KQBDxvzM^mD`is-&O_HXznXQzU74PKKre!}3Vv%Inum_WxkD{amtZ_;I!J1pr>2gv zC4rSL9UyD$OZKiuMOh-9Z^^435PF&OeY-cl2^4)WhND>)j1Sgllo0Wu@S>ohSt&T! zr>FoLo3sW05O-ipNPced1KJ^_Hay6g)b=ce2-}7fmsDC=mb02yl2irN_ z)|=Ac@py01_ZCi2XqvDR=ZPQfmTsAf=hs$^1Bf=Jo!e-x&+vpLsohaPGy)QxE31#<2p#$S=|@)p$|gB!ZCqKn z5gLX|oPW1-iV}(SRF6DG)?Uyka`E6&t*CN%iN?0jws_Iff(QSJA-g#~Ao5i__2FJf zmMw2WIUJWQZoabvvy>l2S5|gm`C)RtAuQzE6VlWN;IvJA(dO}~q+xz8r+@@&*{JM) zLAF=#P(!F$LbTnvU9o!$_ZC;uQskXFwz^g+q<>ezdJM(?wjn-_2%mNH{2eI9zW#*G z$x|>T^QwJmt!fIN9{4=a-Yx!M`-%QRNZ=Cu3`}FqW%ef_}*~`iLxU zXnztzsopr?Z#kc0z_ zatTZKA#K#cBr`peu64#QQV2+D*Ma%X9)FX2BOD_(GlWu$VmlRU57w#OUQTZnC$2HQ zZ|f*u)IX1r9Fqpdyl9o@|A|q#0`tw6Zc(m2bVY)OnZprI-Qb_WDwB4_Z8GmilF@~c zj>DAiH&uYX8=I#MSy$6JS=FN7Pd6k#)$SjVAI!IN`Sf5>1~jG5~m;`^3R4IJ?#!>Y3_cFaOoj zIBF-%Ys9$kNg60aj=~ewAcpaQx<2peWJtQ1pkQGPgoyjczhTX_^_g6gN#tD%d^E}nK~&FKo$L5? zJl8ByFQp+_`As+T>Ns}#duGQwvnRiGjl{;~R=(4d=eO>lC;Px7!7XCt=zk845l_(} ztI4UjNQ%9hiH3yi>~Ax0jtp6bS;n4V%eIj7DB6L!#8v$FEw1lA)lkTOea-|`Oy zlf!0_-s(wFLJt8aojx`M;nT#c_T)wJKJSx2%n8g2kvz%@U?ef1<<9aF4_j{`yYyK? zVEjp``bD9fr0Am+6z-EQUVq!5w`TPcB>##FWQuXpK0Z%WxCmR zBCsOr7*Rocwa!)2jLlb_P-~_#xf~kP;Clvl71Vuevp!8_zr@Z#())ZmQMv)3xB2a) z3f1^n3Z~UKl4LKm^wyqM4}HZ_J9IZ9UQh__O$9KfD4F8mQ4vfyz<kszV7;FbTmC>H2&j44=+uRUpH>> zRqi*d@4=ILeDs?*`G0|T4dy`qvTBPc;^IEC2=!GxqjJThA6foV?_1R-fa{jMG-aF} zW3lLAGIpAZ&sWK)hq%_NfpXL*DTBaPVZHCqcU0`t67E)4iWP=#9^$V8mT zmlIsId}A>Jrekq(j{8xB9Nm5I<-_syJAYjG?Iog_mVZuucYjTXq)2#;w#uaTMxqMh zgOG;ciG$ zv1J#g^F2*y@jlFUE6w4&R6sW^lwOt%I%Ouy!gC3XK|cYy}E9 zz#hEyJ`AJ)N~%1-LRQCTA;2t-;cf0vcN^={*+H_Cd4G52I$gprM9eC_s_64LMhum+S*xj*(|})v{Mbd4M$W247iEE&0MhD z(Tax3RzV{Xo^%v-IMbZ!)U9n8-}J^(;^3+jb2c@Y@d~e&1F1ML2V)$``+H~DGJiw8 z@A>dqN#d?*>>7El#1wV23^r;lu?w5sH$U0dnbTU7TMU-f!ZI(+$Lf8soxNSGYJ+=E zRXBs^?@%nVqA(oB;FXL(EGtUZmi3Q*-yO7@TX4%wo8Y@|MnUVoI*wQ{1eauAzr0Au6GFOn|qFG#)v;PUJu+eEJ` zUD&K3&-;_X)9--MSt{4bz9jIdkX7B22}PI*di9F*@FJ{ugC?H46&T!zcZghbsMBrj zZ*YA$vs-Gf5T#)L z%kqv3CS85<94(Kk#_KKeDI-Q`LJxiF(&Of3obvQ1Or%0Od=%iQgf?tCqT?GTiG-Dd zrJ3G*<9Wa@!doZ_$A?`+aFWqy%`e<&gYc|lzc^WX(jCqL%={~@KG~)B5Oyo;iqJc* zV0I(DlBfApW5&qV-G5b$^%X#SyCLLo3Dp3ib56s7n2n@Kc5Li#$|NRcIy$3>#t4ri zgvB|)Mr-7i3#|^(X!0y((_>vA`x=U(e3>r$A!FjMaKuDPimJDZoxN!e$bCse$AJk+Z{K`3IxX@D^1reVZ zMQ5@h*Dr^UEP5?PX9Qw7=pA;nto9uaa2yLXMo^{ zju=9ygN_6g)ChqcOFFBP7KVt6bnFuy2ZpEwOJs?Q!s$O&;AXbLorckX64UY7FWR>< zF5q%ieSc)6l|KE@555p6Ft&dOnQPTe8Us49qb35R;*YeC92V#atGI^!Wgql zq*VyQ2YZZZ>#e_ma%ROK?wZiB{QG!l&^AEXqoB-g8M)6F4$Dov$#Um#^EH5G@eVo5 z?0*4F?{2ov&rIrz7Zo@cnBj9l%IpPiPmzuC;L0kBEJ;N84Z5cjVJk2W|JPzQpr~bKvy4Ku>&A98N1aQ=O%1f zT^OfT+Zz}t!l$&*e>^@SRf}(83at_UF*fe=k$5>9Ky>oc5hS4^43d5VVYTY=?%Fu* z0c};X?Kt=5?74US<=_=>oI(Gj7T?dCy!%p*oT~q2v7mif!&o}?b@JKI_FO3)^MCYe zo4qZ7{487;XtduMt-|e~x`VE@t!0r211YQ5cHwXp1LO|o6~Zk1|$S{0C3CMt-&^iQK?>-EV5tUyP|O z)?x=CnjN2q4fdW64E9Rjf-Rp1aNvJgC-U{FY*;v1K$)H7vID4?0*=0;>KZ2E8(4-I z6?=aAVs~ltj&^V5-8T1dOF~v!WqoUf>WBQgRi+X2HZ~)ZU>_M#v}R*Xx~_`RN*U=0 zz**gk1x5w3UoaC+-t8{ml6H>S#bxOOJO6CqO~8SU!JTSzu0gd(~TNusB-2vZdY}^c6TO#}m}yZ>+}*=+6Wf zA8;?bSWEZH`l9V?=nf@x=EbDXoBJlqXkr2&wT$1$ysUN`k3{+To$fm-2?gf4S*vDU=cpfpG{RQh;Md%iq3aa z=e#x)DqgNnaKRSgdwqY+bEwt_q2P>PwB)Hiw4~4BR%_4PcawkS2o!)2)JNZdRB3$} zxO07*o9y*#d|50MdLVDJTGmSm39#x zfWB|8#h&__vG3%0DPadPjog#w1&SFQB8WQ!a`XG79tyzdQy4eaJ@+a#1DaW33mpy2 z2NG6#9*#ihUFd&`4n5A~Vq-`(?^g(O0bLtN45WT*ko1aGEXI>I1#6_1%s|%WqaU`Hl=^~>|+n!dNOgc-4mZbZ`-P0M-VZol3h?2sIp)z9-lg|*4&82VIYq1p`l*DCa%K}_v#aj@#P@hX3GTs| zT7n6n@?@)ojm0vaO3H*oh<(;Jl#K#~=Y8Navuj(2a?Qa^^d=DTqk{3%L|Ltwj*D9^ z$eZE%5ww5f<{5s?NtrJJp%Wew15={%4@V6`mohsRs1Yks*vUu8Q+Mki_-N_hIc~jp zWMRb^z@v}lxpf$Tn#B>#c02`O_gJn8$a#>u6w;H}lto?dpA3zb*B2OesbvxJL>J=9 zS;2RJZcO>#-HT8Uk=a!TH^ZD)BBMCv!OBM4a3X()ey;aht<><`2f=iD@)m}BV4}kA zOGLKGr*FI3r=|CGZvJtBIsTpjdjxsJT9U(?(`+9NUQmlWXweBm1Cf2-b55FhX#fX6_`jL-VoyJGmZiFMtOsQ|jxcHv zeQApQ}MfY%bn?^G|eY1X$%*5xYcnY=a+3cG{Z7vSCYgxZn}E#fwsNg8)LES^!9 z9d#om=5=?UCNF2GRA>R7in7rN*a@JgM|_W?TLY(zqNgO#0UC~M1>a)gR+YyYRP}!( zaQT)~m@`y?u7KFZeGk0;x%NnPHfG*X&ZfH2xva?J#U#|Ybt46~$U%!kq%bp`;sUgJ zVRG(1&s9P5J>p%~H0*w;his^2M;Z!$m;IGwt=-j`KNLG$NVOdLF(NV)*3XuZh>QQF zl$4>b_Zm&RJXfI!{68qS<`9?cqOgA{(r&&A*%SDt?x~Y@ps}&;6d&_Ku~ebvLjV}Z zzb>+P#~R)aZC(Ydmn@(o>0XYZ91$lX%ThCk0(Q75odnyUZfb=MPZ<$NSRPd@U%aCz&ZxB}L(*hSl_oO#T=8WK)!!?hSdOSU>cC zX~Zjd>pa9%Ee9`w@YrK>z7Srhdi3C?ajmqiH-8e|; z2gQ~%)p#%0(f+lfr4UMe{*z;qktVBu>B}-gW&t8RErpW)uMbZ1rkV^IxinxBW$WM` z(aNBEck)d>`jSvP1F3Vrq6A%-2I}0e2l#z~C6TpkXM2Ju47P}_Aq8=}=?vSfPHr?J zPEHNWMfHW{qs>sR`G)fz&ex=RLkaYATN0K;=`IMZKmZ{1BB zhaap!TwZX`O&Z^9I1|{a4$#YgUVNSJ2Oi7`LqyA}qbXhKis3Dz?-sbADnd!7`|Xyo zekrn-HbP;#8i7IQiyKdUg04Pj5S(ungq*t$N$vJ+G|wq>pkYkH2`^ zc#5!XLRoC_W59w>o7hzZh8;#nsrO+YsnkO?bYc4yi2vu{2JNmPZgxU{-$U!56vrK> z(?YeyX|=V@9ag&FbGj;QiMw|(bJ=6hKAV2AvN2QHC-J|pas9uF>OSR%JE^N+ujJPO zaXp^=m@T+TMC99qMVtyuitrRAE0Ol$zOvCwGA^tk^uzNrp3{>+H_&wC8Ra zN+Ya2oF5V9+9zPtGttL?6f6PEWGcOsX;R$8*e1#(FL&BL&ae!;^7&*i`R9EV-xUB8YJ zckN&|9Su(GY@SO3%7DfFHDy1U%6K)Cs+fBvF!R(yn$`-*P5|aK-uChJqmcpPyET7? zTyjv){M}1JhLK7~yTUSqHY(u8K8hdtRe`7Weru6C)dKRQ^E=~M3k>rhzUHbzQ176- z{W5&Ab(M&J8U{|?s2u<9aD6A!S#O+3q1R`VouXpO0BJF|{F{>rdz0^Mwi@XY%9@ki?HCe*Cs zmV;8Z0I$_73^wf)+eSZXjr+n#kqMLn!u)YM)t2yoTA{3$=t1?%=M=H&34K4O-aur6 z4{qQxhN#2i9~l%SsMik)y4?g-;mb~mMD6NtkEDye3h)jAF*VXLn-tej(b;(;EWP0~f0T^>!@U~C*{>`DkT_3}6THP`h(o&4P zRKNOv)7OW4Zg}3=LJRP-GVNIE)GQ%5;F)NuAAyBV?+HHv8W4t=9SH?MR|&I9GY97rU#w}#sYznzDn*h#qR*=KWsk_Vj8 zEa8N==y?eSi1eZ#V;4~xU6q^Aq`0Zy%Fos+h&z=O1m6k8 z7Y$#LPSf1{TPFq|zgy+}M=P<-Pm^zGf# z^4_Xh1A7tu5-s!CaYs;gsd^wO?emm>c5ZyoTbrY1x*GE1H6Oyt*1x{4m9Z%6LGW@r z&QkfLwP~&@)=%0dpI0}dM>ev~sVZDp=wodTXYk1xTyZUkQTzOYI1>j{D@vOk za~Erpp=IY2dRUE>DsFMBo310{?pU!t3I$?+xv3Hl(P&p8 zL<2m72*sdkK{SLtGkFw$#7uA42xn(WW!u8)9{yn?$S*c8=$p??U834%Pg)$4=s<{3 zV>bM%)gxmd!2rlUyN0=~R%O?&6*2u{4am|@hM95E5sOwU@D3+ZHoD!5Lh>^1QkR9r zV$mXpiczRcYC0)s%yh%DQ+QPa(P=~4A*1}>6>=IuGb77qqs!ZWs5^86tN3yA-`=+k zsH>zB?EzA!v9ohE-BhL=oH1xPejtE8MYbn&txgJlTz7?PKTm|rEfL;%-C&)-0CzR` zB+^~DT1e@hQ^8cG?#MyJ+!4Wj;>4(PErp+JMQOz}O15alE~)^y^P76A_GX1SSM=9p zos?8`bSN8#k-VmVy9%};!$qa6sTZ3Ld+&W#`RA7C^3@5K5&@4?CZY}8@@lmHY?9pk zXoA!2a+7~h&+ak)Yk{?4cxST3D)7)w@A=)TptVj{Ar#<@S(2Pc+_Rt~V0M9YlqWMs z+{CAmJf*JLU3ERc>jusgXGrhsc%$~!t$8w?Qbzc1H|^$sf#*KqDJ7U?#p=p^UZh~#wA*)MJKl=LL&d1YUgZyU! z4IfnL-N`mp~9f&8n(^b5Ght#{{m1Dp(7Y|)0ekch=0b&r8O9r6i8mNfHca>< z&3jOP7A8+M6MVeb>uclr_nPqM_i3?o1|yADd;R;;0jgF#VgC8 zLi$l2q$9sn2~ERAM-fW`9Ha4#wZrMlJUxO^gSw@QAHS0;;^R)ZyY4knf)tG~bShNP z#U->Hv%(X+ORVE_AW?w{X1-$Ai05~2=GzT_|E~vs`T6&bic+-QBs0zV4X*q#AQ=C& zPWv}z2e6C$pN`=1ln+~{oQKaf(N$=&WTJN>b5um)ofz_bG z+U*eh7&-6FpB^Fg1I~cc8w}q;D)vGjT0l2bVZ&gwM(r~7X}w^Rjeag}u;J}orl#wE zAjndNIabBE4FpvIs&Oz5=>Kf)!L9vFgot0n$LO)cd*S0qWRkGUge8m}!JWIrgR-8o zJ7n(lR+#D`?le9U>u@$lPqd8LZ07Lrl#lH9VyXfc%B@uPTOh63(U*S07=B0JXqx4( z6+s9~Sqg+KpvRwjpp8Feti4%MBd2cQ7bS6}&f+Q>E~2oBt^q>1M$P`Fwr7@q@Hu%^ zGLGSfB4@0r8Ov6+#}{P)(4SLs!2C+FrvGDCa~G1AZA#sFgGn%a+vt-`ZuQP&AfS`t zV~}Lj)-UC)2PTJ$lx*b3kg}}Y6REyH_AU(}A`Zekv>-;mE+x9)x*HE}>Fm&L=^M4J z7y^)NbYvf18 zOy%JL(Q(DRE(sq-lC0+rsBqu^MlrAhE{7cPSgFkR1H}La#yMNSvo>CxMYMF zipIC+p}S$dl0sr(Y^^l!8nU}>@HFk!#fY=Ap&KUZtA}@hIF!2bAFwlGX zDo5i-6@@JX=U`i_tF{|s0WSP-mtfZ(SJ_t=z;Df)u9Z7cLnY7%IrF|+-qdDzra3@b zu+;Jsx{nquCWofc$um5s5paSFGoW?4-lr0kmDF9tVwoSC9Cmpbu^iV?Wm#R6DArbj zZr~{^FT9CLLdkZ2lwZnN-}QkD$e$=NXAYfMteA(s*J?$>h`I~|_BS0gwJ(y>iqfEygd=3(c*2P;_{BhyWD1YYCH}n3iz{<6h_` z0#+$(C+BAr@1LSAQ6_dq3{ksi1blgO@iZR5AHVj&0^mRJ;vRLdf0aRijazRaNoS4n z&2+s!DZ(rv+^(}G9oqz=eRmy6tbuMqG#Cy&v$I_@)5x#U6@wpi@OPiDvxj9?`jTsY zmX|YsRDx?zpH-3xQ)T!MpWqy0vJz-5Z)1DAh8LAZI=fYwHZJEOOzwqCKnmx+^KdJd zp%qO5uukJBQYo_OoVxEH9Eaal#5E%bcgb%9I7QE!LClN~_n3nv8_BznxyJjV#s(mq zf|4@W_7HjcZ?l>Z4$&0(JQ*iZ3L}pbQeU}$BU#9Gkr*NW@T=l;UfHARG#Rmv-d3>l z@My9QnwJ-)BxU|M&UUN?_jrrt-%R^#5;mjYdQK5|Fu?cKy%?B|(ye(Ag~&GF@<8DR zS1yvnT7MzfYipn44n-t=W@9INmmmxTM|EYW>tA&pCizC0Rz#}Vp=8%m`7g@-DryLS zhUaWB!nQx5e_?}N^>op}eKwJk>WOZTvMGH+(_GdL3aw7zg8%Mth#}WfJs-qCRX3*R z)|-xgLxaq1G*1QC7(_@&&d3$!zF&+S&feJk99SU4tCwrCUei)*S^;OS`(dN7W(&xP zWt}gY_@H$(c~#1jOtJ>Tx1ywbIg7M^pj$pgobzyH51aQPsXjjH96DCXH^N4Oilk?C z{FA<)N&eh)!9v3q)bVL+tQoVH6+$>EBB|3Z-v<2voHsZcjR~_BPneWZuwrH3$3pqO z&;lT&3c6*75w=-((3#EI-LTy7ms;?cYc?@9wix!uxDuv7XgMQMk{R1-g-N7;i2Zkb z7*DFhs=x(TMT5_&W8E7qIjs4lNU{v)oov)BYFCwswdOf{mh=JhaWeUPh=2u!2ZzxZ z50`LN!Kpc;ii$N!sjNc)k7mGlt4+X$o(nk}Jgpe(pY-Ta81A??#E<5gq(^odBMV@~ z&?)wDxtZUM83W~xvxLIGXgQC6KCohvg6`j%mSxm4L;OZM{suleKCfp31QUh_bkm^v zAu=a(SOQXA9Ep+!(;;>9Zo z{DW>eLDtPY7Fc;4Q>CieOh>XoYTA&P{^lx-u%@?GV2pk8Bz_K0spe9DxEnN=`E0*r z%wlPT&Tv1>(iwx< zSV7C4pc3Nc0f2R~a~~HL*Q39F^^Ett$3gw)lbL4V?H08PDV^i-elh$1Udjyd?1kyu zkDYZiBQ}<)Z5EYc8<1{)1q)HEd`ql4Os^~u1`p9pWD&<~#hky|=DYKm%dzdy)RpHP zQcAMX@^`>Pyso!BT|0VA97vE?w=|@+H>n|*rujZdn``(DF;-vcPX=$2l3kBKi`#7qfj z^9OhzaFfUZhq2jEG%$`M;xSPe&$o?#U3M8vDI4>6)@l|#H2OAf=cwm_M)xg@2{QuE z51)Oie|qVm7qmG~zco~Axr@dOBb*n3Hy>-u6N{ajOEokctfQfJCg9gpMboQ-IJQoD5_iC7`3c&4h%%5bud?6_Cf{bxtXN5M)D&GJ~SMq;p-^^+)xS?K+K^ zjPOetAJ~+|t`&zo{AnGXX>(Q>6qvW zf2SYBBjW9>q+}O!-2CuomnE%r+b_htA+c;SkQ&N#eFK;UJM0E5=vL=* z+Xf(ir2zVG*}cQby~3fd5oVfK(65NqhapPVhdV~9=imi`))m&Z%%DoyM^b8?w zTY@pCc|pThS@`QXfaTA%sb|e_tp=XYI-rF*(ON(ps1!kF=1}R0I=KxNdfCPyVHyp7 zZ&o>a|3>-N&Ozcu#F=|`vn2{EM(v4EpH&Qh36_}2Uv0P45_d{}X}aHtS)RF`Kj?D@ z7ke{*c|=|St@76p;)aJGsOJ&;G_a`P{#8;Uf(9^Rhb7jI9IYf-)HO5%3|zP*e2KH#4^UvzC(l zl-fA5>!(E9W;jz1KE+Ut91Cmn<`$Xkt!l^rL$`Pk_!!L1^Pz4?7yxafg#vqjL_|{- zlVZ?ey(&3OAKE`16*Fbpnmhek{Fr@v{BB=Inu zN$Q9T4~0iu4>&c~{avHnW8I~HRY(^pY;#kCMX{=g?QlMguqizki_l*;;2V7R4l-}d zFA4)rPS>Y#pgB}hCk4T3S`px!Rkj->&SFi1wLTDgdx@49N9G;U$I!MI`Uc13&A&hF=@Z_{Hf554PX$c&{lWi32%=|C2 zN2tH=hnac@PuV1eOTz9VDN?Oj9!PFxV`3o0ttuKI2tNzN5CA1p*1#x_;1LSc7(RILD(+m+UcWmWJE;? z*{trXOiJy@6#C|0Mng6wWXI$L$1LlXx*8fRCiH?nih`nP;b!FqoGYRSm-z*HmWA`2 z^1_fUrOBI^CczujqF~W;y!`udkx>E7kXP)_|H9utg0+!v#2-$7!MN(A)@+F%la4hY z2|B!FY63Su8Lk-=g^_FDUg|}-0!cWy&3&Zybz=+QUj#{HqUMng4c&-hsf?lle)?6V z7fj;E*uEoGO|u2OK5}{Y8b(*o_o}icOa#vu(FUIpK0GTjXtj#Om|?qdfr-_S1{aHr zZ+9=jXK*j%i~{w4%H(RrlL%`Iflgf56P-&B!kypd?C(-~KK+#AJp!8!tQyl1&Z4h; z8Lb~R0Z~4zRTs)THxi_^4@5JMCBxl7Drm3smAQXD<-XM(%@U=lW^fItC2yMkp;hbLIu9Tqbe14#PBP-7bB^Qx(el z;v(0&Nirx*9Vs}vjsbuA7w@lk5XJ|<5M}ADRzXl6f^+QIywbDZ=b8J?)gYsx>_RP1 zPfTm!A}Mo!ON&6wX(|@>dCM-+O>X|}8c*$?kZGT(O)7eL9bAH%AkXqB8HN$K0{Vh& z+y76r)1amR^w}?nq3;t7E2j0MhRjD`SvftNr%NM}#2n)uP}d7QKpuA(OS%3nuY<>Q zEc?-g7qctGzVK;&`wZSHe!k*_)lJ#RzDT9FQgbeU0KNko?%C98>a>0|>D(;jNnXAV z2dE8y$i!DOwI4t+J(Q4Hg4}E6Wx1j5cVrq;``BT=hiKB?{^%L%0XnfczoOe zc02%omQx{uw6;88S?a@F7)lW(mH^fgex}BQRTDt+zqD_nr zJ2mY)Axv^cUxuBv)I&kKlU0S1%VS*8KzJb&(De(i5_=jx{qfQe>C*B&9=@a z^P^~gHvgVaP*jkg8nEC%y)4@hiIzkzF35DZ_JnLa_NQ$v{n_VGZW-VK=6)V z8=vOC1YHzpJv5@}=AGi`G6m*;#G)o@jz;ANQ!D)KQ0-PZ7R=bR3?y|LVp*ZF>lYt7 z8C#|5Y-{K{^mhr?SXEWS?X05T;|$U;@g)jgrTAd(^`mZz2!fTo?XHWrp1&sXr}>j! z2M0#D8KDvTq_$IH+uwpG6kB?g@meeTsJ$VoNF3cC8E16JzX7z2&6k!cQ zN(x{TjVZ!!_SSubC6#l=uOi+*+Y0k0At!tHX|lEq;{J-ruWS(sK>#~Ssr#}YqI-`# z)E+fuBu?sgtLjx82B`Ia_dL?jVXnkYkO`otFA>%@o`=$GBd(D%^PaoFL}ihj*Y-5! zR2kA`5dZec)i*tI<;}h1!7(WZbdr>>h>fHvqvqK#R=DgKC7Aw!Po31ta)hz*bS4qD ztZ@8tGZ%@IXdoi7m^NM&406wyO5+`B&~Dd4jToEtfa`nZhF)WTi~JmH%N4Y4ru7+j zfO559apQaTK{wzx)ay))F?86g3cf4ipCF#zZaWFOzJ=atWne0^uWu-suby?r9HaG- z0t}^NgnRtJ94ijTV{;qRD0u^ry5NI^Fl^0+0x{QHhvnH}5BCCeVq#W44SRZHI%-Nc z`wYJ_w8V-AZ)y5pXEzIQM_0L+$ueX-qURZ7YC{P^*Aq2Cubr^*Wezy(BPRRqp zUXL$kDZz|=1QhoZ+`l^N>pS+y!d30Wc&-*a2Pk*hro6>~ml^S&8+GwyF3WzpkZcGiu$~lzt?pzt_}l)m-DHu$q}<9>E+Fca9GGv zp?7rX%XhhdW2VwrDcO_5nq8EXJ(S!u95f_~75Fb5|6w@52X1;$0w(L349QkMZ=Xn+ zdc0B@HW6mBaT_16YtZ-irz;L+a9mUQ^ad`Xv!i3pk0myQJ24Iv} z-_3OI^G46R#L9p{x|(2br;2SW)ot$^W4doiKNe zdWgi6158rM%riphL)QEBG(#sU3}PLFB!XIhKO#|}b}I(ImtOgAi^q_FBW0*8!2j`ZY#sxJg1%QFH;<*1=XqHXw52zz?tS zbKan3Y-CA)AEq!e4>DJHP8f5wgl+tOmAW}^*!v_5DmC4MMOZGf=ym3Ftx5+4gEVq~ zp9tVIN$DnBEzlb2eNqh=;T%eIOVv@`c*Z~LTH{iPV+XkW95Yj%1k$9IFYe%1un6i& zXnqdbV6)8}+7nD&Bz1kZoVW`c2fxR~nueMQMDC}Q6qDQ|mkm+|H1%n?QE*50Z z%S1iMe46=mm0LHN<|+qq#Hg5Z+Ds=tEB+Ec{$5Z2T2qF7pdHy}f6L1k?14)mXVpT! z*@3%za&i7vDDsCULu@Oe^mY!p-DA9fB!Lfk&>8^^sE46ZEwfs@TbXhe_gtZW2x#EF zhEmr$>MTFX9rL$Jhw`p}45f}M#S+{A z7iU2-NR@LzkR^&=dMav1v-)s*NWPC=>czDpchDm&>j2R%0yBALO)1uexgA$tb@akB zP`0x8EEiQEMZCjbzb(Y44_c6~}@bD#c@Xi2sg&6ZsTZzjjg8XoC5+&w7UWaAOt( zmK5y@Z%oQ(qDKcDM>&5N$}iA(t_?e!yGaGB4!TKjaror*sOj~0P++piv35*s48VQ? zQ!8`GxC{1}=h-lJfn&9w zJyyHGa^QNz4Mybsf!d*y4+fL;LT0Y_+v2~)&=?4s2;FNbqF;f{?A2V*SrA}N z5Y!y>Dpm=y+1H`VQp(3zxlju?Q z)_)nx$>-!>^KmKF^dyOG#gi>fheZCsBl;}k6eN){8rWW6lzc|A#DBQ?iOuRMvKpwG zHU`%iF;$JwUEI@xNxxQ_mgI6U_}LX5B6P+G%%x{BH*~!)TgRMTHoB0AC_j%cna^@~ zsB9vC;)JX;1~I2aXY0fcZ%nw~_vuh&$^uYSXJL=I0mXx5phigk{}EjnFKtWjjkd#% zCHPIBMkA+xX5rl>i~v@+}$zrVDvq2 zSF>h_HqsQvyP=ZmtQdSoKnW5-;C3aWSu!3~aAiDi%1@PBAcn!grpP;?LAI?RFqzE} zF-{yE#@xi5!J$U`WRhP}#ygcFmEXc^_1>{0oSG|tYm=Vnj!y5ES8TkMQ)4(}@rnrL z0*^GyMHmNmee!lcFlKd*n?qEk5>)2&^|n#46P{Kc@CMJ|c?7S%$}XSl&wSU&kR5$2 z@_*eP0!@>|un|$S%<@LaCH2tank}dR-qZlI6=0(Q)JOK8V6eJs;PEE`fytcgcndWl z)xMs8qvzALW2&HoPOOfBO&%xjinmLNvt9<0aIL@T6u-0A-|S-r<^YJ`#yWg0#B7BdPcApH67Irw7|)XyUO=4=n{|#(L+{S3NnZGW5|h@0Z%{!5$0RP|-X&RFPq5|et(;`GXL3+xkxdRO~EX050_yC~rT;ZpQW?OkUXL3wKhEa&AU0X#5O5QNL zInTbMN6<-YGqx@=!&=IJ7$bLZ$$nkGtihz-{&`RW9`=N3#4+j0IgO;{PE8(VYtzWM z2v1T|4q?I^RX;Yd`4*2wXO!$ z^QI5Gb(4S3&_{2t^K>pUVgwKr-wrqe?%pa6zCjuQ8R6p30GVHy z6*Tm?1R?U%Iyy8Y_xNP-G91CMRFJ?VY64(yXn=Q$wALCnRv9Iju~GOOL`nE*fM~gz zjCPnpt7@;=GE$p=UHsgttOq}jEqzXr?Jj3#yKuB$WO;svQV)&A%j8_z|1e+YGekRR zG%}*??U^&SC)lPDW_n>b^~Z;)$#?@TRX%U^#pJ~73IRR_ts*8o-O3)MISfD>V{{y7 zl$WRNJHb95Pwq(d6qIoOZVI0a*GyQ#(D?urLbd^9ZB=1^;{dM1^$JAo>d|6r`m8fT zMplSb&QhfhvL%*=vvlvtoF6u3jCr@?ED8|OI|_4GbB~VaDGQmIDs-Cl%yQU%A-Jv3rsYj7c0Ocqw+mmnchdE zEE_~4zg&7+@0A|3OX9qSZD^+UlizTWW6gSr zP+yR^R3Bht=-MG>gXjYZ``3ILADU_R$^?+5(ns8X6>&q(9<+mlso%xQ6h84dJ8-O8 z&R=wjOcy#9+m#}}&xdcB3!KoZjM(@IFGS0+yvaHhjVpj0AYd36gt91Wi@3kF)Y{lh z&Z<)niGG|(oFk#+!DU_R?kirbP?VoAv{>wXXrB)M%w%MgaL8db;$OSA(W*vmT&WJw z_n&Nkaq5B@VouL|YvznV%5l;G|Kb5)#oR7pq9uHMC&HkW*!!dyL=JoKk^OceY($(aW_sc<9!jQbG`S zk#7kSGwy3I)wZ<9+BR`IrQ)OItUDiav;?1jO3<8W55xzcAtg?5&&@ZRGgfd&W*SBw zq_VJckcY65&}9*1lY5fVwwDF-LLwL2barY|jI=~25Gnq6I`es3=`BdZN=RCGcTzgq zHrK#2P3c!Kocw4cVvkUh1F;^S>k7}axY(hASGn(-e33uNvdRb?y1G5QrS>{ zpAB_TP#b{2>Ce|{iPU!r@h8^G*{`yQCvX~6R^bB3>!j3a)#fxyLX24cXdgWNBxTM) z+vux2f4g92AVwb@VG+dBBhMBse#7BSU&xNx^UV)~$hyfScm?P!%_>`L13EE~N zLSj_4R}N>tb!z#mPi>bFHZ>Mf?a(NHtySIM1$$|h0yb&{>Py~T!Sg`CsEg%eK>K1hI0_A#iqPhe0qvvQwVRGbl z^9>xFF@BWEOpIR#Gf?V-^~w3^wmZ#=N1TCKGVbTA94@032PND-&S6NgkLy$sQ!KfGgmL#=W^6f>G~bnAF|lsszeO z<5gcB|A~^!Zk3Aqmw2MM)+m@&hxy<)LcW&S1IP+?t}j8pvdOZneDbD$z%{%JCl1N| zySKsGIoXK)x=ynW7NdtL%jOM{Q8$}Q0kl+JVJ19FdSgg|+3)&qV;;yepD{CfNLyFU zQBp4bP+a@|;TYb_$@@{&D0!jqo8) z!yDne4TZQw7D3s0=)^8`tTxG9G%I{ceZz`1Q{7KwCkIV~ z=i-A%*ojzD0RZ&q-vSYqBIl`3l8eKq5Pu&?;mSarjhe78iZZc(kVkE0Ae(kLmhVN& zg1AF_fXH@J7(?W0-Sh7?@&5vi`K8?!nG)z&C;SAHA}2J#F|5-$zZYr@i)HG{q`*Ry zBkRIUV$fwL_8UyR_m62YIL=ccxj<+NYyDE@-QfxcioIgAUZ?x-LB*&#qsGhaoi6bt zN6o$8geG)?_knzWcOZ25o7{3L|-01m;W=SmtX2?~(l~PeOy(5@2WBZ`EfzCxD z-5W+h2WGzi{kW~J_4wDpikvLaiASt54 zmnLvPHPpi46FWpM8E{D1XI#n__bp%8<2+3l=EWy=I!<4IxT~~CK&+aI6_d-Vb7Mtq zZenEyB;tJ#7gs;90VqdFE-DjY&X!(0Y#d;AwqmJ9CcC` z3@{H@V$SeNmchkpI-h4lX2PF3=!m>lxFWwpL|P4MNnem%CZ7%(cN)CUh`+rOhi+Lq z333s45=y%|wCn~`ELt%!+zSjg zbnt#AY%tRNy23^v4vK+mf*!vQ3Qo7uR$>;F)V<@ui{7?k5IQ(QKTVG|;CG#O#WEXX zQ&hw11?~w`t`{SvQ<1JcFG^6)qGX-P_{P875!{P^M`>T8QuTX%QwkKRMUI5L5?gys zmIFx=tl(;1$B(Acb>DxM;+ zBH`2x(Lee1!v1Iwwdj)DW~9grC#B_AJ09KdQ-zcX5F zme}`yF*bZ?>!L&*BAK+OU0y7#8FS!>LTlx0b%TL*N!Da*@H|q3wD^D05~-@bO^Bfb z{xP`*(^c2w`ySa)TFj52*hSVa47+KUIrBl1^Yp1iRk_aBO=U|_S?c8HT#tKso2>^d z!zRQgg=sYhBLNvLuJ?OF&2?-yc5%?Q$9ZCZCs9u9!&o!WcE7|%tP9Wr+@_3?k=G~K zStV1)yuB?jnUj!ic_2(Jb_Gn=27I5-^a)^CSi7>s8-Id6cYKYDM0kJ2tbKChhf{H;045g zb97ERCNHUm%=JbC0hq4_Gup=X9e1+IILWs>%o`);qUmx~bJ@7ib_d1Y2&nj-h*xnj zx7W%1j)rT9l)2W9wNB=J-}VZd_%d3ag%>TL9&USAZGnv_KglIkZ8uw zs}09o2e=EX>%TV>@1GHV<`Dt;&GCaW%S2>SZ0*loVeqSN;O+u*)maa}cx9@8%G1(v zk9jWjzSgYj^~oC`uZ;4QwD|BiVLf&bJK%VcQ!!u){k#BbP5oui%iU(~0)uF^kR#YF zk(&W&$4Ma6ffZ`r`6%QqhCais)C^L`ph>|Eb}vL2eKHWrx|lIy`p^yx|NjonF}{Xz zdAh^Pr>Bg}_#Bi!0_a=5JSjeZDY_r?CB`A4$}G1k2<6_k*4+ODI?3JgeOn z+gx)$!4L`nwup-^csXyBR88m}2a%Pcg6-|9ySpjab+U9BxM9~`?$cO*YOF;D*=3Fk zpccn=`S8rR$Z6=eX&bBOIOQ(kBK2Z*b`l#TA6=7V+smZ0<03x|1s4xSCGw*_m1(>b4UHv`%n-?GbROL(Dvn!Hyof2>4}=H>%F zN7xt(?FPG~BA4SUQL8)EbmyeXpLxiYw-Xb?q2&0WT`V*vtm=4q#iL3EqK`qK*x7?a zGSt6wn%qIJgW_IgF6eWb8eu_&c)f=zDo-QSH&oqe2fe2$07;rqp$9UR<6;1Gv<|)Y*!Ov7q)|#`d?oZ4U8H!Wx(N?4_&wh3aKr4 zm9J^LvhR_GRr)6b)6gpJTZTgpW|hWi#73;rogB}i=1Jss3d*dRlxI-GwwdY_s~jgt z=re6_vb!O#wYH&uZg>O_D?N(m(`l&o6bnl?@Zin91HjHEx z=@baOa;*8tCkp{7z?!QHXlD``Vyx->khhC}TW-yNx#AII_y(Bn{MlPEFYFeE zT%(hfmSU9Ws3M8_byTp$V@PaXEy(NV>1sT9OS-t86*ytLT~5~9^T=QvB+BCZJVlpj zX<0PE^(x*LNR;Fxznp5_6dSI^uU@J!v|5XJ;pJUeY5UEcH#^M*WbTV@xC zdBSi46Pmqa?rK*j9Tkv;|Fw8RZCJMmzp&QKmONrK=yHoD;?Xm3&BKSNQVzQ1A}I%a zC88)aPhcgfebCPxi4r)jH)@N+|8^y4Y>HX*KsfTFS9f2fV=yRBAMaEp)caX&ENBrN z0vg1Tf5Cm4yFLM_&T+?5>T!P`bSRFy+Uz5(jJeT?~PKZ>D!Sb_%9)QLk@t~@fxAy!zcF)DvutgB5 zjcdigaU|T8g#Up5i=IXj3>iPr&dUSf5L4c>2az0rxpI~^|7Mj>FqwV!xrvxpr{XhC ze-^%k$i8$?M82WhI-I@;)*;Y}W7V7mE z2Kn%a!WXYvxmav?Rxvn5LLeX@AZB4OmJAvRf6nzZiK`fqcKi+`E>(>vvvka=elL*T z5=Z3CdP%OtXb}AhER0nMSN~W}({*ou>|zVq<4yW;vsdpCWX_GGVz9DH-mDTb7iCO7 zdF&^ez5HK`u8&?evKOwrtj={7e)n(|5RlR2o66P#oL&~9A!DZ;YI97kRZouz*7))4 ze=#YwRr3pC`$4fbQFEHb=xZ1SRDFiWQC?|p=6Q}yy~N?8|4H{?lB}`d!4e3OnEH3E zkpiTcVYS=xDF{x6h@`c*@f~-#-iXKy8u6Vz(11&$0m+d-f;HhhBAd4x zXT`b(hsD;Oxcg$H>GMsS_5bIo@DzDisSQ^O+g&s33yB_Q=UJmzd=hWx*HzhnOJjxP zV8hGMO~Ls45`n{Bsk6(HhIw=6l!D|`FGeZ~aU`IE5V$$UR}8nHBpmgbe-;f5?3_}s z(ggj=K;-a`T}$n&j_t)s$R}ApEIlCE2FU(&e=X5_3e)UXf3xy8deLqSfZpbiK_ON* zclm4K=8nv35dV`}D~g;f_Rgh2fm%8V=&iZnu1R6Yq{fywj7o#__EgcD%PodD zZL>hTP~mXpq7=lXU;oE_e;Vhr5IWDOMGg&KgR!+RYYFkYRzcKoO7eU2$y{3%fZ1*w6!G zzD7aL5)~ue;?Z}u63#ka7kT#97vwvBoob}*n*E=XN?ue?SIp2(2%K!5rvN&JA$^g% z)s;a%GF+;RNA=rz7yGZZydX=jF*qls+q+h(+=sK>}bIHX#ZloV?A@C)AIk|eWsJ8Ev$z$ff?qaMDCg?U&#kf}A@ zY~Mwa&?6MQf9%0RS7Ww^4>$qt;4!5%0`;%!K%-&grQhQxC5wR}6!wUUXKv{ueY*ci zEjl$M2auDHczHMCN)GJdW1YxJlXcMZTX&-B`M>1Cx(UVJEMHHPUkw5J8`hAfADq%v z%3+e}cn^rwUHHcZDlyGqFK$D$NU5V!1Fg^6Bh2F_f73`c&RU=c>GFBVG<2XOlESNy zzs;%y39RH$rD5cw*v8@p1mOnyS_2n!Mv?ZT{!_}v;!L_H4P7710QZT4$$l%kV5enPTEYT;J1Hx5BCJx(g zmr<1P=C1hGO{0V{thd>l?AQ?NqtLiBzAOEA3J+tFMsE405S&7fFfidrXsbVIRLZx6 zTC5Q~lb`1KCJa0dHUF4P5`M{G9h~;nJN8+xSoBWO(q(AJdHaoo&^V9$gES9T>dwBDfQdk9{N(>3Ju2%5Y`PxL80oLc7;W*ehRk#2E2gOx*XwOj$Y(TzvT6&nc{~uO z;}L%K&uJ;DJs@5{BClW1<3c;fBtg6jf13gwA|C-3;>eT~oktBeGC(W?7gWDTZ;$BB z`;uE+2P5OJmevS~u5>Gf{brVj%r5wwts6fKrqijq#jg=T%VV|b*2w;iLVqF5i1x1m za_pr@wVCFL6JE*Ey=LKMh=HS~;tQlDs)BP+tE`0(m{@_i{ne^p2+ zEYJ?^$!#yAMyYB2L9m-1;+U8Xo9$4v0ir>iZ!(*LRXhhQ1g-vFg266$1_${wxSA|j)c&fZq5tj+D6 zoHC z)-<%!_c^b3rDqh{c6-7$Wob;uS48^HbUz`@J22t~DF$9*o7MS7ts}=mB9VAoV{3nE zqo_~trKf0xnf^Sdti zTdy~Xrrdr=@Bm%2s=c7My(SUrX@4woG_bDd(>aJbThmShWoj}b*SQRwcPugPuWD@K zaa#%RD_ZG6LmJ0m>l5gGA(}~S_bq*~Xl3ABY21+!5;ZG?6wdBFcY>rmGl#+f-%Xb^ zRSk#xo;ZyM2Az&x;iXraf2Qe|$vS2g|1a=OC2_P%)-erguE6(0x^sux=L8?xbe|3@ zWa9JO2izbRz2!f$f)ru0bu8Wr?&m@1t#?JWZNZuEr>2&O#Jl>)!y%*45RSSsZJf)X zQrljngyw7`JJH#Ub@k~6}E4Xr}6NlA+w|EN!nhh>Rm=Y0%{cZ5NHOn}6mQ9n89 z(T4eo>G`twkAtIb<7zuw@{hQQJ5*kuscWEgY4%|B>kS;sLL(FVE+wphq6X*rKSusH zyL6g$acQb0pX`Ere@4P$fDaPMwOCY{mPD8{KI7TJ(F8Mc`8hti0?n_L~3 z46V92c>=obN=`Qfwl9i+?ZjPwYUY#h>+rnrXy=@`mgcy_IAzsVQfNdvP6P_e;3f1s9=h*d0d6(wC>jJ3l3PO%u~^;Tcl4453EU&`Qr8Bu@pb>_0HqB_yI zqjS7qi)W(e&wu9Q;X=d+TvVil=HEj5$(BTZkNSf#OHn8mcB3 zyPJ71W=_xmuE7*KE-(tLxotnR7+MO_JQJ9H%HC?Oe&V_8!iu~s%>3XeQrfg?ZDHRN zM70MPe`UDgARUB?Z6U{MkeoWcAXS(HdZZss0So?XG3b(^0Y8}Xj%fmVX{hysDA?u_ zc5oIvybz%wNJu9Cuo3!2u~to}X;o74WKRz?4Ed+o<`(tpTcaTQ;FNLgM-#ysJMkEu zN`;o{9{OtyREl{)+pq}x%YZT}L+icAcFI;1e+P3Cz2EfPzEvvH# z2im>qrfADyPX}}`K99`Ahdg1M#)&<43(p}=?sdZrQ#$jqMhjz;b}`{;4_D_p6wjNJ ze-&aG!Sk_yle9qhDord=DNsPCVs;OjqUX$$57pO?5Kvk+#7E zxPE{40PJHe_L)p|P8hlrsrmg_33xGCf6TIf z;)EV;okvZ}5Tt@3y+zDINR#YvsZA{W7d;=yI>W4_)vjLk(7hmOMsBmA)eWkX2RxtW z?B0xNUHO|Mzhg)ttwic?EYwCsNDpWjyN>nBa_r?`p=9LL2?X|c_XoWGGSsN;e_9DL zn^Tg5=HFW6`5p8d8g+F0?ISjIkz(knoT0n)oy0t}vx0V=U6&s!+-fh7%q3}Q2iJO^ zy1@eXX4Oi+DcTKG%Kf_*vK4}!J1bnX>hrR;M9<&M#54Lq-_;w^%7kDUBrK9hbj84i z*tM8Go*oh!GM;b)ZR@fLz!e9Sf4~dWM@V((Fy{+skSs&aUxp%wS&kE~I;7W2_$J^) zcFq2I3NSmG2Ab$7X#nIZv$ZaYsw{`CK3w;g?Ll5CRp)RL;n#GK+W&DS_vS#CHpEkv`BL<2>i%n&SYYN`Nf2Jn>)sJ9sY_U3Q;wP=)wY69P*?&yZU1wNunFA=$j zih$g@p^^paHH%q>*El$WR$LC1FIE_Isr=z zVVP%qH1zczf5j++;%gv>nz>x_FvaaT0EG1SBy4u2=F%LKV_$%>9jIzG-8wDk2}wMz z?2Wm$>+M3>II}B-NONX$q}s=R zO$$JtRNkIuePefG%(YqR*UlgiP;Pg(Ybj9dT`yf{B732Dwk<$NxYs#ko5cjqXpy!> zv|%Fj5-$;WwRXPpVEttkZlG-GNFt~GE1X-o*lJU&0(%y$Tp3?1j=#C)C@d&|^>=Hr z-pN^Ge}EFcNpL1SbVkAud@65;eh*yMly%ZQbNjoWnNf^?d$}D_XOp-*s+ny{qf5(w%;pq;$rZ3+M18^%(b}1O&=jlkz z+fi|oSs1`m6GMo<9B|f0q38pjbD5fnD%n^TO!ir4ekD^5(6`A~bWq<14!Fr0kN}5J z7J&~J&0&Lzno-V#nM=)p!(Kf`zPB>SE9=}dO*)w)T$Itvo=0#e#h`ftT3&@F`Y1A6 zf6oIhld5YLNv*5YKPzVB6%2H@yYemD+a+xCa^jc5i9PZM{l{;+iMnY2S>8IMqg`HX z!3d0r^ysDx#|=C4eH~A-_o2n;i6kLkh%uW4`~}}8KfY_>7}=a)-)Ol*T2m5kOLLk8 z>cY2L$;Ep=)2$>Ej~^g1?Pv+}nus8oe~v~r<>$9{nJ|}qd1Ofp&OEr9=q&GPpAy{a zi^DxZsZ6|IlguT_pQQi{aP=TlTz3fxa2jv!>&L7hn?Q3fe`2Qwomqw(^aF3gV=ds_ zp^Ftnu;2jhR;hQUN~(=#BK%+A&7I*Wn>$8b6xOKA?xA_5VI&Qz5~DF0K8R|3e=YAc ze{eDDd?NiL;w*w@5I!Fc(u7({rK2$pRfbBJHjlUin>?tp`tml%l1xwD4Yk>GLM;}7 z>GhRkSaub41;Q)LP;_W;?O5;StE7~1gfXEMsLLy=7~zvvT^zXped7gquR%zWjctl$ z%pw0!pJ_by(0t(R*AUK$!`cg)177j>nBvx@xVLgqUw zTd#15SLa+f=$$hU+K*R?B9~NFYLOlXN^9#GlE$#H4u#XGXlAI{Z{Ye|*71B2$Ie`) zf~bte)Nfl_z(qEiM}+BNCf}e2uSmfCZoNau5M#({$Bqi#dh@mf)ZsJTf7Mt_x(}cEmD5^lVZ7VF}2W`dh*F)gR z2OU;`bjW&* zwZa!C7hXFUeQ$*X4f5wN#L9Fyq~Nhg)#lCo98e>*i_Ixz%y>#ne-*Wrq@2JMQW}Cc z%7p<`&pv(!zZVRV8W&-zj}5!V$d*qCcavpTDOpJAG&w)3F}#Rly{)y>FtGpV2CEOr49 zcxI9oe4HN}>8D`+(lfghtR?z7%%}2XmKQ8DFfZti;iP}ENynMFbo_)AELmNFHm1t?`%w=f(q;HO!Lh}j>y zKx(!=$;26dJ{Hwg&P`*%IKen6)PQuBP{B3a%Ik@%RYT?*e^X`d)Po(Z>FZY@qG^Ns z9t5RQ$BYrJ7n5PyPS1NBDN^(0P(Q}{vKR1rP3K)Bn9B}pu1!zDZjNeo^HNI^{J*Y4 zJYVvj9x<>5f}d3;wlm}x_D9`Vmo^3jCN;8GZkyr@bjbVMia1(wIsi2BDeVX-1l!pC zgcW~;y-@sGf5MOp!X#~PtE=|9Cq=+fAGwJUf06EAv)%Y6SCX$uBW!W@k}b&Ci5S&Z zwFH-1W#mN+3mlDQM}fl)LEiN6qStAZ1pZMaWAp_TWb^qO$CCw;#A}Trjl%y_I@t6{ z@vzrXWjj(B=B-q2xkO^2&B7F$b$#P}QgC}2W;sOff6<(kDpnb~>g&TUT$9aV4GL_@ zdP=2|v1O+}7ab7;*a;mpMgbHqNueSUd|a!401D6EVKLEMb)!B?z0)GZCPdyoQtkqN zfp=4(A`d54M9Mq>iX6$4DAEhz24!a$5R8JHh)w)Dth5ec!`?>XDxS;%S$c>#2uf8U z3Y6u3f6IQHq^@*J$W79QQ&4Z??jWo2EZa`IM$KKJ{G#k#6K^nGvm+2^^ACeF)fUyp zJm0X+z3xjZUqf^RmHF79({IWD4;=B*Iv4SVZRG}R$$}L{<3&fWQr)uU785)aEwSxH zbPn8ZXi?*Y+CjkH5uZ=vS45QkFX`|8(yk08f8e?1*xqiE3Y9>qne`AYJp_={%0jd< zOZ%nD8TyuT+7WXGEHU8^*xC*b%4rk`ZehlgFMEsG>8N#zgMh28OWNz@L=*k6Xvc5w zqd~rGos0G>WJV_WqC&rF_B&LbL~eij5hA1qG`j<*@C}U>doV@n@(IPL1W1Z-F%7s| ze`!UaU>31hR=4hR>P>JtrUh|xHvm%$y`H>CxSv8$iq)jLz@DYA_u3{jQb}8 zz@y-T<`DNzsY%Hi56=9TWT4KxBNnbx5K@yrDdTWJy%9N<>Vx1xj0hdD=l}=%)O1v9 z?*qOh$J1p+9Yft|d)ZhIq(Q#~unIkHf3?RU8_)$9$4W7Q$D+cBB6AdgBC|+Jfiv~u zm1CduJ&@q@pl&%FB%Dyq{W;Wu&6z02x4m|S#nu^Nc3-*1dol{cymy?(En0a`B$&4W z`4)elUDev%C=ve=a%~x&3Ait4{wY%xYQPUQYL4nbv*o490k(4)mKEk?u2)K?f7&EH zPIQit@X<}(E~FG64~iBxeJGG5+?P~Kj+P{6Th7Pn*G#-Y>T*P(w#%P{^YIJj3S_CnkCW)u(CvUaAh*iw%K5<8E3n3@SfTRZ_Q z+>kHz4@wnh<4GtMc_`g!%PabK0*^+h7#>Q=Uz#$XV>!P=vFMYFURSoNfAkm*i8~zj za!GDhI6(`72UI8*in=6>*7ZT;@AeYDpEAoXg~UxqLx*o_f5jNp#S9H-S%{A8kjbI5BDVjO1!!2kmXoDh8-Gy9YJda{ z-P=5IsyCjG!5h(R*(BfV_&TigWq#*#-ISy@AthxO1uSdcopLLUi+RFPG~zt;eqHg3 zhc!K|0jd9|tY&Z!j9aDB!EtdO>7Pd4LIBOiM6YC3bM!UNgi;Ioe-;sLgQEp0yaSW@ zAk1L=>=DuB=yPftx38qh`H6a!MFohi?bD=(+>GmEyJS?)eyynY*{Cj$R!sPh%$48Z zs+%MP@AK9wQ>il1HES*7BQYNUUFM3?cj;Qon54jf|HWxIMdI0g2^j^e=y%jYF=ZwQ zMz&8%%Y6cf5z%F$f5lS!Zw#jXCsh1pEuWnuu?8vx96idT!+j1-JOx8t_cQY@RcLep zzLL;jwP*IRo61(!oCe_4PBLCTcG#z58~TqYk? zeM;(Q=J(bVu8={)<}AjDLcKb(wp77*!HNAi3LuZuSMQWn(aKsFzc_#$%1HmM6K?Q1 z#MaBHl@Oylu$X%E89eU50!ybPL-?%8JW7z~EcE7ESZTA-i>i7ovQDybz)Ob7I{dhP z&nzW}TKhlCe~`tI7KR?oir{b&xT=}no8*FrIb*oWc%T>f<+T&QUpKVpn3&|mFS-;K zzNM$m1z1^<>bEBZw#aLaJZT6C0J$abw|SE?M)Ef}W41|KhCcNt#b?gEJyF!?#vKNM z=7Dju6`)x1aWc09E`4n3*U?m38bP{aCwG-WxCGqff2M{LQ51aN;%86S2RNilGF=lA z+U%^GCd|z3$$HF;x3#-Lr^pEH0xKV5#X&ht65FMI5mB!XQ?d)O*;3;NTtH5Z={I6T zRfVdU@jeyCaMmcpG0HTc6i!_UR#k^2Nrgvr`KStoFlyRli-cS(%+QD#GdKhs-Yt8- ze-8STe?eZ5SOhmtM?9Ry|1S2uNyn`*L#aU_MUo#hS8vUt1(hxofzqlMF^JiFf)<0- z#rRKqUhOB9{K0?$wXJPFxs@+c&}@&ChQ6ls&Pc8Pd@H zA+Y=Jo*g(Xn*=HPbLUUl;O+$mOWZwwc zsM=<&Sg$25>bio`>w@@L9m%l>El%4EYTOJ&Rr=+Br=kX0Uf;OHO--p=eWVG$82^DS zf4;M9ETsAod^g&KfZZ-KxvJ7NgI^qUjDblC#~j!%NB(^oG7J&Q?k$>lxSC>^W-u{+ zydR`=uiBnYwtQn-4)=Lxl4s64rsr+JL2N-vg=vLxHp35YW@Jql{luPr!o;)9*lD%5GU$2WHDOiidKZhEuIBc*3ePomz1f1?|g54`n0wp`@ezATe+CVy1-to97Ffk_-^Ul!&E=5WbG5pC_qo-A^W)%-|JAe8O0o z3++Hf#AD2FKn!j@GwAD&#$1g!`4RvmQXL-}Pbr~;ihz>E0#s4Bgn_rVNcb~CUOP1B zXe%=l40Dc%f|>kko^bMRs4W9>e->mP`rV^GSo7LO+@E@_4AVlxgFgAMq2&&+O!o4@ z`-AMOXFH!bhC{NJxi57WE?^s~X9&)DDO@`RW?WITxc*^QZ@Iz4u)jn3YI%vzdiX0@ z5LWRpXcmc#!6Q|U%$pcGkaD=kv;$x@iVEjT+Fr71vos_{Fs3Q%2 zQC$MIYKVg?{n{(gu{gCAf7avTGv#^#1pS3m+ghs=NIm`Of{f!oii3hm2Ou5ZAKVck zCRZisu9YbFsKLUcVB=BCzLnQ`S%y+W@{vd&s9G(wj|_%xse+0&IP>n0^_dWtX~O-a z+*hEgVU=74-QV|%c-M_+1{NjYCY0UrANqZSw)-O%5{6_j2B5=De>x}%#_@p0o!L>I z1@mrPwy#E_{((q?(^o`J!$iX})TmLOgQw&kV@${Hov6o0#z{uMT&~P0yC`)M`&ISn zpVZ8_#oSP7e;YY6mXdn=Egx8g%wMbkOySO_{|_vk*a}L1uNPbgepkD}^M&%l1R(s) zCCuNKa+I|_{J>?*f9sRP1F4veNAa@VAVw1sDbm@gb&1eegaACu-9aPe;MY_aq&^0O zyhRKke1@0{QrNkERSt`aGId{D=D(SYxANt&*6gmrCLaD=6zvd_&w^1S+SZCD0tVW} zeTO5ggSxU|Xtr)E-dShN-42;>3+d#czdsRpV!;{u>D6YQe=+Q@vmLylbw1BTUEt^e z8~KD#8`QLQ)u5ygq;x6i0blRtLV?kL#Z*4T8`I}~LSm0=2Yurcc%j@?0%@@T&!g{1 z{by-SlWe#E>o1MbaA_+4iMXai>NnajxA45kqTo)&#D`|w=kc~GO0w8_M*8!$>hXEA zcB!|640^Yjf8xLq7vo}Gg>oK9`%#pn%JiuluW-ZjMtZ&NU*Twge%APopUVVNfBUae zUJXB{TlYG^)LyHQ2~HiCnPTi?L_|BD5O5zPvFuFS?Nw-}X9ss{Z>m|CY6luU`ieL{ zcl)Ms##l=f=<&Z?dTJWWH8ZNtoGcH&9-?WhD~Xeff2e5i6+nZ)s!$IkfprG~bUY`H zNuk8ih9<_U&AzY(3^x5^c)xg}P)W-E>#;zmc&qc{!D}vo(_p3=z8JsI$>*FSJ4$6? zv*@amo40lhn!7otV4Aa;YtN(r?d}7&GjBm-3mej72V>HepvE{-;HoqdZ^Hpi;RAHD zW^gCze=#TIKED*L^)K!~=6xq7SSTAJGF*t8_7O(Yh{-r1ES#A1XJN^gFIz5~L?YB3 zkit(x24=vAbkEbAM;FOPAy=Ju0$>!vI`V(s*YLfR{Bm|8;mk&YfU+w6e13He$f7mjgrY%k2$1v&$#R#QdgNj@|zwG!& z1=(Z^w7+3zIv&-L9)~TgAN#7I*$_Iu-Nx)Mnun_SRc+8~ugFrPrDX9m&9oApl2g#C z4`tll*#pwV_VXT;482RtCOc2=aoX^ucBu-O5SlfXJ+n|uH;hV}65n*3l|eMn}mP%<=heuWDv(>DmXQ*I#yTOc6XCyY6{CK^L9<}uCcSTkPTVj^ z^}}6o!XORm?O)T&mz7+19HE<#z4mN58X?Mt1!gFUAY^e_EN$aTDP-7jHr4u-#fB4`knWhUP zjm}40IX%G%RfChq<@A6gb4D4!J5%Yuz&lq=C%7%n1u=b#bF;w$Vbo4HC7S6h(q;YK z2FSQJHr{dmYXsaj|A`Xgf9Pxbj60Z^L)6f6E65M@DXG}0)xITJF2dg1nsuL^miOaH zK6(zFe`42i7f_3tlAz-;e^h&+JJq%1-1oa!7@y-13Dw|Na`p}XVV5AY{u)))Tc9bv z{TW0L$x$e*G4vQn0`z4K?z)>21J* zZdQ=5W)b)Z_XuVtIo-$eHK?0^=%lZ1p(ulLD$O}6F7C-gT=F5}e-wD7YJ3Ktd&4jI zKDbNgb6UW~s(VI)>Mr}9_}glQpkwsQg}YREys>;fiFfFY%=;O39smc^a$E9V?iw?5 z6DR}E<_;kgA@)UjcEr(m-I*=!(+D#C2hb*f?2d(kccKCrsP z;^{&k6->;~kLuQ)e|mIi79(a@h@yC}b>l5jJ1TIltCrJ(LgH>|oW8fku*I*X6A{S`l}D<$Kv67$RJEL)9;2ht0_ZVU z7p@U7Q-JeQa?tk<>%(B=j}(#ocn`;$w{)jDa`4;}HlU~QQT+ykqcd?h7>tpdn<2Pu zWg=m?N9+E=f4AXz!Z_s2>&Cm=;?gpdo=V%|t>Hn<#01!BrP$sPgQfi4d4Q{rT;PB> zXTuDlWzL^02BLy;;W&Je3AZ!T`1J*}bcN3QuXBzygvDg{Kj54Ae~G;BHk$fF9-v4%nY5q_3#7fE zb-v1o(?u<*DKn>7LHzurY{0b@G=W8Z9N@^aA!B^Ai+-9M)**W35Y1(5hZnl>h-6yS z8Y5UCQ8W29rxDo4vhhNLjr)+hc?g2OqLJMNCR%octNVx2(EUq{2-fzM&>YTcP7GM- z2>_qkf1xh{DwC5)wnMwWP5*8Ht+$UYxaX~#{CwRy7Q&U#U5hKDSQw$j(U$WRwh&lu zG<+9A{Z$lC3lvp$gNC-ZR$3vPb?~$qr|{ob+T(uexFk8Sh7_sDL-NLie+ZRdfLj0U zaG#kgU3ms0#H#&^_NezxqvPYCV|7x#^i9^2BNxkQGmk zfB7;E0&OYQiLG{+z8;X0&={luOk^nFZzU3cn8L7w&~=)`hs!C})UOv+VV+7A%@

    D_BKi?V595pDe*HexKOyKk`6t_xmsVZ z^G6n#VYYe@@iPm&0R{ww_iruwoX|&SSAgT>v5O*H=t&am@JxC?{+YoDU<6AM9zondykf6&xq(cC zIT^0vnmrt?1_fK@V|^$-<5S$VY}=R5Lqmq7-%Yl zdzug?XsLTl0~LI4KBf?+t4FA`f+c+;Ago*nRt%sz8(kbH(UHFu zjErkG(6Z)`)0($bHmK6o?d#08=r-TvhfO0L%+iFC^UZLbJ#D7O`saNx#fi78mTh)* z|GqYvSU22jso&)_Tb8l*Rw5g)bWI~QDny{)6{zxWCjw<}nZ^eZhXKWPY(MZT5x{(h z7{o{O86PB>#P2{H6^=@-{f=>OB0P`IhKouXHwxJ@(u`6x&Wd5O{}T2r&H5?(546TA3N64zLV}@ zxvn`!4?VYx>%0$DSF)Nk;b4vkTxnp2?nR25>TWjv`ZgcH|NY@+WX@|t|GdOI=TkWU z_EBACK&n~!(H#NP zlGZ!vz1@|83Qpd_%iU}ZGJgDRB+N=BMT4?>l5$`n9M@jUI01kUdwUQ|>O33?q91dc zFO2hpLu1vlKMn>?>kNbxdI}F=9NR`U8SIM3^QjRU?imWg+SRLIG8LDDEi;Oj?cr;+ zYxTj zyA?O!vj#6LUvbXn!uM|&m7VlT#$sZ6!YlOu9nQqyK`!dgP)dJ(JMsVTa9Wty#3brl z_cNdlZQoJS@yXT=r4nWNCf4A9Yl?r_)0W7T2_{N-b9`8*uV$#^SeZCXyqjo04i!N< zc$vYj-{h3Y%`;Qvl0{j8qFk^9DX}urkbriD)F^8|JQ~%EF3t~4M;|YwU^<|^>U?s( z4@z6q&e$i-;2n-SWD*Xi{-Ogr$XsyKzNW_N5s89`4(wyGzXL1;+50t!^Q%+67RGZ$ z1!#P6@2^%T>{5mwKiVGXu62KJhlpL;zYW~9$&X!%0gxG|^U@!DNkxUZCc?S ze?Xn;5^9H(lTP6)`@#s9FD0U+z{Trh5kL)u`MKkWG~+2Piy6A*8N#c#e+4(^A=p~q z>8gO$>F!Z;_YHg5t|^*`O={*qE-iQ^b>tdHJk&1e_%<M1AWFF zs3q{PXaDaX75rK0&x_jsD*8{Q*U!bjUE=;H<W>EB~bYIY{)M)~HbY3&q3kPspFg?f*0dg8P4m{Bacj6Zq$0_dme&e*^x{ zLHJM9pWW_%Q2V_9(zbs(;Xgrtb~yh5G4cPOpwI3{K^h$5ukwJ;y9y`}P=){y5FL;x y3!8?Krn9`Ggsi9~r?s1lk{h$VlAW!znU1.0 - audio_audio_sync_1_0 + audio_audio_sync_0_1 @@ -19,7 +19,7 @@ 0.0 13 13 - audio_audio_sync_1_0 + audio_audio_sync_0_1 artix7 digilentinc.com:nexys-a7-100t:part0:1.0 diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0.xml b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1.xml similarity index 92% rename from srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0.xml rename to srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1.xml index 75b0410c..013e6e0a 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0.xml +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1.xml @@ -2,7 +2,7 @@ xilinx.com customized_ip - audio_audio_sync_1_0 + audio_audio_sync_0_1 1.0 @@ -106,7 +106,7 @@ outputProductCRC - 9:36234f5e + 9:779af9f0 @@ -117,7 +117,7 @@ outputProductCRC - 9:36234f5e + 9:779af9f0 @@ -126,18 +126,18 @@ Verilog Synthesis Wrapper verilogSource:vivado.xilinx.com:synthesis.wrapper verilog - audio_audio_sync_1_0 + audio_audio_sync_0_1 xilinx_verilogsynthesiswrapper_view_fileset GENtimestamp - Tue Dec 21 12:12:25 UTC 2021 + Wed Dec 29 09:01:02 UTC 2021 outputProductCRC - 9:36234f5e + 9:779af9f0 @@ -149,7 +149,7 @@ outputProductCRC - 9:0acce881 + 9:86cadc5c @@ -158,18 +158,18 @@ Verilog Simulation Wrapper verilogSource:vivado.xilinx.com:simulation.wrapper verilog - audio_audio_sync_1_0 + audio_audio_sync_0_1 xilinx_verilogsimulationwrapper_view_fileset GENtimestamp - Tue Dec 21 12:12:25 UTC 2021 + Wed Dec 29 09:01:02 UTC 2021 outputProductCRC - 9:0acce881 + 9:86cadc5c @@ -183,11 +183,11 @@ GENtimestamp - Tue Dec 21 12:16:50 UTC 2021 + Wed Dec 29 09:02:44 UTC 2021 outputProductCRC - 9:36234f5e + 9:779af9f0 @@ -253,7 +253,7 @@ xilinx_verilogsynthesiswrapper_view_fileset - synth/audio_audio_sync_1_0.v + synth/audio_audio_sync_0_1.v verilogSource xil_defaultlib @@ -261,7 +261,7 @@ xilinx_verilogsimulationwrapper_view_fileset - sim/audio_audio_sync_1_0.v + sim/audio_audio_sync_0_1.v verilogSource xil_defaultlib @@ -269,33 +269,33 @@ xilinx_externalfiles_view_fileset - audio_audio_sync_1_0.dcp + audio_audio_sync_0_1.dcp dcp USED_IN_implementation USED_IN_synthesis xil_defaultlib - audio_audio_sync_1_0_stub.v + audio_audio_sync_0_1_stub.v verilogSource USED_IN_synth_blackbox_stub xil_defaultlib - audio_audio_sync_1_0_stub.vhdl + audio_audio_sync_0_1_stub.vhdl vhdlSource USED_IN_synth_blackbox_stub xil_defaultlib - audio_audio_sync_1_0_sim_netlist.v + audio_audio_sync_0_1_sim_netlist.v verilogSource USED_IN_simulation USED_IN_single_language xil_defaultlib - audio_audio_sync_1_0_sim_netlist.vhdl + audio_audio_sync_0_1_sim_netlist.vhdl vhdlSource USED_IN_simulation USED_IN_single_language @@ -312,7 +312,7 @@ Component_Name - audio_audio_sync_1_0 + audio_audio_sync_0_1 diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.v similarity index 97% rename from srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.v rename to srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.v index a56c149f..061aa77d 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.v @@ -1,21 +1,21 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:49 2021 +// Date : Wed Dec 29 09:02:44 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim -// v:/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.v -// Design : audio_audio_sync_1_0 +// v:/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.v +// Design : audio_audio_sync_0_1 // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. // Device : xc7a100tcsg324-1 // -------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CHECK_LICENSE_TYPE = "audio_audio_sync_1_0,audio_sync,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) +(* CHECK_LICENSE_TYPE = "audio_audio_sync_0_1,audio_sync,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* X_CORE_INFO = "audio_sync,Vivado 2021.2" *) (* NotValidForBitStream *) -module audio_audio_sync_1_0 +module audio_audio_sync_0_1 (din, dout, clk); @@ -27,14 +27,14 @@ module audio_audio_sync_1_0 wire [12:0]din; wire [12:0]dout; - audio_audio_sync_1_0_audio_sync inst + audio_audio_sync_0_1_audio_sync inst (.clk(clk), .din(din), .dout(dout)); endmodule (* ORIG_REF_NAME = "audio_sync" *) -module audio_audio_sync_1_0_audio_sync +module audio_audio_sync_0_1_audio_sync (dout, din, clk); diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.vhdl similarity index 94% rename from srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.vhdl rename to srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.vhdl index 7bc95720..8f061cb2 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.vhdl @@ -1,11 +1,11 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:49 2021 +-- Date : Wed Dec 29 09:02:44 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim --- v:/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_sim_netlist.vhdl --- Design : audio_audio_sync_1_0 +-- v:/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_sim_netlist.vhdl +-- Design : audio_audio_sync_0_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 @@ -14,17 +14,17 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; -entity audio_audio_sync_1_0_audio_sync is +entity audio_audio_sync_0_1_audio_sync is port ( dout : out STD_LOGIC_VECTOR ( 12 downto 0 ); din : in STD_LOGIC_VECTOR ( 12 downto 0 ); clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of audio_audio_sync_1_0_audio_sync : entity is "audio_sync"; -end audio_audio_sync_1_0_audio_sync; + attribute ORIG_REF_NAME of audio_audio_sync_0_1_audio_sync : entity is "audio_sync"; +end audio_audio_sync_0_1_audio_sync; -architecture STRUCTURE of audio_audio_sync_1_0_audio_sync is +architecture STRUCTURE of audio_audio_sync_0_1_audio_sync is signal \c[0]_0\ : STD_LOGIC_VECTOR ( 12 downto 0 ); attribute async_reg : string; attribute async_reg of \c[0]_0\ : signal is "true"; @@ -528,31 +528,31 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; -entity audio_audio_sync_1_0 is +entity audio_audio_sync_0_1 is port ( din : in STD_LOGIC_VECTOR ( 12 downto 0 ); dout : out STD_LOGIC_VECTOR ( 12 downto 0 ); clk : in STD_LOGIC ); attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of audio_audio_sync_1_0 : entity is true; + attribute NotValidForBitStream of audio_audio_sync_0_1 : entity is true; attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of audio_audio_sync_1_0 : entity is "audio_audio_sync_1_0,audio_sync,{}"; + attribute CHECK_LICENSE_TYPE of audio_audio_sync_0_1 : entity is "audio_audio_sync_0_1,audio_sync,{}"; attribute DowngradeIPIdentifiedWarnings : string; - attribute DowngradeIPIdentifiedWarnings of audio_audio_sync_1_0 : entity is "yes"; + attribute DowngradeIPIdentifiedWarnings of audio_audio_sync_0_1 : entity is "yes"; attribute IP_DEFINITION_SOURCE : string; - attribute IP_DEFINITION_SOURCE of audio_audio_sync_1_0 : entity is "module_ref"; + attribute IP_DEFINITION_SOURCE of audio_audio_sync_0_1 : entity is "module_ref"; attribute X_CORE_INFO : string; - attribute X_CORE_INFO of audio_audio_sync_1_0 : entity is "audio_sync,Vivado 2021.2"; -end audio_audio_sync_1_0; + attribute X_CORE_INFO of audio_audio_sync_0_1 : entity is "audio_sync,Vivado 2021.2"; +end audio_audio_sync_0_1; -architecture STRUCTURE of audio_audio_sync_1_0 is +architecture STRUCTURE of audio_audio_sync_0_1 is attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of clk : signal is "xilinx.com:signal:clock:1.0 clk CLK"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of clk : signal is "XIL_INTERFACENAME clk, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0"; begin -inst: entity work.audio_audio_sync_1_0_audio_sync +inst: entity work.audio_audio_sync_0_1_audio_sync port map ( clk => clk, din(12 downto 0) => din(12 downto 0), diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_stub.v b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_stub.v similarity index 82% rename from srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_stub.v rename to srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_stub.v index c421166f..945ae4ff 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_stub.v @@ -1,11 +1,11 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:49 2021 +// Date : Wed Dec 29 09:02:44 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub -// v:/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_stub.v -// Design : audio_audio_sync_1_0 +// v:/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_stub.v +// Design : audio_audio_sync_0_1 // Purpose : Stub declaration of top-level module interface // Device : xc7a100tcsg324-1 // -------------------------------------------------------------------------------- @@ -14,7 +14,7 @@ // The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. // Please paste the declaration into a Verilog source file or add the file as an additional source. (* X_CORE_INFO = "audio_sync,Vivado 2021.2" *) -module audio_audio_sync_1_0(din, dout, clk) +module audio_audio_sync_0_1(din, dout, clk) /* synthesis syn_black_box black_box_pad_pin="din[12:0],dout[12:0],clk" */; input [12:0]din; output [12:0]dout; diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_stub.vhdl similarity index 78% rename from srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_stub.vhdl rename to srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_stub.vhdl index 9e68b395..79110599 100644 --- a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_stub.vhdl @@ -1,27 +1,27 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:49 2021 +-- Date : Wed Dec 29 09:02:44 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub --- v:/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0_stub.vhdl --- Design : audio_audio_sync_1_0 +-- v:/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/audio_audio_sync_0_1_stub.vhdl +-- Design : audio_audio_sync_0_1 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -entity audio_audio_sync_1_0 is +entity audio_audio_sync_0_1 is Port ( din : in STD_LOGIC_VECTOR ( 12 downto 0 ); dout : out STD_LOGIC_VECTOR ( 12 downto 0 ); clk : in STD_LOGIC ); -end audio_audio_sync_1_0; +end audio_audio_sync_0_1; -architecture stub of audio_audio_sync_1_0 is +architecture stub of audio_audio_sync_0_1 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; diff --git a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/sim/audio_DC_blocker_1_0.v b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/sim/audio_audio_sync_0_1.v similarity index 88% rename from srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/sim/audio_DC_blocker_1_0.v rename to srcs/sources/bd/audio/ip/audio_audio_sync_0_1/sim/audio_audio_sync_0_1.v index 78adf608..91020e73 100644 --- a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_DC_blocker_1_0/sim/audio_DC_blocker_1_0.v +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/sim/audio_audio_sync_0_1.v @@ -47,37 +47,30 @@ // DO NOT MODIFY THIS FILE. -// IP VLNV: xilinx.com:module_ref:DC_blocker:1.0 +// IP VLNV: xilinx.com:module_ref:audio_sync:1.0 // IP Revision: 1 `timescale 1ns/1ps (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_DC_blocker_1_0 ( - clk, - ce, - mute, - sample_rate, +module audio_audio_sync_0_1 ( din, - dout + dout, + clk ); +input wire [12 : 0] din; +output wire [12 : 0] dout; (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0" *) (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) input wire clk; -input wire ce; -input wire mute; -input wire sample_rate; -input wire [15 : 0] din; -output wire [15 : 0] dout; - DC_blocker inst ( - .clk(clk), - .ce(ce), - .mute(mute), - .sample_rate(sample_rate), + audio_sync #( + .AUDIO_DW(13) + ) inst ( .din(din), - .dout(dout) + .dout(dout), + .clk(clk) ); endmodule diff --git a/srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_sync_1_0.v b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/synth/audio_audio_sync_0_1.v similarity index 95% rename from srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_sync_1_0.v rename to srcs/sources/bd/audio/ip/audio_audio_sync_0_1/synth/audio_audio_sync_0_1.v index 3ae05974..136a1620 100644 --- a/srcs/sources/bd/zxnexys/ipshared/b927/src/audio_audio_sync_1_0.v +++ b/srcs/sources/bd/audio/ip/audio_audio_sync_0_1/synth/audio_audio_sync_0_1.v @@ -51,11 +51,11 @@ // IP Revision: 1 (* X_CORE_INFO = "audio_sync,Vivado 2021.2" *) -(* CHECK_LICENSE_TYPE = "audio_audio_sync_1_0,audio_sync,{}" *) -(* CORE_GENERATION_INFO = "audio_audio_sync_1_0,audio_sync,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_sync,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=13}" *) +(* CHECK_LICENSE_TYPE = "audio_audio_sync_0_1,audio_sync,{}" *) +(* CORE_GENERATION_INFO = "audio_audio_sync_0_1,audio_sync,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=audio_sync,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,AUDIO_DW=13}" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_audio_sync_1_0 ( +module audio_audio_sync_0_1 ( din, dout, clk diff --git a/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0.dcp b/srcs/sources/bd/audio/ip/audio_audio_sync_1_0/audio_audio_sync_1_0.dcp deleted file mode 100644 index 697731fbe897fa4ea1e6c70849cdce39c990d7a9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17470 zcmaL919&7`*Y_Qp6Wg|J+qP|UGO;SHBTG^d{*8cUX zRn@zy*WT6gQota{0000G0O*E^N=D$LXbXS<0L-8O0N`J$v5`HkyNz|!yg*DIJu<-d z-76KQY#Vs0zo=NAEQqslo<4%%#yrS6p_0g6p4%b_W(Y7^xa; z56Tuqnz{B0`^}M5rf*wSt!*;C$Q15Mm$oyW7y%2W?pNW|t*Iu_*o*p(5uPU&{*)R- z;z0zDn7hqra_I7*XoyxJtfysaK^5CA9<>)p;cqhYJ%nV89d*!%ZlcIUR&@WNbMCru zuN-GdKyF;^1d?3ueYM-sqD*XO(1SFx+X!s-tQqfbh|t3H#i% z7D$Xa&OoE;9Mz~v&4S#557f7sGu#f^z$ah`@bCFfZZpd33H2DQ4mkTS!;tUhtzniX zg9?sV$-40c7E(zc(5vIA4F)dF)zD|TRT&OZqFMrhYiXNv2WiE``V^HcbXSoLFNBz&oA(J zB|#$s0N7Ok0HA;Ec>@4kemi;C8tF0U(bJk3n_lSHD6NTq`$SP~-sKS|%2ca)7eDOMrrscBT;f&q=J0fU z634s=XS#!u#y#G(YG&Te#|%2x#_^Ux9Mu3jm(($~E&=BPJf?^Hw>K6y-@wSZ zt>e_w(aA|>#l?VQt+%>$?!_-+ZQ6iPbLzgfZEKE}HZhrBCxlI5%X&>wnj!TQZ^WIl zq;ACyh`h3<4!|~MuFRpM>83^@qcVGKZTOmbgL3dY-eK1-YI`97u)n1CaS4BU%zJw6 zW9P+eFkGCfn7hSEqqRjm6QqJg zY>chY4p_0Zn{k0YyWULR7TwRMiy5|0N2R+tDIAkRWzG8DvZnB^uH<#z_l+6HbLXdP z9B+~B=rqIN0Y|cF{w{*J?YSonKe~BfT8+lNqzx#*(hfpY?VVN-XW;Ddj+O<}X>9WD zgrAnMo5u3MS%mnY?cIhH>2buRO*%(P#JnH$xw6iXG-#pM1RGf}#>_cK_%Piw4wIdJ zq?Y!lxNvpn<>kSvn;c2Hoc<0HLCcwmNyFJteeB~lUp7;qS1K)O!kHJzMvih)V$y0E zrw|0;C->>SUXGZZ3&xwJWZ+7=r#jqS+3kolzBqGrv0XObJD^4K{wyr3*@(I&NmS9kt*Hs?~~X z>D=LHRgcLo6vag2vVC?3hQ@c@w%2G1sA3>bs+1}%Qa1AWo)%s*PQ&xbqc+P3h3~EG zM+9ZLogaFk@$RPq|ME6qQ+qYPK@~BLEi|k6Z3}$l7Gnb{@c4u@3p^P2xHnVkuHl|x|^K$-H8M4oO^Er5(?0Q|(5PogO^%bR4j^i;?yzrbK z#UUeSCXsu_-*y!6Js|jlvb{RyxEr$qK+&14&8LVr)RN)Ip?vNxKYZIrd>;heRS1qG zr(3YjkBh=GsSJ*6tBKM{U1cEK4#Bu;Eey#7ngpV)J%=R(n!-3*PY9aG2%G*<8ie;X zvG#|HLe@J&*FV5GYEKB3P~)uZVu{jm9l;45!T4UV%fQquooZo(n)Nd;3`70ifjla2Mpc|xz$3-F9x=(HC zt$Z8zv14bxZc0zTzFx^=_%r+wYSeR~o|bP%}J zt#^yaAH;QFdYvBu7oQ3EkJ;>z{;AV6K6BCd!kaA<-DKGumlinq80l7pb~~!(lJh)E z>z&ZUQhED9+PAibnXmwj(6G#9Sn^sq=KMB4v^M-!fkc2Up)*dw=26Kp0jSyI5@o?Q z99!b3hb}Q@*l;HHb&Nollyfag^~vp4KeBSY$K-vt;z+AkXi{%XH$+@JBKQ~*7e4Z3BN09}zDDy$Un>o1!v^8Hd#J^szFW>ELL4R2Lo|erCYv7ezV@^&kQZWaL|5bRT~$I!+QfI2KueHQdxe66a#ws zj%X_mSFcd4w5-e^EXcy?fb_5-*D!pmnHBlfwxU@uX1R4%u_ce($;8*>aP%Xb>OQoB z>Wxwj8Rhe-c~;jU2)D+iu)61)8G4ul(k)J`ICRIZf}uPt2r=8x(*t0V(7 zq66>pX6kZ>!_gJIRT43(T%;-LQli78N!;-g(U$4zQUznAMRY_c(gk!R7OBtqgwMEl zzvxR(>(vSFviNa9DZ0m@=K)d?ivb;p=_oEav{^0gXZTp6 zT8rMOr+Oyr>dH6XocEbDNF4|4v;COe*dwn3F$ZM(X%R7W?DG;wC-$5IVm^#(qiyng zc_M6Q5=1a?FXeS?IP+nGuKxc|_CWZOKi0lv{t9tazYRfqWY1zmxYk4PfB>F(8#r+1 zmSS;KA$BO9c?fWw;eei=ta;WD;8t{1;s^rlAY9A9fXd09ZT%R%^gckHQ>u8-VoQSm z>+SfLcgdGGAtTC&A%s|N2pGKfnEMzDnfL_d;l_>D!d{)%$WcjxH^3A*9H%1o(e#LmwkB$Kj4P|mxJ#ZGZ?&I zrv3lbKqHz5{Gfn^FGindY#-oafPF1`Z%vYCY;Vt(cZdPc@D9M40K0~Cac5i6mm0Si z5E-&^J8M`|l<+?%#zGUGQQZ2)qDFm;z(I<6{7e971QVU|C2qMKz5k6g5g+xJ3I0o% zMxVA3?d)zlcKmrXIm6UK=Nz4;sG2V!pRbh#2J%h3$zYRFn2ECqiFK;MzQb5fcLKGO zHFZ7}n!y-M1hOrLazSX4#M$a8rYIU%Z!ks@^g4a9HUezIV*Ub4WMx|bEEDnNI(=w! zMDU17MUjEC29|XSLC|5a0J0{tG%W!$Y<}6Gejm&TH5&|JU7i7>&Kz?j?WjL2Q$gZa zH`VaqktJc7zT-5qWqKk1A=m(jEb|m^lUe3S8ga=%^|hU@;Q&dSI;@YEXFDIj=~f{1 zl_VWN=@Bv8^huWP_iuiwlCoge;6$wa=CX}~74h)MO<18YLsC)*C`3 zT|Y7uZn1Wy-=sxf9UPCHr!_?b6&QeY|&gXAmc=^dX1nl-*6ir$MNpb{b6%qyP z)}JN^*TpTx99dGqLf2#zMAs6CQ@JBRMO3A+XPlizs6guIA&bW7qhs0Fd8X1$CX73` zI60K%*lxI+z181QCw-F{UIO~X-;oXEG52904v0F8y0@ji?}96jKaF+}-Xwrpl<4){ z-DLMNv{nagF5Qegf$eP`|91Fwl#Lr>PrN7BLX_R^OUu89-Siu?1Mk82hVLCqO=O~J z^2c6**kGTpoilg0%#PFZ_DRTI9j@c%_J-`ISr^y4`#J1vasNg2uy@o2*ICryN03`M zrtl+$tZh%Cz5p7WR*2&Q<@qe4X3YmA4-MRa zahEqlqkFy=&lA9N!;guRvsWc~rYD&e!ec|t%?XW^|8p_A17V}Kns18pyxe>T1I|EA1 zbZc6vzg|u56V-n?abuwGay8cnPvCs?cGtip=Wzn0hN>+hh@x5z3RivQ6lU3AG?o29ELmsxs)F|)KxMMyUbVJ{gmDEzM zN6JS-9`0|szx7ML_(x9uONRejCT{+cfBz%f{3Rp&CHDk2%%>lS4Bleq^Fh-*n0m$4 zFe!ew)Ht2ECCu*}LcEbOJl+ z`SE;rGCE(!{p()yc;|_4+ceC=8SdrlzyL2)Rb_skfWMMUaDSxN=}dTcmzmTCnW2{5 zJV0`}H_w)_?`Z3@yz3qkpX&pDpXfwdHH9UPppV{cvBQ3CK_crRcZXRKSX?D;^-a+R zzoPXds^a6$1)u8W@_o;LDp~UJ@!ot0_I+A%yTpYFb~|QjVjsL4(?cEtUxK zph6+#TtO&-yd_92f*`)ZRpnB2W++fJ&M$%wiHic7ylTuV*^At_q4DxP$0;iNAyB&> z;A`A=C^IviR+&Wyq*JV`QKq}hD{rrlA`#-6Rlp{&3eh##$)cZXQkg;)KY=_*;mfjboX2ud$ z?nxJa=Z)VA>q;j&LB{IYhpqwzKQYQgTq81m*%Jf488XB2dGkOVjlJiD83&@|b~aX1 z`Y2IetuuA$kp|^#wCQ!hhwCDv!21j!6UtT(E?$I;%19aYih699ni-<7{You9*hZ;?jO9O9{XGQtl)v#7Db6sS#zeJ#<2h{TfT4<58gTSu zSUncm9LsB0Eg1x~qJ>>P&<+W=h96$M{yo-HJ8X)E?G1kbqpb%*W<4ngX>IJEQC){S zXiLSZ=Wj>)alT_~Ep1L1+AI@vIL9Q@P`j_j!b}gQ(xk1Y>Vg(8mrU}GGMeaMgE&jL zIV1)i{)k|%X7A=1{WzRjXS$Q|T=rXCiH6OIVkG`{vZ0nZ)2fZ!Z1DI&ITb=m;u5^{q133)t|qIC4nuOUI_OBOmm_8QH6KNznt_P;E>|GeXn2^T zingZ?#iQ&RnG@h>Sq>{2epnC#gnZxrgw_oayy8G3KIx&6f~}VZRok?VUV8zQDs@B) zl!pEaVTOc>2}teyO#+*p$ZTrhT}_nF&oY{HBsy}(%DO4gl5$A|_OTDCpP4G^scJ>U zI9lXtLtEN9@$>5$fvdA6CqSbw8g9G$YzT_`A@SmfdIyg>j8N=x^9p@{+K}Mz!7pp( zM#y^SkNzvUpUlIbzQ8ubvRtRD;7kLgNFkjQC1O4j+8J{TzsjK_ukA2&ajZf z33h7bQ&w0Ek6Y^pmZ{akMFG1aY#mC6ag}WMuskKy*3%4r+Rxo=Bwv>BoQY&VXESZR z0NLfagg97Au@*j8yyID!Q(ZJ4C_s|%Z_CXL;UeM2JqB{Z4q=Gq`-%$;`|%k@XLP5i zFz?Pl(=O>SS|Ra;7Q9~3uKGKi&mTFDgE&K+VuNdkr>NoDtO!r*;;+S>J^HKWMQ}c{ zYJxjea_!st{|4*@+Qehvz>pU(Awy@4*?aQN$8pd5i-7%F5FZHVszo2@ zGgFAoX6lE1;d7PtW0BxZvY!^=Eq8A=S~wh%@xJ0RiwSGPB*YUz9MnLz$Tr%CSXL6g z)ggsadCJ48Yt79tJ$TXKa&DH-Pp$&w^a;B>tyn}YcKY&`( z%^rP0ZOY>HNFE5H_`*wy#q2FydbLisX<*^h<0)Yu{}uptEs zg~zj;)u=i;B$6mW^V{NHE0h2s3~P=Ycy*lE1*(Ji-Sz0>9ptj{yx*z_6JE$fv$axF zad@1Z{jw#tnr>IwY&l9{qMuEBvTE5utqlR_T7{&*sb`tIWH($Xd!f^Eiy|VFWDjj( z-_4{>p>^H#$bw>ZIvKUfAt{(wq%?Xk(uGh*butsxq+w%fb{4vy5BEVaaqpV}-x1uN zBgT#Z`mT?yQwt+NAGgVcG}{gD@O1%F@@3ue<_`bRygj?0m(4b-i3>7kg(hF5y>34X zS%zN#qm)bL;x~HAhsIe9>|P<(rSt?GFr#nyz#xM5DNp$H8n}K~?xP5to;*vJKQ%|i z_|}Ixb}lq8%_VvynjxtqQLd^LQY4yJ1Q`R@dySqeuwtazh}Tbr87Z14*lWUdi}x|3 z6lI2-Bn2i<+ECYFLwuUccLD(Gomxt?phyvvId|a&u(gZTas6@&-cBi*@9-Z4Z ztsg;53eqkk zLDI4b+-AQ@wQPi%BVh0GI!#bIs6iNyb0!DLCO)x8xZKgXP^AGP^Qe|jXD-d?X?M2O zj(X8#T7vBT<&LHssqoAUF3gm#lJNXJU^&FiSH-JtZGkTOxlE!O#*%_wO*up&;jim&4933mXCz)m6ayEhC z8OmZdsYi_kH@B$l2#TgXva<-IK}3_z8%IyAIKCKJA+no|88-)P`&wq=v^$(ZadW~ezRoz$z7zI2ve5!?xUCmS}ZcXIrS<;j3tX06RRB%ckUTKO)YNaO&J1r z$=wb52Ql{#IvJ1k+RAVe7&*&!vKTf`B2YOC?kp=gHmHhO_70ICeL%cSa%Cw8_q6yR zGKR%YCxp(zo6SREu`1TsngD5aM~n__(`%Tba9M69P3x$Ko+g$_$Ax%ag}F0N+tSrR zn5UjP)Kyjmu&JHCV+l1o429?D!GMG)qNu}6*ske!1o-Ybx=vr3UGPr?>Mr|}4!0ro zz~6#KR~FRcuPa+DkF|ri{3k?FCK`BDH8$roQJE;60Nn5Q>4OOZ0C;zhdaGtoX%x>g zxe*|IkZBg>7~aZaDyAYD_?gPYJjOv z7#%VFn-VEIZ5*L&O_0scsGlrCo$pcPNyrOSC^!mS8&+*PWC-iPW zcW%Yt!h@2j!=<{K$dmN6P(-?A9d6>vT*C|Tw2+g(+bB?Xc2b7pat-w6!-Y#tsUxF( zH_LxsD zB|{x}`(8~>+toq|H6eTRp(GoQw@kj-c(J>oqVRlyJO&LHZh|#%OVwEC?xBLLFr>kK zl+!F;Nj$I{FH*xVaVPaMrFa?N<|Q?{;ke`+%`YiRHm5f~X#L_CP(Wc=VY zdF#~^ezc21l_gdG`_pX(UpNFvhc^DyVaT}}0Vev)5 zW|I`s$YZXAY_PT=hqYvrWJ<0j`o(aeF002T>9ia$8g?#f!P=&fHv84D0g2_q|0WvU zud9lnCii;c|udXdLBZtSGSRIZ2eJ_PJ8r$S%s;2w4<9LqS#oS{S-&&9DO{WAGu~ z;M&P(pL)_D$-^DI5nBk;DH?Fl${v|c7xXZk-jf@kkMDb~amP)9Jiw;M#{#@j?Xq6m zV>rRZ6@1;x+|eXF&eGK6hLg4X;qoy|=kfVY#rd8fgwggAMoSVq01K!{+s!RbwlshFcD!D zxX;rt5fK--=t2^qJi14HOp#$UODiV?Nyu#JwlLbf{sr2|JoK03B$BeTkuWuC#@vhU zs6K}4zUf$Fc?|HJ#?c_kvar`56)Yof`5voU^I%X?{nDS z*Q=c0x%ZMch4ta0&o$~`x5{Ef*5d3Yoo$1!$U2mSFh;5Z&tX znRlr*x3Uwpxo4xd$g&ezcQsEKMHey=i}DQk3BX0Pf)A=Q=Apt0d40TVAN8hk6b1DG zzUVo-6p{4CDc!lD9m;0LOKmh0f2YJW*g&|XMbjcF%9T$)H%`qCR9Jk_`|k_)7J66! z=dTO)jxYQ73wIA&XLIOlQ&U6(ef@3y=PY)XW&Txz3g%cc$bKmPjMQXpWbM>n+3IQW zsd(@N5ma~ad$4=3_p^73^>Utpp6;hvcN}=$f@IQr`!DuUPMv15yxybz2-_3G{oQ_R z<_P*AyEakys00x}?HViioah+ue$K?|e18;nP>W^rB7pz^1d0Ba!j7A<>4wIP?HWCd z_tw&Ko`~ja`e379XMH!=`J0=VnS?^YvL(8Nc{3n&827Dlc;5Wh*pyz?m0iPUuB8SV zx5ls2ssZ7M$(9v;2``;#RU7y0h9*EGnPhH_kSv&z&&3i-b7F3hV9F9#oR7=1ACw_Z zC~>zCZ(s`cS9k_qwbsBzzvC7gcIURXS}6?WTn(amYj(62-D2Koc+at3w78e)9-Gv+ zm|mOKw#Yu%mOtBp=reH05yiplAp-0IQTeaa$8%*)-2(4GgkT%Z@N(ZlR)VNdAvW}4 z0MbnX*e0O?sT_7Z;8l@|PDONYAw4Hjq0Y?;RR-vQ-FzuYc~|lyjK^8JESz}<1fqMz zh5<)s_Qus<2DJO}d$Z!e{F(B#LL0ZKi#bEgX7U4Q9f#m1`hS3*Gla>nv)&w1k+D{( zAWx4^5zT{B^X z0bouI)F#i2X0gzPf^LcM*g5?U?L&=WEtPoY3CkPHRYkzkH4E8_!ih>|8FAsD zn(BGz#2Y0DM`sn4`|JAL)_3T*{M@nXR8KbQ{Ig>F|RTpCXSKm6}~0I_{w?G}-P&E1 zfn7up|LeibY{dLm%fQG=pEtqSVN4d7Y+PIW^C#afa<`F-SQq4+B0g^)L!PmOp$ zQAb35JTL~kLo>GvSk*7;viZ;82e8w;cxLQADavWby20oC$S95kU*+YhONq1Igzs-y zMa)QZ%dj?73aOoRpqgSBy;5>#|K{Xmo$c)is+8lKzj0|tU;>GI-^KQ zG9Os`4_(X_dcwt!=)SM0KZO>_O9t%{w@8AnvWu+ShorbARtx4obpF8g@+U|;{H19k z#4)XBa&L9 z1$i7l@chXUqrJU0^K2{&t2``U8`GG$4$#(a)}F@VRTKd-a(e0~$ZhDlnlGCDx1)h4 z#^RltG>$8Ft`#W8ILd&1dlB?3(>?03K-3I6Hd%J`2s9)sBR?NOd`H)a%9!r^=Tph< zD|i$b6WW5JsU1xHPD;Dt-W~g`YNBw&z=- z?1xb5LKk;VTHFgcbsLAJs4(8=uE&MIj#G}qm}B4TX-F>s0N|DdwMSAL8R1O*sY_dY z5Dz;>N`uiF)ZC)Z zqWx7Tcfm6_%&tkw#qpcoD^k=|W}g^)+&zZ+{I|AmIGYlAcsi?D3J+k;kF1g{jSu(E=nDn#}jh68_`WNl_p`xB<1OZg4{Pkmo2neI5^=HIghK*tVYYT=9~M1Cwi$WUjItu?N02RH<)wyO(#ZK$gr-7h>Y^N ziz(-~DCo5@Y0hyz2|1aWc6y29IGBU_x2e6hAT}e?)LM0;dkcd;aLQlx54)cADnv_z z&MjsMMfa{Y=Ck8(lFc2p)jmN%;?(%y4*03KPb9boMaYJ(JFKpAfH2uz0)?8P#nKZF_ zxk5mNFU%pWX1B%(K=YQXU1YaJc|r=|0UZo{@Y0Q%!DqqF2c(9+^ErdmSfddFa3>GQ zC2eEK@B2L>{VZ>;Clg>UnYu~+IbCY#R^opDeCg$MBQL3&5n|`bd#RWcoKzh|X__3Z zmE@#|TqQj`2C0=o&!jQwXc4D_*TW~pZM?-@SQ>X9!b~$mpJ( z0Zr3VE3DJ+)&LmbP9}zY&_TGYSg{%HbPK`Kz8mjl41ta1BG`9JDL4!~XORw9&&yRw zVAW2IKAW!U8ufi`*9Q;#r_L3giroG!qV*BuSikFWIrcQ(X}>e`GLg8vTpC*lLvzL~ zDYUY|y6TW(NH1qG=IA!#e)Cl!HI$aLz{6Bo%re+KWFE3Rgqy5F0A!D@@0bI7Bj8rx zIKmSZiUmBuvl_6WP9!m=9K@<3U=(T2UfQNxV)>2tPAaokrD84TrNvr`GoL=7)6_D3 z&)NLOuJq{vhPw-XQ(Fz9b_?(czQ(V!ta?oJ=yClf@pZ`Ub^L=1mf!4Jnz4_N22hc) z8qUJ^PR7G2$Y!Au)J5aDUGwuN^{tDj^29A>Lim9ZeJ*re2NOCG!bHNkbtXhTy84aE%3&P+05}`J{HtobZ zvc)T6fVTR5S|^0>rHpjAo8G8V41e4hR4CLMXJNl2($uafQi4-Um|b|BYVuJSJ9=xxRm9^HcPe49^97bYU2uK6LJ;HyEL;6 zUaM->^(TM`ZbHFjeYPhPp&s}9iBak)GcO(ce!zNDT z8^AeN{2J6lWoK3&(`a2?lm*~wnDDEUTb2=T^TAB}aUJO>*b0Zl&{u)83?9Xg)eK4f zi=tiSi_7b)ru_L$#q||gujh1RtB9(c%-7unP-1(8_F{Kx2tvWX$t-*h?3!nR2m?iR z9A)0gu|3jW(vmw|bqeZwLpR9i&lv7_PL#U{5Dg-444aTn=}Ky6c!cNeHHNko>>bt5 zoVi+2kvZTl7S`BsXC8!xQtnmCfBIpz!* ze&p(VLdFq*xZb~LsnMwb+QW#Gn(UB;ThP54v3VxAja33fibDNyj^ zRH${J@awH=s_i-Hb1>%f$6$L^JtoKHb`@oSX zvwKMa0AUdl*INI)|>1Ntn zr>02aBgZ*Ffw^&5Yfs!y(#4WmH!ET{ffNqibWEokPxn)E)7S$SnY{M+Ey;GA!k2?E zS~IvYXpgD(3+;j@08qWZgkx-lyQ1AezJ@kdDsHmQa6 za&N0J`517t2+RH90z+lP0aymLu&cmQ`CC zung?B?Z!1Y$k4rEn7M^kzjNLl81%%T*L}1-DTkiuk7=32R>dvJ=_7*iVaGJJj#2#O zUkspz0HbQ5_Xfq!gyouF7?W|)9@dXu0YKBuXJo=d0ZJICqST%Cm>{*x5x?PjU(+;V zZnW49&dy4vT{u+!T9!{oGz5cW*xUj(_fpO{onOZ^Bw=`u*gv;^bE1(l|2COY6ce>T zff1jWv%c|dW=I0WY=Gt73s;Y3>=?8rNG@0rduVA(FfN;0!FjU!#ndLZRc38+5uLv& z6K^isfX^Y~3LDw`n=2L)JrAs8{V?e?0p#vmE}Fg;c#28x=sZa!WGa8x{^$%%=%-nq zyx}%hv?nt?{ub`GlYM;VU~{R7D9gGLG<%nlxeR#NYaEv5yhvt{ADiR#^)jWa#(mmk zCRs8l?e!hrkApD4yP{`R^zFu#IjmH;@v~Y*62eEU{XEi>{v=cBPYeYb(FRu_K%WJP zU=)~o#eKq*dX9=Th#TlVgX1do^H@Jf(zg*`AELH}fIeLLS~`|yZ-yC>)VX==-ARzN zwAz3Vd51mh=}J7}^Z`MPxH826VvnB(%!A+{r)0Hhyw`}WRM|&)V(|};;%o1q;|mXme;Pjs_x59tI(|kzj(KaIqCqxHjFhbdQ`@- zO-AxDozhbBg6e0z2y&y0aSBhV^x2|)(3dk;B^TsQ4n+&e{PG}r=BRp^5xU!UODV?1 zwve+Vv({XpT5&|$r#=4V#!iY~ZEtDU!UH2L)MxkNsl0;J9;>RhvrdRz0`@#W@eWJl zLale2o6y)Ce*q|-N4EaWj|KeR6|QNsjh>k7c1GdcF3TOYs$^)h?x(enehBi^r6`Mf zseAJ(;wpTKX<33AzXQBw)PcW@N7%B;!o&!9=AhZZ%-nq~K#I}sDmgKgiK7dTbg57m zRawb6()DLvghl(?K7bigo|Ui$;4Qoev9NQtQwu$%R%?;>8;0JrL?iyr3+m5l*}c#% z4f*6rH|^wbzeW!)qUx&6lN6uHb!E!6@6#>)fQ#672<);z=oQ~}fApX}A+~M{4?Azj zILo~ZV)^KhvNx+*4p8M~?>C}y>ujN|2#HI%dOosX_@=iA@2#$5n>0Bf;;4wjN(FM?jIKtKg%#4cuPWlM2f6bbB?24%IZrS(n;rprn&Xc0Y~_VB>nZjnl;r{mF(#T{>X zlgPuTmOIwyK&;4$A*#<%$jgty3Gsr$OCxI=bUiw;HRdydE4pggBI8rP@~EB3pQlE4 zdTq>gvghduwab$;IueH=2W250x;*PPSSAupH}8_C*Q-o~rDbxK4?L0x4fB$7fC@Js zA3iY^BeuPKYewCKb@I-7JVN+J&<1cn9# zYn8h<)iS)$)d?o>$iMCg{dms^b^iC6RgjcJTf-P%`hj03nH@$_5aF8QwIWIVges~Q z{Vyo@&;H+hIU7QCh`7AxGo-(@Z7~34Dr_@su>b}v5lxGPw>C1+;SG#@mTjtw*Z0p9 zFsxWra1GxN$Jd){bPEqswK1zaJ$sQNU)}LFXyyB#jyC~RWHWS6j}4@t$h_|@-LB&0 z@jMlThc#zr)4XtEh7>6kr>@kYvemD(=@ zThR~C+J1AY)hTmf7b1uO!31un-*vqb4Y@{3u#FwwS&1U5%_T@n4c_rRT(x;J)fVJK z47nC!Go^%`tM50N+KtJ%t!3#23?Dz!vD40$-B&JbtDF6J*}`KeR#jaXK-;8Ex=bPP zx$6H$?O3)j1?j13FlhU>-F{`IGWcD}o-i@6Te0ZH_vU%|5FIXQ4DlD{o>yX!6wpXs z&HC^#UQ&l}wCJRyu35?U{4d<2`CZ-jH5fS`7!NSC^`lZZ44TmB* zuIxC7KZE@QZ48MT;K_5AP;<@sC~?^Fn(l;B1%XMgU){ zb*e&m@>ObSk8y2!Mv;TvT%dnU5BMRU|J=xyuRnp+lbYMB4FDM!45^98GP1YT0B5j+ zD%eHpS`Lcs)n6|=mz8_omQ%2v!huI*GX(}MEmU!UkCB`~xFcnhu=;s^5I z6)Qr|;W34;N|pAPeU)AR$NM7nENu0R>};J)+?{FNjg6>eX~O8pN9n|AC`**5X{aZp zX%wzuqMu+wpPt;J-CQ4T6d)j>TvS43!6~I>Cq^h#rzhkB?4fTXB>mRg-=E|2`fKO& zc9l~gM>tk$YPA2T0wbvz-ynWff!9F*0C4^-qOawBw3beGwoyN=>{jWKgS(#8unA;< zN?P&(UIz77NnI*12~(J5;v}?pCu>qO3#P+AdDkpJZ48+49B3l; zdRjJG*4s!jlv0cc*4Q&SEaWG1YZ?+Sgr0s>S8oMOvE*`q2(Vvw0K{YV$rJ_npNai8 z*pJ>GVg~Funf3{%EZ1S-8^9<&=jOZZE#~ta^4**L$*k)xfbCa|L1YbTu%#IfCFwi` z3L(u9kDk-U{1WNCbb*s5?XE$XWQAw&nsTTfk0OOAiZZzew!pDNC#Q8ligTCN=oVyBlHB@QNU1ngvp~RZLs#KT zj~%G0m6Tv+b@i+oN2C?vv%r_oUU|5jv{XRLpO9|t$Guds`PN z1Ks7<#2u`$Milh9DwqA^8}Vm13uTmwmc!aXKrC3h#@S6GrWch=Sht1qA@IpB~4!%)zK4YP}ipBYK00}>P*Gy_e0Mq_#udW29&m0*WZY$?4B4+Ci$5l;4k z%|emrMhI>}Phep>=65Rr~fdA?j~udBa1mwyBQ_tD+v z_?rs#>wfRdFT?t`W68IDT}j&e=M4Zg7AUcLa7PNg6e-z040 z7|f`aSflK-GYqORxqIAet34GNl(dnDtHBCr^yt@6h^2C(Iz`1eMc;fVww z?S3?|(_lD|Ues-l5Y{BS`iezw3>2*984xk#1P=TtrnSmXkSlJF=Q>E(7YHyb7th@B zBy4upviUFXlZ7%_f_Eg0NZdpAJ#KnG-6&A zQ(M#C6|ZO2OOHITbw@$VD^op?M3C+iUx@{(Ax5^VC7vc7KoIxt@VG`-L0`tc zJa!O&H`a0#ER4ASI*nPg!6BZNZK}X2gR~4mF>e7>XlbY}4(S4}UfgnUIIIy_kQ1DO zI+{n$xKDG{_U!cFpS+-zx<{PKGZ=BeC=^OXrVZWClzY>%s>;INqlAD8=xx5Y4JZiS z9q7*y=vbqP_EK6399z(R-{go{L_ay%{78GP^Lr~u^wRFV@1|L9>R{#bNE1N*n4+&5we&QXK|t=!gMW7F{RQh;A6 z{rB%F_{ufFSKa{{fBg0A|9)%1pPl}^#r-eWe>%N>HUD^zcK?IA=J}V{{uzY-1pPVS{0GFx_rHR^h97w;P_V!JVZMHp zfdK%D_yGWD0YsP`ZEU64<&0Q83}w{}6x^-c%vn8!)Er&al@zRmgpDo4t;Fet#l+Rr I#Z`Fz4=+ep=Kufz diff --git a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0.dcp b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0.dcp index 8235dd4f1ffbee5ee1b522a48f11cbef1cc7eba1..e55e06cffebd1e1ba62d9bb32ad3ff85d326c660 100644 GIT binary patch delta 40527 zcmY(pWmH^Ev@MDTcXtc!?w&@11PJc#9-P3&-QC@t;7)+xuEE_cxWn!5-1o+N=g02u zU30EkYt35KJ$jEyI)m;#g9eo3pkc5fARyo&T3xcfe;z9zTZM#xaD;_`fCG=3nmDp} z*x4p0DLbsNVF%|9)z)1%3dadR{tokt_^iYs9w1@4oM>kJz4H?hH7e4FuYdb_iB-hI z$&X3*+HaI-mz5@;Cb?MCwy63!@|{HOQM#ZN3={*L5J)$hx*=1dB7vW#VkT?PTrRx7 z`=qzcj}=YOcc@4RvK4C`bVznDDyDcMVcXM_+I^wyM>wF8P~cG$5(4OwdkG;d1=nj) ziT#RmA&6EM8O?E|-v{NecjL3!F}8j#C=mU;a9tIo>7iqsEWTE=BGW-1ZS=VKyWVWv z26ec{i)S>&RH)05lL3_T31q3K7DT_C+syMXNFC`4wgqE3sj%x}(x5jWef}{Xne?k& z944OQxvIA#O7Sawz%cW}OS?p}s`r=TsQa9)1a*hXPP?@5TWXdk=zXrCgapz3ww}?t zwaC}9$%SDpACx#<#ifuEr@AoGX@TWdsky$gxcy0?B?I-ipmpHWU3(pxT?g-DrqBc4 zN3d|5d~D~PFm}*zWS?ofLdI28;cb&}17-O})ZNct89W6OJAU6(V_Q)&op6>+6y6LM zDrOMQh zR*4hJ#2VHTp2bClt^`uBv5IA>ABT*$VFN5kcIJpW&Y19_9DglNn{$9L5vztRvqVH5 z#528EKGx9fq=;7_xA0|0C~g<`#xnI$bt`u|BY)T2MA~~&2v@$zB2i3*5a@XG8X_fj zd06#!E8RGH_*w^d37z79+VWe#p47|_6>@mMn-|9VR)8)es|GDHIbXNrQ`-9=#}As()f@rQ7=u6fKU$ zWYXm%t=Y3%B=nxl*H>EPs~9(}c^}S;e6p^pQCvn_U4@5*1a3H_%&zW#G3A7Q%1^=} z;ho7S-o11{O>$+WS28!#^3Mo$<_^SS8q*9(R@PxNu*~|}C#}k$Ed`vnDSt1Z?QBjl zn%H%1A~uZTX|Mk%p{q@1l73cmlr8l(GAl?0?TNegrPCNvb?0Ep6)GeX`O4K7q=E#kZ#W0PAgsi z$gVkN`%-CgU;!i(Nel=JtZDe#2j-gD3U}<$-W105v!_P?mGi36yOtmc-eYfZNETYg zUK8g>(!lj+%DW8mg|Q&eW<32XJIwe8$Sq0bd||%gLyxTetsZJC`KOHJH@t+@R(pMy z9esDg6BGWjLMGWL3OQk@P_R1-uoq{LW(}+Rt6k~r_&9Nrb(@(Vi`%- zf|j+@=_zElFfSOsBZ6{trOk7|5)4+_u!*7kVmCd@6I=9+cYseuy=dD)c-T-4SLSRaJKG>YX@#-? zRnTPKN12YMcD1iBk`9}y&n%zKwPIk}^*AivEG1G35{SBRs=y~xzSt7$cj1kiU5IYTxf1wDaC@n80n17)>`-VkubL1}x za~|>w$6KG*wq&Qwgsi}o?a&kYqWEtv1=H3`(uh+oBE#x-7{B#C(ydaIk)jmv+X(1qzenLQy{ok)rcnvgQduXEXAJW)woCm!&UZgG31c(_iHUz|# zTij%ZeU zKuDz4dD49{>xcQlt2zInh;TU{>*dW$z+34=hVbd*cPYgj&+Fk56N@aqAEpygo}4j2 z7Q6ew1y$uYzN>luh8TXgjp2bZkEh{^A2!_Csl0AO{b^;|ZiHA^ApegEiwEEDt-&9KZ&U%|+-ehQBN>D3k~XxoLko&@ z_csCl(Q1ha(j)e8ji|=2YOF;VzV3x>U8Cbhjj? z)+%FK$Voe1ROp|-f~7h#Z1=O^avsESEPRCg*nnh-wP8cv@N^vhnL|xUpGPg%A~*Jn z4h-~Nf)tjN(TNQ?=pFSpx_vc~s7PV{{3bgI{pfAc;K_>kyCShu2-<75H)Wq# z@jHt-DlHe8ex_xaeN6@Hojb~>Vy$t7`ku@j1ZKWst6Oy7L9FDhN|q}M$P_Va?U7c> zz>$qYyLmtkVA{Ug#S!Y;WW+y-C^TyoWuQ}tWwN@;_Z7m=ik9?|D4$Y^Q~i$hXl7lN zxWI-%vM>>S*Z+D=UZ!lf{Exf`s)37s#4hml>pz8%)OX9K;pQ$Q>xSDQ2t!C%x>G}cIn%6>Y4~eu z+RD3Y+SQ-A#pBIflu)m1pqHW3?5W>9a9Ujx(Sori0^bmpp_R#ir-3tnx+296Vc{R- zaAs829ga@I4~<8R2&_a)62wS3S*}XYylWpyu3di7ZN@>l9`WMVNwZMTK&- zVX0+v$>^_8P4ywy^35`ZZ(n&9V`^(e@hOXazvB+R$TV#5sB+0y2LPaV)u#QUM|EGE zr%;ZPL!EWHHkzlUsM=hX(=v?$E-kr2^GyadUvjyG=I6VRT$4Leoa%OI*Xr!uByh` zc3@ypf%J$B=Qek7T2t634{(_VX6LPThmdbAIJmv?KIDLsLDYY-2-lf}r$}!mfshM# z-(8dB0NkY0LML4xNwph@4-N5d0sP3p`t{}un?(2${a9Pqr{}}SJcB~0PcM=4#a3B_&a*Ib<2_<9Z$sr+KF;Mm znlRLA3Ujm?yo-ukI`d*Z3a;5IdKx-AtlJ|hjO-=Hbr|o#l=!o3#r#^lT3WX1DXPPN z5_f?iIiNg)LI29bOkp=I|D6M4xT1Y!FX?%&$aJBxorb$#@@?O$ain0@AYXt{LH5TO z-L*!9VekA1+&6nZ+h5XsH`3UTa*Jy>3i*d<`1|fX^=B7m9b;l8L2Gip)O#Qa#rUxx zp>M;&`;-(KNa?KK5*M6BWs@|vST&tN7@Sik6$yfLo&8alg za#%;j2vjCP!i-f2@HS9=8EhOee$cLiHgD`B_$etFh7j#3w}>Ld^N$kW(g8i zZaq9qe$vY|8I>O94DM7s0(@C-qdxTQ(Ves7bVj{{)(mjRiY4r^oj0SjF4MI+fkI9X$KNo-KM zl&vur#jTEdEtdy?^qKcipXEg4>{bZkcTYWsHJ@{+Pt&a>ZyUYAR%!F{{H06ml2b_T-9Nu^doG@VaY?RK$QDyCxHrNbF+{JT z^ap^7&_|J`S|62h|9KG~pekoxWcF&3=%YLQ8g8#_qrC0zPMNZSBJQyQd5=u5BVdwDi4wME=202fIGVIJFsR!Uiy8Ps6 z>pgYgqgsRIcJuv7RJGU*-Bla!kyY2db^}!k`QLZZnm5O%3)uIHJL^EY+tp2jPOqm6 zFKCwA4&G)f?RC7)?BEj~@!skWzYY;#PdIMOtun0Lm-SO3Y-kEz}d-wgXMBo|{zsz6Tq4Uqkc?E9uAy%E`~uI%HF5*@W`qyIq6MAirU;jrN;d&9mTCD9wTE;y2xZ z=z@LqM7mAsbqL6*-s*qLYY9P~+LoEFB=14F?Lp94T}ft+F*A3l>;CDaeo9n!cW$xN zV&}B@+{_{`E%(xv=N+B`nLabSky2d!;ypmr=;{Oz-xpM~eb+ghwcYfUw>f>xXN}j5 zE3dZEa{COVzmE!tKt#(Z`oIvzue~8yesNyXs0)+1c*V1f*-MVwmhnZd43tq^M*zcJ z=vzHQg z&4uk^w0tjchwdW1y@&(*e@mKivBQ3Wkh{F7AJ;>COE=sDq2r|BE{ZG!xoHor_laO* zWK8^~Mh!B(DUWd`^*kn|dLeHJ;;=q8csX;DyaGfUz zzRzC5O&9>rpQc}nSz)7DyKZdHWF&c-5M{nscoHRe;=1T22s;p1h@aeapS9>OUUUh( zkX~QjW|ZLGnkl?koNuI>+jw*DGVyh>t~{GrpLR3J=~(-E6`g;ajmbndNO`$7H^2PD z`4?^D^c*wa&g3I)t&`nNRcs$3v{N1Jt~a1_>V6C)7W?q;VK|(p1|B2^TXj>_Bkxqd zN#BAxi*cc(JDZvVq&k~&Q8O-O@B$7z1W803JF{VluNL3vEC+Ok48*85+F3tECl=pv z|0QV;|GP_EkfQaR6isycl6R6C55=d{?83#r3*Do7SYDspN75#1ni z_t`S*PVdrxP-}C<%W=^?3yILehZopne5~rhSCbQk?UmLC+TT8{ z%?-qCzEd4maM9hnw$nZWr}U>)Jv`w5@U06i*KM0)srpHGB3#Qd0I!8BW7Un;hpyMBoxmgYsD^)J@=eZ%tWLk2QK>Q%mbP0fb$N@ za@^wN{Qq`a#M*;r90XDLYw5lLYjMsbkiK~j@tQ)fU~Nkf6qa_cQ^g`gTu|I zw6PfA5Xl=w1qyn+i54!{DDMnY$?Z4j32KV2=AJ3CvNQ5p8ZD^>I`8$VgU+CPnNxSn z|C)OZ^t6JOLia;tA|7_GHbJt2oTk)5(sLs!MbQHu7iztj{o)jZ>qt;J~|#uynR)CCB+2b>a|38OzcSpW;B#K7C*Ew@_G)IPSpCZ zfo&tp=#=%M9~`fD2%H;ggk7d^f5@bzw!^1U1e+X^qF-9L2xxfwLl{cFJoOeHG;MUE zX}U?diY%kCba?xRNs-t6qoAkoJeX|D573c1 zfal@E+lR{rPdy2Gia#uPUHhTTf(fyI4S5P`BZmWR_k!AjwhDCR9hF%aTj}udb(7>! z_~{~0Sw{Ea@b)EwBAsRXKy7^61-d0*ie@WaDvPrcHoI=p7y-*@Fbdv2W@w~yeLtw} zEIu&AYp@s{Z@(Zc(%EwW1hgsb6zFm|DYN8&qm*@%kQi7-i*fMwDdkWjoFgNhxBq~i zPN0%lxvL;k@$vRgqav^W41%5_b_;$kkBB>+J1KWVw9%Ey_&Z-Gag%GE6lC$ZDl6u- z)0L|HQ2MNvRQluBy zMq#jxxslEztZ*PqFbDalKo{LbnFXbt4i7;uX)GA*whBMps3ptjpD%d(zX~Fq{bxXJ z^I)0_m?nCVcg)Fy1xg2p@*RU$0X(MJ4qgSlq{uX|x;)-~UrD5MI47LKW&j6w-Z(f8 z0$g7(xPCkkSicawOe%Q$309~PJLQqi1l({a>(Q-^Ck48uU|P#T9$hC`&JZkD&oXMJ zg|`n?9qBxaO;`He-}!kS#2*j#T?K4t4cK=ru%9(7qvcD)*s_0#x#r;%$HAkPdP#{* zETdLBc>6G5ml?n=mxEmfHo#H0VEiW-?*Zdm#9TfS&MOIbCGIQ8NmwahAvwJL&*1C@ z=0N=Q#|2qCF3O5-2YE8y?R2zadP(2&Sw>}*@%C@aBApAsshELN5dw=Af?-uKTnUEN z@%CN7OTV)KYI{UT8Y{3xp_akh53ody0A|5q@$;ZIU2v^@;9B#+j(`85l%%4Ugj5A? zMQ~^VIP?h|ihf$4n*gRvg6)_C(`@yUguB_yy%);CO_CFo${{+~73-C5^L5(nOjC9so0kw&p z7wA@iIocg`skPu_mRUxr?eO+@nj@XlS3zxCVE7pf2OQ?ng?7;44T5Le19yirxI5Y+ zogvpjZAljex~lHVEVW>G1q@$+VRyWJj?PGDaS=F>)dr|d2DmKHtpRh^z_a{oP<{it z-=n?!Ki(4`{k`y%RPlOm{9R>*6u_hVwBmKRl}E*t+VX%;pb4LfgH)+fWEVbwX9og+mw^{*tK zeNf;8n`=>XNGI@~=gqOF)JI-)9>vnY1nrV~W$H29R_NTpr65HN4qr6hrxZFG_Mjq4 zac>K-$~q2RLQ>209ghAUUGK*Wxsbur>1)|JGqMI#h{cMMVfi{x>3@>8>-CAm^ekUn z42~$4a?F(50Z}%l9b7`NSjiT>^cV`C;P2yYi7nirjA# zQnc5PTnmlc3o@Y$lZ-sP(gN~MPk#<_B&{e@g-!6&H`VZ-XbR26s3uf_~figuCQ8U zo{Nt|Uua4Ci8sTJHRsTHZ8OZCw{v8BIJ&pGrH0Zmwhz8EL@%`l&ecZew$jgB4MJH1 z+b&QqBgswz@%$pp$Da$adPwv5*9N(*GHjmNd1Lu&(&rqaa1VUPh&3jUO%M2L>4d)W8Yvgi!^nX!MUIP*H2$9ta*BXfuxaRrn%7`|Gb83^VgpNlk7}OCszJOPuAi{ z)eLL&N4ovj+wzA5DGszQ-9}Gv*p0&#eYF%vP^X*?k=0X=YA{U%DS)PgT-DTL7nGi5 z_P{q;%kqV&OlW3Kx2Hpl#;mr&YBpW~{Wfh0YaXq0L#CQdYhVbL0t`o(1==iuBTIcNx?yN;A$r zR=aY8^-5cg46jzMQ+07>HQNU8mh?-X;*WTXX2-DjboCzudh;De6mz4&EM9+sI$i!kY2>kT zEU)>x*A9&5$fig#w`r3_+1Kj;(v}b@RNt@75?36XH`^lH(!{C=}<oSK5wzmaMk+ecxrsq4%@~k=?>Imb(LQzRa1m&y4~x!k2T zIMU*L2SFBSa7*{uTmczJiqyGXg%qJx>zRxGa=U6TjIGv7Qa{47NnQR{ttWJObP1Ns z)#~s#m^oZzac-Em14{;T2em``SfQ}LBy$HvAiVVx8s7spHt=e^&!yZ!eP})=(m|bA zJ~k*6)smawLf*#+B`8C?TSC&_eiKSagudIMZy$HrET!Im96ih^peDmT>72O z!Y0|6@Xjr}joMBmEeKQOI$ctX+O8!mR=ep?XZbT0Xqg(2Yz zO?!syZvv?^PZ@V#<--$j2_~|nOOdMdl8mhC@6wlfsK$fkwT(4vu4jTn_MK6gxtW%J zTBaMd`ALp}%hte8L2_)IjY(aOg>pQqGDv*i?eB!Vv81V3C1|s1lFbr!KN{uqbrpcR#xem*YYrFoLN@e zft(T+m(^7-jtKj&V@r>;O~QcsFH2gyO7A77(QF$1$Dd9=jas-Aau1v-ETa1|iSdFv zaYF^XCj#l)|KRT!ApO_PzBFQ|)fB4XR)JuiT?y-ldK3>~O5~7B2l$@EuuB;z=mji) zcWq*yY~ngRwtMtwuDzZ*S6UFeR^2!ZD?}l_@$RACU0+`}tA30z{>#;MeOdY=1GD}% zF<2XU_br(>7A4#Db2)C9Mvq-lGaJ;N2BM9h7T zJYg#8MlvU@uYz_e1@fr*dd)G>egh*vBv(Rb-!aZR_4_T)XjXQe`J%%C%fo%j71n}m z3nLgcyMiuxd#PQX8Pe3uIsZ&c)>IuOR~I(bVdiqC0zuYY^~P^s1X+6*8y&s~hR({Y zct`%Ni*sA|BqAhPNQ+xlF$*$B{e6DqS2k(p>|GIN&6Fyrq=3#Sv0GK-W}37XPY5@J zI5(}?hQ)10k?d@dL0EqSsE+ED@#vW8UVIHT6%TJ+i$0VSfNIxeI;K88Ga1d%Mkqk9i{yaR#Kmb0HMZv=Ak@j|kcL%8 z-Kk|$6NhzNc61N~R23TB@Awwy+y@@D>JLbrwh5%gpz#iR1Hu#V4hLPXm?R_{<3www zU+;G$gWa0^%`JQ~aGViIGJ=!FB#-JG<$g+W&0=(E5>_uYh9BHgs+a%Cu(D@0#?iKA zm{_G0g4wxNYX1y;VG^Ud+#KQOjOC}Cp+qZ81<8!1;qog0UAw%I$?eQnqqzKf!cF}d z4BC6!C(5J+-r#vlLX0x;)knU_AoQyqZ<3drW4gAwpissp+sWj*1Y1sX23&Y;{F9;;eq6*8 zTqC;^8&QpEJR>`^lQH3M(@REn2u-fJtVcIt<-8)Sn76g?2%Ow_@Xzk^y%TG!xGr7; zXX*I1Shu-!)aH?zlt)Zc5qxsCi(3-ncv8S$_RT95anTS3sq~0Dvw-)R-9VFGm>p+v zI}Aq40-xZ#8>-JyG06eyU!wcvpFt9|=>5%zRCQmcLBi_4V0H#$BZC!DLdw}VeZ%wA zFc`*dYj9nhTEFxiwW>~=S<4aSP{((3jEuoFNw*B`?+4WUYj$iVonu*r)gv|97~cbM z0@S5t(mb`Pj%G>WIAWPOf8iD8c5owcFA|XQXR+L>eI~z*J%ai>cTzd0Q)fO=rJAG^)l4C@yw{` z%DaG?Z?TLo{1m+K?VQHyx8I9YHfUUa@v4xs@FK3@=ZjD{;JKuB2%0Knc1ljKV?5uP zl&WmdTXykM^6*KEZBV4f`^pLA$~k%F z=D~HIo9KLrkw$y=6@R#+#7-p%xVQ`X^L4f)(QEFbAusetK%8i;^OIkmw3o8bR}s3S z9C#G7YYOpLDK475{(yJP8BGc@jN3dc!{%dUaWqfKUkUsOZ)B;hqTcsXV?!{mrX~na zt$CuQqSiJ^50ybbC8oz})PBkVIM=e`WT8CCW2G=Y34-Wn(EHa`;@?8=855`ApSfCc zl~?GGu5_h6-OJ;q5WNIjl0%hQJ{XSvC}ko%W##pBD44h9;@$eM!u&A1hIQqQ_}3`W zO?Sk8sA9(R&-p2#{b|4P_ZZ^SuRI{6C3#E(#s_OdoyI_o_xHc%pq~osfUD%eACH^% zyqU{c9*vG@#ik)qlPSdn(j?yjlO@) z&QCS%q0-T{^4+vYZCN=qIqxO*=52ED?wHp;;@LH&=4WK^&vd#L>90ykHolfR623(j zMf`T=w`52HRH7ExZqy` z=%cUirmdPMrw8MWYJK&#z&e@_s-xvK^_Totx}yd7PzKXV>2JX<+`B^Sg%1+i6Vg5*(hhsgE5df& z(yZWjhaLIYcIRx4XbaCEo|}>%*$6(`tpAPzHZGE# z+NKL{D0@N?0nZv~B(Dbb8V8y~&qgNEmm*TCsfVM2tk}(s=Y7i9ns6>XdT>zIhCJ=9 zk43#S-oej?gL~Fhp>~G8;9t0H1BEtJ+C$C5NW$&>ooW^WDPArXUn+lfj28;_>9|rF zwMn~&ww#QgA;#K=TdK`9&lc+3gbsaLw)&10klZ;86u$J8ilewBOQ$~IA|rU#OCR1F zjbdG6#;SkuD2uATxAbwa9(4`VKbd*zLKwWmG&q=1p>3BM8xz(h5HV~LI49N1UZ;wW z)9`4l;Pp21`e`GiOV{VD0HDz>!O|G#;)|)m8Ews6moAz7wYVJ#nwbQ zin*?D&7gGon44Ym#Nyr`n5XMkM3XW{u$AcAwyhTu_3OBj-k`?XPq9WkDKs2R*oxc@ zq^k@V!#>uUD<&8#RXN^QQB_(@=0umgS0H?_3_CAx#@-PbeAi>V!BG}%;%5=2!Bk;W zrg?co``_o6t1oRDeZgnfhrrkWbLfuW7Y!y&iGwy#-q#EMzw^pbWlv}glfIo$JR>9) z3$+HMM^gf3m2!81FIA@>k*O`}KL|XfP3hmD{eU&-^t!ZEI7Go(A2S2%n6hd1j`GG? zgWQgp$Yp^(I(rjt4#i{&AlP^9K*#3^*EPYy@`lFQ;r8M|#i4yFFSTHB5eFi?!)4IL zH?G*nkS*Ar(JRCz^Q-lu*h9WNG7K_7QxfG*Bu5MQCHZi&1EiBg!j05;1obpbf`dD^ zrv^uP*e6)U%AY|^_u3It#DC^uaW5~ZFE#D)u;ns#ueqy8t;gWA0chl(DIwxhJ6{yv z5R+4g8QA?->*;bWCz?GU(P+6;LU_cHevRKJv)IkiXDgh>e0EAdE14i$C&Ps*b0Zks z97S+F7PKJn4hr=>i>;H(w>rmYLC6&}D`|H7NpLEbk4dZ*XcghP$->w+cd6+@4g=cV z6R1`ojyQ>Fy&i9k0U(e}NM?y1Gy9Ry^%u&t8E8()wX0UQ+cm~ZGue!49p3dNmz3?f zingtp=;pTQaxjcg%TT{-i`1_9kxgtk$Cn7$B6f4g_4Uv}IEdqtI%fKk362<1CYmHS z4Fn!|4|mNd=w#&iPJEKL>HBL7rG1aa<=87MaqgL~lDHIf4CJmk$f-G*7fopJQAw1q z;Xv1HW1K@SG!=X$3{)=2Hj*Sh{`!~oOweQ(h+>I{0q<#_%^F(M* zjkuNHc!8tsoPm@ZaW|=fvgn5t(PXccU@Jo1tx{$MNo~pJF(qpD#JMLx-R}HTQI@s| z6xafDk291i1uzN)yG{!jc2gPaxwHCVn4-0?AY1Fll==u`e-ucz!V6$UCJRR_lgkfj zJz>+jicNoK5AdF*z&6C@#f#N1tF^y3ozoTkilFgXZq94@&sSq#9DudNY_F}&=`WLz zn?dDo^z2h~SAFxgVYX+7s> zy|3#==)_D5yC2WRe-5LZu7(7+DuvNpcZu zMIpytX5<7kD4Yp9nAlXkDqf%B`GN(uQ*#njrV_quB!eS-U*Gy*$Ac-b=LrFtRxqW+L81M%ckef? z5m2+APvbrtnbab$adEs#@wk$2(bU$Jz-T0_8Rxt@oKr>(^uqBV6{i>4Ep!BLMQeUS zz)#$1Z8tc!g$x_Es-n&RGwtq#bMdAmDXTK_SZ#kd65@~3K^*&uD!g%V38pS?PBZ0? zey@D9uEpEFd{4)t+met@N|A5P5;}k2D&mPOMBZC|I@2#D&MW?7Pyyj5fiD zguF}76?W-T2~p`*GgUYy#1Zk!1-62DMM9Ccp*QM!!D^{AsX+30QiV^_ck5g=odAWK zkdT=;A{`#>0nQuZi=wB-+pFn|g0<#*+5wg3`W0=*NW*ehGjfkX#LRy@x^rIrIlFd_NmqCXm6@Ocw0@qYSHnR)BLo+6<^ zi)BjuI?aGqUH)oIXSdQK>N*FXu#|aj%j3|ji~W(;q)*A~y(Hsk@q#NZFl{E`Tf8n8{V`qbl;49*%<)cX|hQd z>&THAL}Y{8+v(0M<4_~Q)yc8w)$b~8;5Y0RN?sB}JzP3LK<98YjfIOi^qKu!am+=^ z@cG_`vpqYg7=p3cpyM>HLz<~rSzkg^U+uX4{jCH!*-dq z`|n2D;t`rp>r#9+FWKE3kiz_~Ui3|1rguI&T)kK(58f5^^1xcIG`hX0M8Mkm03+xv zlSikcGWq;^b68xY>PA4Hm?JuZkYL>$Y1kr%cG+=kv(6s(`CJJvMh-yCT3l$=S?n5w zk~!$7;5@(~7;W7V{tY|TT8F78Y=DCfBk(CG#~EhG94p>&803|Ma<46AwtM5bJMy*d zZ?wGd8gB`HL(TbJGi-!?k>J9Gk^j7@aU02gVg%rhz|{RQ1<4?|+7vV`ZjP+pMn5T< z6p46Q{XkaBb;fB8_X1Rkg}-e3E@f^{)}=GjOk`U@%9e+i`&~}sSlN6&aXUZ=@_fL+ zZrvDO`9-xw8|n3UW-!})-t{Q)V!} zpR;xfEKaF|x}?E>Cz~5hUwe8*ZX}l+-fL$03?^CDV9COaNN1nJCb;2pzCx&Uqdw$3 z-TT!ElT3UU_aFndRtEY{tDzsGNThhyMM@MxC6RECwInYX6QC&G^+q($l#a!8&7f0z z$z{=vi#DBlHP}b}@$uI@^wwtHya+ov)bD>`$tp?;SXxM5K1}yej#YF#MxI31vO9`G z7vsyP>#!@e^&*@6Mk|b5YIcv}98N^FZa63%+(~|^Ztwz*K@zYinvA&w2J{688^5(T zkORwwkdF39A!Ql?cSlDFF1z3h=3*hJ*USwxwtRMiOy);Ig> ziLzNQT(wUhKQ9%#Ez-MaH~5gGRms~RAQAhM>i6xlPy615Zo8+O?v>lB=_I|A8sV=z ztGEr6?UOISZ%6+pbvjWuHf6E9z2OqC;{;X??i?0eeSm4bIVtq1X%n|9|Vl*fAl06jp-Zg}`SFP!vbM!BN z;8cU*O2+MdI6sFuYEb5%#>Ftr(^k$DO-kgfX`qBTApb|hqrsw7IyZj#i&S*duk=pt zbw#I53o+TRTwCaaC+C7a;asDrSo&x3Y0N9>M4uF_C0`QqRqa>z`7_6|uX8~0XnKAF zo|{y^bxv62w7uE>LMg&n9+bW3$(yG{JbA}0CKi=oJCtH=c22W;d35vkH7>l9;JV}) zQ=M}#0I(*fsH5=900lP>LQLe&c474ah>`b5II$Ch9=<=rxIUD*?WnwIrQ-hyGD0xwC!GcJua<%c83V&USB_ozTq7hYQ;3JJ$;5uiJrKwE z7rr)~g9P=MF^m{}Jc?s;+%cvsc)crp>W;S&3G|w8&>~hYVDcG5k@&hBJ4hJTE_42> zVt#3usVILmvOdWo<>!w4Nd(1UJ7)^ToQdvQKlc5BP&Ct~87*^3ZDSN&X#E{0n+Aqj+O00{%Hw zLUVgzwA312{xSOf?`@c_bzzh!l!ED3#(mbm+~Zi}ncUvQN>&OscxT^uLk~gW9j+|8 zO&jkg&S-ziZ>5W9MrmkN{Dh~|o-J|Z$)MqfQA7y7b&>J&_3{#tBG_U`Vy2)kb_4Sg zeiBh`CI4j5Jznm06}3@*SbzS7mZn;bJqo9EfCaN6-mzDk7`1(F1}NcWXNxuB2w6Xc zp{X@VI1M!Wn==Jtf=tFl_33djcR1qP4h`I{c!L**>eyd&sJN7g%mVCU!oxU@c)#mt zE)h7YCi&z+T@^}8Ulqr`F>;O)zyZ_+*mc2ac8Y#7@>E*?gzM48sH6Glp&oRD3h!28 zzI>2zb@qYx*|bx-ZLdcrK0rt;v}JWfbOpb+N1dpv()R z@~)k7maBcPkHA(->JuSCP5UI5lnwv;Bd?zx!87Ah@eUov=Hl;vo+{HL7 zgZ=U22AwE>ibwrql0O7(KY%20H+v5hCQUJT$j~%M-Al2`^(LfVin7NJDm<@O!S*OoW}{4VB_(rhO$A};hsvl`Dfy8!gacz=L$MH;&= z`Nv{9icb@U=T~UMG++VE-p8=yFpaI!a^3K7_a>@y1&TQ`+~!!8)r(|hBx;&(8lgGt z{l4b1cGLNI72{j;1b)FFPpI&HC5uCb5+16uMu|XlHU`$fl1+ltM9-;rm+*7ZtmelJ zOxIiVmt*mENS*6mgR3-KsUi7(PfKqd5m$o^u0bA-9($4IDIl(N+<)KBh?tMlLnMqd z58-0}!mcc2nsRnL+1pfXUHNzb?y;$Zb5Iioy~X8I9&54h7`qpt+Er7O?^CxIih>ux zu1WDn*sge1)`jL5NDDRMqLYB3TD+iNaXg&uB3@SrprgZ^xaTAismZ61nuIH-R!Yrl zlP=^9KZ;pzB_RLBYrZeCSeTY+G4Z?10Y3u-ag82iL4Xx?(>NpnQv7#V{vL5x4|!DG zL`D;=dJU(P_Uhsvd=X#je?qlsHmv`7G)TnEBje#@v_E~gII+ClqvSKw5HM*z?r5gNPV6F~-pkP~9+H-{9#k@R zBU8 ztCDcxq*&5k$-p1BJSYa`GriZW;ehn5;J7QVW|Y^C9(zb{6>>pDWwS zQhDI>vnrG-&Bv65Soy1yZeq=j4i6E%l$Yr^+u;8JBS750eYta6Gs22eYX5)2@)l(C z>|7pkjX%ft9pN+(&ePCm#dpHeR7n>=k)HF-g4nEiVKcObD=0Rj43~iCGeyCE4GwNE z&1&T?^^J}DFexZLNzt&vg@4c?T_uA_kMdN^3b>zsf4=D)cEV+lPNJW1h)sbO?7OT( zRbCAQzNBPO_l>iNnGi-kU02t7`FUz4@MCeXS90_H@PldDVe2FTa_ZN{d0cBq5wilA zHw@A6be}aHILeA>bM@tZhD=(N(4o|r(tZ*Ma)BwR1{3G29`r0dx45wG_H zs*&r;jK?X{;ZVlz?3Y6g|6%P2IdJKRzv+rS5l09^N=2RQ-NZ4#GRITV{hWtc!mn5- z6yc7BGU~L0Q?c?=x%l&DNT%#6|Iy{@Vl58#hg?$1rw2j@s+E-71Oo1~i>${f47gay5MEj+P1Iz9}u;`xgC#Lf) zV#pJsOj7PM&zOeaWocb#RO)a^ohgtIf0*0aj`Y~0$9c70Va(d*JwmVhQ4Y8Yn5XFB zYkrPQg_^%v0}9%%2G$m#rhxl=93tJKX`emMfh~Ej#v%kx2=xyVpvoHFcm!O)80>#} zS@CQDODAN&=|yHGs|QK%zs3a~Y9+7!Hv^~^rN&umCwdV~QT_v?>7&6Zjce)pf9^e6 z27k8^IR4gfVC%RsB}xf04QC$Tl)BV7!S>d{)PqIGNH&F8FvrXA1eFnSB(h z9jjHfF;DC|hZ4HQD!%t3F__s+V8?~Qy%j%o4RlZ`$a@*HP~j%=kojm6y=~|Ompt&g zIdTZ|Fb-vEIVji&?>_1~gp2Uvy?a@tgw7c0PJ1c?`WVM=lrg+FU*dkle{Q$NVCu=q zuLPY!I5#oYXn`%C`^O_^MSb0@S{L}uvr<0aGG|WXl^6};s(=ZIP&cR3#`0&w1vTB2 z5g(Kyo?yU`;;S$7G0Doz!-T4WtJ`8JuT2Y+FtxK!Rmy2Z&^JjVB`#_?)^s54e&kvO zEoP%uk~!FE5kcx7>=tVFe-_N-pTQyn(atDrTbvY)E|Tl}Cx#K@_EP0t&6(vN*6>uB zb9H~#|3Kvgzg5vHT;eZ1gPC_iB8Ua60MB|%88?_Pu(%NV)YF5sjnI8Ywd-?Ypl=*O zmP5?Zu3SE3HIcPaN4o)KrZ+!x>Y{VDuiHH|4L1;XCQE>-0H7Vfe}gP|C6-UcNEllf z*>lh8SLOX5_U63f#r^9p7+qT5tn$g9*OObla)M9-F|5{0nSGgK*?dGC{N1xCO_*XT zG$2yQ$}RIR3SN|R@7fR=a-4)-u5f;t( z9qPWsUZ|18Y-&x0e_;i9Nw#xoNle_7wCy`Lu0?VHGY-YjvQgS<)Ep%reE@#)R@;u` z#h#W{;Dq3-1S~KrX+H^7h2xl;9pVEL?bC~LW|pwGAS-DrzRXYU?@mQ3yvl&R|4hf# zeJB+5vW3}wN^1@0pe6B~Eh@kttXEDE(4LO9{(Sa7Aq9fifAOGu-W+G6e(qlPo$gs1 z;O^E6vIunCeU?%9vKVJz7+rTs?~qYM8H?mDi1P=v9kDsvcY3@~ADP|!GXg{V#sV?% zR&IZB%+jr_rZ;4_2A@*qG8aj!oq17FFjK(nUpL-YMqdpI1hr6`Ilw4B_Qi^eo9Ihk zed|-0@uMU_e|)L%rnCa+RT(&3BcKcPV4WfZugX%17D;;5mxEK@>_k;ST?tMfhafi` z1CmR@#(l!kf=n*BM!)5e&%|-IwP6W}n`<3uF$pVn79&J54hf}(L3V>5*W(Nz-a4vS z`}F2JH%r5F9sw7WNmQucd3Z+FpxxyCYse-~6&#ref4lZq9Q()A89dlie@8Y-Pqwrz zGmI|y{m)pYIslK;vuqQojCgB|mfovz^@$|)4vYb<#vLt7G6ow%#u)Yp)97^fas$#$ z0rthSvzB;7ao;y;FV*k{Ddc+eJyr0nz%SrjMiQO3U><~C^R*5@06e;_q{rq$R$m1x zgQ>?Df62}_`=I@*o*>Rwg*}e3+49hXq+x6X*A$lf3~pGt*UCa47ptG1(tAU?3Rmt6G8ND_;vF2!=)JgpVuXh@8j1`-Ztu@`zDR^7=!-21*?D|EA{v7U%yqXr-w)0wQ|_e29cKy?A#e=Dy5W|2i-=gBnqZ{$6a_^TZIQzJAjx6uYVMg4_fF42+~s)aVz{ZXT7bQi23*oBHkY)*=m?5UGzF`p7JK0@<2!+FtX z3@4T3?M=#?3{UbNcIHVF6w(y?f9mIb&6>gc>(j@LfDrizDKnvzBhHw))CgG#?1zYf z?Jq4H>T0dgv*VrCA4694MzNs0fZy<~Vc!V6*uf8Scf6U4rA zzGY!C>SZeAB`^7301GIHS+;-!E=} zV@I_6j*HrP=xOVE!JKMCe_PkNJw&hw2}l^{^+Hpp18Rpy?qEZ;r236LLAI#k7m=|` zWhf`IxV5SD|tS{Ai!fbS{cWZE&@R+^z`?8HB-q&b(W2UA7(SlZW2M*4S?TO z!~Th^`{f|QV`xm%S6Id&t}sesw8C7sW+1a&SMmgeSQc@lOD0xe)F|_l3m}ks1R&F~ zjD}w)?I0piGa8qSe_wrL%khF-s9abb?@*VZXa(FSF!yW6IHGCyy1#&8bxoiA;$Kku zy|Aq*w9L2t?^-{0NrqX*5n;L4$$ZWV0birtsJsqrr-Zkzm0_Q3{JmovnHdVLKb!QH z6m%JiFm7{Zzm(atr4hiqsK1Ip)-VUbkG zgbv21yRV$V#O&7fj#3J|?zAyX)a8|{ck?-6V74F*N^rWI;z*B+=uQXTFfw&=SVFNQ z8gEc6mX)6nf8$QpOUjH7qPXyQcL)IS>a&3%$W!5;_nvIg-%H$NOmARq^2cKj9T~EPzcaQO>n}E+zM0A|sg|gze=PZZI5Ucm7CDQ&iz$uBfNdriuB8r< zB^)lDVAIiLU%K+xMoG9rbjE>FXOKp36U!UFIuofh!b&FwN4ICtg$e+3EJhU%J$=m? zr^L@YJ7K<22o*ugC}&=^(&z6j!+BM>^+DBMU?FHCY$k&u&j?YLhy|$Z{wM(gaw|j^ ze`8t{{n+BKf9s2BIXFf2FhrO_hM0b^CF#nV)bO~+tMyec|(AWAR*&Natss93+d0x zeWnXIo{K|ou(tlQ+nl}U7tN!_T2>_Re-T-erMV3z&>KGft&!7wh`d5LM6fy^;M3@S zcq#zUZ0y>Z5fKX0_@0>R#^{u@bD@eirORs6AR2~<=EH&FDgCn8W@GCZs+lGk7G&=d z&8i!fficn_IzR`p3QmHaK%>{Rj5gdzTI-H-%{g4j%ce^@K~DOwTleNj*B!jVe<7c0 z52s^>p?w@E&FMQbemhy@^qNIa%~9VZr@&nq<)fsGwwDDoN3OF!h)IGiNB7Q0l?96lEa@37N&R6jqGe4p9BR#6WUr==Tc=$f*YD&3;E z;^6j>PH02RZJI7$IMF{R<(4mOe`yvz(+Y>e_;Dww$%P(gqy9OMo4INuw2SG_;Z4#! zV2vt85}gP)yC43cX)`83uNeZ(3I|F5|XO|0Rj=*q`?f)$P@ zfly2Xc?!o(NSFrGgo}`jj=Z^~e`b*(w<=^sw7Z_MpgOwQEqfL?f9wZ1@sRA7%ss_D zP#h0)!#c@zFDL8h(~5XP`&W3c7P95R(Ha`hkA)onk73>XxvnM~Te4D3Gihnl#A}RG z2PW=F(_5bI90T~lp*oZBbj$QqdO%<$5ukKA*rsRWfdpX3lDzrMvU1(nneULg{{jjB z(@s+AOi<)?G=FUVe~KsHD*ZE=4=kaT+*ROVaVa{~2RKiNyOIEt6|GRDO`Zs#%467E zoK!v_>YxMb_e8%#9M^l{17L3`o(fqCTs{mse7t`3i%)*JuQGpBb~xifr^|d#mzWbPCC>f6scF-wO~9oZr8ZWgJa# za5MMoFGWSR>pyYpo^+jHkR+cW-F%_=C~|(!np-CT)72kl3olFR;7$Ths3H=c>OQ5J zlK|zcsD|a`^>` zhtiPDg)cHrf0e$LL`|!M`yPAFrMRO6dN)bODnTuoB8YXTIy>ejS}D!mr3dgcV!P}< zcW{HDTS}jhs9M)|SgUiPAMj17yxk`5yVl=O+XA#c&fihwn*|k`I4<}oE)0qRjXS!G zsDd>op$OR*7!ogukF#DA=Cw;chBsu=q!>J@cXKIUf1XHg0n4Y_Z>Pj##s{QLmq##O}#h2Us_9WHkSVs1mwU~LqZ~Di&mYD zl-*3je``aUjVz*@3^|!NSFcWAeN5F)2f@Q!02nqkywGCZ_R+@@DZZjz`bDLT$bBt? z`HK9J7Gx&D3(}h>j+47h--UGXc#=m_!CUhHayy&AKixYJaXP}{7vxz(Ud&n$pO1yp_VYTYe2<{o{vljtxxQ7;G-B^Q-dp0R1akHyt+pn!~O{; zfBPF?icXobI}M+!F~`a$xsPtnCAKCw*(J-*V6yw{NxpT_S9dr4@o#m(yI6RT;;b)o z^i}pYho$vJsp5-==1gd@!q(faYRT7RnltyM@n`n_zURGrmX3(m1DrA!dHGEGYqJ@2 z650uVrMcm$PYCgR*C4Q+7MVq3;mt9Ce>pm|A0Onnsfa`iX=enJV955wSs57rFbV%&)`6Nm!^7!o5px@M8{Qn34$&K-c6awH zv``$|=)Grm)mae!)aR%1_DH=78r<{?w&=c^x*wTrc;_Gs`=hb3NVZn4C8&~NzxTcx zYawdQV`1Qf%?oLO_+du}xP|WIe}x1!NCA0*2jjT0P+${&BgGm`NC(UMYxZ;*nAMDb z2|cxm!AM$~$K&S;<_J`d7*e1wja|?!2-- zGv<#x9?-*m3M7+Fgk^}w8=h@I*?%%~!OMu-lku!=TJw4WEm_XSf7<}$Xr!cBVpzO8 zul^6XNYlU|bHn*|vm7DEE4=kq3)u?LA>|;cKUbZ+FXy(Z!QV5WSjxgbM{qcN{d2|D zc?43YLZs8WZohXS0HxeOrHJ;f$NFMA<(QO|IRCtL@Dgqnx z!FjMLge2u^c@UrbSppBtx0iL+#hSz^w^euzp-1~b&lnhQwSqg1$t2vD;~oh{%AC@6 zY|DH;H5&17f6$IVZkQS4+nnZ;HSe2(Pr!U!`_{BtLPoFj_BvUzgLN zQGaW!+@21u*<}06F*S9tj%nmfMyk!<2q*Q6K#GE!e{#S**6MVXC zUtUM)11Js-#Hm&Llj4dqpLj>&uKPaB8OJeJkn% zq}d&Uf1E-z$@OUSni*d0ovO^HOC|kkelu(ONd$?pMUC(I#dQEpliVtokNyz`l|6p^ z`bqU0Xql|e_>|4+K)BrxWc&$Ckf`#VW193meAaF$)>^WGIDhS;`g`itGC+b$Kw&%<&Dj_*E6#xg=e}*uF(he(S&irx$NAy<5tzH#Z$Y>GF zF3K#6wXAyuZVbq#yRtC((alz}GJB;LLc!!X;j$@T6XW+&3DaU+3fn+A>1QpInbi~T zLpuGxcHw$V@+W#nb><~Yl8kMC2HZJlAgHM?`~Q|OAS#WZ(kd)L-B8C=@jx?Jt@@-# ze}=nRYu4Zq>GEOgMAo=LS=U=nZ6MR`Xr(kkH7@HnQ7R+IAGa#ou1pt|x#q~FK>*FmeBBnlM%ppXj@@*I z(H=2OC{UbNZ=k!nQW~)sb`R5v{sW=obKTY9_+Y=IPA9G4ce(U>oXRjziNo#gF|M!N zhIR|yQuONgtK#}TNNNIm>~IFfzBNUkcpueMoktWx*2{dwJTgLhT&YNHhJyj)f8nwx z{iU7~QdWQU(pf&(I>}1P&B(T1#qefArf2()|Qw;;uO*1W=$$IL=kz}jov*40oSq|G>elL~T zNk<0Pf=OjViS$=sBLXJid>)77iKB>A_)GKQY_7ZN4TZtAM-(>Xqu^S-Eo6H)6l}pS zGL|Ivk_%P`ILsZ|8UFCr`p4C@PVg~<8*R?CmAj4W>Ye`OEBCEPe+YYI{TXRG zwi0Y_W!y0TCwy0t`hvzV&tC(3;rY6GPb+*R+RLyHCIn)DAaoty7uXFjo61M~TBd8hK;w{|p3M5?@bariFQ_jR zFDlkjy=1RUO^PJ_$%2Us&nq*M*rSR;@s3sH_-MtM1p1;BrT3yDm#{Xslx6#hn_&VS z;aC0uOP(uON_N|=Rx$o3O|^s(X*bcrER_eo1Gfo1WM0l-*gdUZe`B7Cz&7t&Y(TbA z3^)@&?#vrb!kh6J8Y}4fJ-wgOZPxy1HKqyx+TTHl8F>}e}%sEW$reJrM2H04+UV>X9SmSd|Zv6=6{5vify?nx&iJE$`md? zcR-4nm#aLHEdq!(XhbpR!^es<`=3zI>!yMx&M(Sgt0^^V%0b-NWmlO(O!d;&uK3Oi zRCyopN5F+kI$|`Vq*X+5x=4$%8&uF9rImWqXs~w3dT(RBe-993)?hs(2GtOoXm7b+ z`3)2YX&YQ=PxX`1D%47>Epk|);xn9T-O6RLeRX%$lPLH z3E?r#ZO}RJ(=nkeAbeXu5_?ZY?%;2DrDVOea5r&e?0E`8&z&bn>vj{0VKcxX5^PlR z4w?CBDXeJ&iHsed<8v~w73c8rx^p7uq<4F?o~T6ve>565gMD5y1oCTD{R(lT^Bg&^ z$_U4i7M&_cI5v}YiebK16}dpT(nOrAI6OQc!hoC-9hLGH&z>C^WsEVkllZ*B$;G;n zXMz+iRq5#XP-u+KJgGT7#)68ChFI^2Es&X~ygr_Ue+_;fN!+a<@2}>C6%bL7WsKr@ zUBvP@e_kpsVbew-`HFsv@qyg=UK7=}e} zJXecCZx)^P4OjzF!eB}{G3eFr(}OK(Z!Z`mOa({AHQ_FhHfelJ$s)+bl}NIL)lawk zwO@yEYh#4y!^-cdRj;y~Z*N`jnO3CAjedD>(-z zSH2dPsrU9d2>BK_K?$xfd-Z6Uv5X^zkB@ZpsD)h>UO_u&0b-)?*0{tr+sn!dKe48F zIf!tL`+mw;JY+IKmEZcHcj3O~)pZ3%b-vW~#QsSs^NVT1ch~~Hhb+9h>^w2ze_0}L zJYoC(w`qb4K=I|bv>W%u(5qC3c`q}diHtEX`%u*H48u&)g}8)F_Dg`mqH3~o*!@io z3DRu(8GM)QHxb9oHe$u&u5@diM}(KwD)}sDB|F(51iRQbGDt8Z;%t=){%x zjfNZc@C909IVmFXta^5`#qUK=e*|B1B%X4DVb6=Tdb!F5+L9Tzt24_;mj*S3&Jn#V zvI)5?Z=c*}UPVw!By4SQN+cjn$ZOrDi@|&TIBT-}={bGq_2#Zo#GRdv5&JgLi99v~ zrg{D|!%;jT)RJ3B6GW6L213X$>duo}m>C%+$OHJ_hYhba$hCQ#Cwp#>2z_MXr9#yGJHYN%(5HF5mVUhD6b|!Rc8-xe9>-FyyDQ})d##iKk~aTb5r&U8=K@r z&j|e}5vMz;ohLYjgFqpM!Z4aTda|DK7f+ISpDb1n>Z_zmnIYPye_!)AT1()iUJU5? z8}Avb|^;QNc9moO>dIdY-dlhwvvbO?$rzgC$1h|cLMjr~> zsU=!p#|W4E@?ezMe;F?0-+K&`^UpKlcoDHOD6+i7D%h50MLMS_6Ic>iz_P5Kx4dyt zQpZZrtnN>?mjiyaWiAXhFpq{JEhI8#ezRP3pAXrnI6G+MthS;8?aSJ?=v@clW76Tj z)>(pq$!WA`M@&DjSUTS-E@Fo(U^0|TgT zQu6~;-&Ih<(Zq7^IiWrJR-K!hB!h7?ZUhk5=ATp#3v;ndDVvNrH!4{PbHmm~Vh#^E zV5D*B$X-+_e{S4*4i=N%^V?0=aL1IZDJ@v^PfK`Ie_5d>=9?ZxoCV^m?Q z0z?V^%zN~?hUv@d^9|D&@WfmiqMCTnC8FOcj63;*8PVKj*ms4u1rm(rPGgeTgeH9% zT6VTTFs?&Lx+A+ns1W<~N){kZ~&Uy2FWgLfE* zfIOWkYp+#Q60N0%zx~59T9iQ|k8)IQ<5J59?QOMS-9_-GLGT4odrwR6f0TWz7C+t0 z&Gh6bdbpUuYL(nc*Y%t5HVg2SN@l6;8-1X9vkHm~MOSynxm&pc^Edv*(K+&IpisuT z{t8)_9U=VEmvx%fT110hWw8Xu*}Iy`N+!ddMiDmUfRo@`H7o`4S5|dsgh6>#F!fA> zq1qx@c?c4G5UZuGPJw}}f2b4m_$yN13V1b9M`tN8|1eTxsk!3+&w-xny3MpYg)s6R z-J9k>8||q8b19z&!4@Kv7E0huVIn*#NRuMQ0-57g{Eb-iJ(@BqH+6MdG^ys&OpuZ0XCSTMeHJi&&5{LT!PuzJV3c zIOpJxmb~$59`h#uYfrBrp7QouZmBFi7hff8bd8!y! z!4z{1c6qPrV2g)?e~b`iCi+0#EUI)+%L0t;18ifoN;Xw;y0=?vTzvIuxRQ>0% zlWxsgphp)L>^vOL-*Ws9)uT}X;(PFl>KD2{4sk$EZgmXIfP72wHQ8Y%4F@J$A5i9V zeE^n&0cS>^nTM#cH=2oEGlkAlvvC(|q$^usU5SJ#4*Rp{e}66=JN>3`_>_i6r2LCg zqBaNLvN9>=W9cy%Ub{TB53lexHH<-T6rP@}98SR>T4hXcKSXUAKue+Zu~nOssIRhMpQo)nZXmL?|hQ6=(Q!Dcx+7haP=zEP1<^#kLaz;hR^ zBi7d}+9xwqDfZOYBj#$DY{Hd+RVKJW#8LU94L*gr;;k<_8? z!<4g=(g4CZ-F(~ zDa!?FhjXc~d1O@{Q%Fg*9tB$DiBSO#6yi^RjJu?MagY{MrJ8IQ`%7r&t2`Y#y+~`u zAX8WBpOtUw7YieJpQpZGElSB`H z-TL!HQI^F1qP1!(We`Je*gSL2NdGwZdMi&Ir$Lz?+P|8?;_#I9NTgEJ7j;!vEYZ@B zew9*(ltMpRpY(6MJNaW35D-Hc=T25}z_J$rMANZ#wif%82xos|xAh6FWdset4icVFm&Uz-&nnSiuK)&>5R1Njdy zK=*XiD}Y|*o6%=?=)Iq4Hi?ihlQE+elzPAvPjqhy^YRtM)WNR}&$NOFv&4848+ME% zma&=EbVzh}SSTY8`mIqS>41VRe?%bTl&DVB(E2h-V3|k9g~H&q@6Srv@HHWSHrJyb zb8qEA#Qb_0(zw`UQ#`C+KKt5AJf51Ckf32aowy|uo2wO^ndiGv-YF~%e#CjB32`{i zpTiTFeYSy~X^d|@5l$0WoYLF&YsWaZ$K`wmj$X9ML zohdr_oz^fGH`ts@vUJLNANex5o>%G>yzOSGe2##7irX&Gu*Q%_jgIr!4xrW~QBhEd zP5>BmRXwsNrW^XskN(wv3QSJkl_ge{hie57xMj8o#&SSN^vBe65cA{AJK}gKfq=_m z1_WXtcx^X>WLCxY+-i4F_ep{>bVl16{Es}i>7iy9*GXT<`4n>JXk*P{IpX_tqp@expE$19WeGTl zr%~%GG4jYf*RTjLvsnn=lv93>^rKUhyF^*@IHuZY!({7LVBsKz&Xb`7QLX{1&r~K4 zxYg)is|w3BnPU!rZjo4KduYSl1@o9D)G-+Et%9&01~Kcd-(TnbvNxMT`B>yP6J;Ma ztrh^|$nrBqw*ua-{HAZ!g$MAFac(I=8bHQ&J?%a`;k?UyMi0ih9)3O8XU_sc(7HCX zQmz;7hxV@>@IWpgJ^>HkeWCAk`D`TLT?v%D3|w9*vT*Q!(sK~4;7n94j<()iXE|g& z1k!N07z05zfS6ZSc|UE0geyNvB4F0$1~E?qXI(|Do5ie!T$?oOK-+HNMLS@Ih09YA z060oSRWKky2mx2?Pe+93z(-3eE;odwBUbN>jyCjGzFa7kh)NGJkyQzZ_*K^~qOPLX zii8Y$^P3!hybZ}4y59}~Tq+|krDR{;)9RdK+7B9z$%ENP91L1gi;S)U_(@5DB(PV9he zn)bBf8!OzrO&)J>zn@gmv-cwxkM4ERh&@R=K_$6=X4XRqG=ubBL>zDY@_lMP@$+wj>*FP_Dd5+V19MTa`cvUCsZTY8Hkb2=RoW$~I4(lIc z2qstr9R<7-zP+yPTSa#n@ok@CFc@306;JdltEP$r$cw_5H?{cRbI;I2)P1e9iir~6 z8a^3++V$Y)7cA+M=yaHN0he)jmDl)o+}VHq^?(K;Ac|JPssi3a463SXB8FExL)>Bs zZU2}erQD69Uwsu243As><)1U0DGq|4IyVP#wU{$Rl7*5YFtP`xo8R@@N7m&s^I$;K z1&RyNuVi+=JJk5IzEb;xn4buuxy=#?_TdSCb$cL589cPQ!7ZW4s>yPDj;e&EnxbnW zs?}B}3v2Z;QY{+aZX_b50GJR*#CiS!Xg$!9kueRP+};@63P zrp+C~8{QnH5rt)l!$r2lO0b;nXY9&9j#d7grR!J19UQdl!GyuNtG=W`LXjrp{;I6! z+ByWIaXm0(sw$GN_2i@i2O0C@b_pP&V8e&WSECFE1*yCG&(U{?_WM!`FU}78;l%nZ zjK^&MA+rqBuFSy?p5Tj_e`3CKwfJ^_Gj53=DzI*#lWPf8?`SuTR$_U3D-_1us|{#3 z^E-DTDsvL#8%r2>L8ntBzkuE%v1i=9k~p*(SAXUc!cs681;a}TW{A!BpGK1nD=dw# zc#}&Rp>Lo{|8ef3(4QrAj18*9NJ8N03 z1Lhc%b^4`fB!_~PNB$w_G zvNJi}UFK#l+|v429CMDAy@f~Yxnf5SXJ_pR4J4ULpnr&3wu*bgj&t`UgBd>z_bu<# zNJIWwC~LS9E6?Va`F?gH8$4f?-vx3m?H&6`tc|G4sj`@^j1Z3cfY_mbA6h2$7*`>Q za<(?Kh>9(Qgmi+gj;p=?#AtuOjT5!(=94NTSQwS5B#Vrf9NPV@I1mUb5%E)5uMT0_ zR*Bt`{er1FFoFzXiUSO>;?>@@#Bx6i=IQf=SGy|Wd1FYd@$ko0qi6$_OG zHOi!#*0MH!n1wR7%pdndekn=)&igpsU&4?Su*)A%9fi|-J>}{*psE*C0i@j-0&+(w zL(7O&Y3uTTwe%(AsAgVLGz?ZHm2CRv9bNhBAy5*+dfe^ucERlpEv|W&F}*#q)U#T{ zxx^blEuWiK<0DzL2Ys%mdJK(c!e3rTzxclG z#^mK0j5ah0fAe=S*(N#CGW5X>AEI2$EwLQ!-v{bf3&IPjfy=&dD9BK(yGL)$A!8$A zL>ZocH|L4Rz5$^Jbu^Vft*9`}Rked@ByL18&JdCqKrauhsJhYHxO$SOrTF4T$-W0> zyng`p3g_Km+Ui2_O&A^dQX+tlH%K_4WM;n3>Xd_S=V4R{aZrkX zvvMvzMx{r{wltzkJVMv8w|>m${u*@c7_7B7ba@Hv>CK29^zQIDq8>mh21og)&GGPQ zL!f5e#oG7|yK>X8HsUZn`35h*>-Oi;$bKc>ebHM;q!>Y2l@m$3X*1_*OLtT;yoDFM z#(Q=6MnqyLsGbq5XknQUiDLeo>Jj6A$6$G`Q_-@;g2cVmYgrk--=4?XND_6Kuy&(O z6BiNISZ?-LNYIES`p{k+$@bV4M`cB_^RLmGkXoeMXR@DHZ3_r(DLSQs(qXype7H(@ zd5k^}mUDNO7daLCX!yu|Uh2jD7s2oVh-@s^6pTJoKJ@I|1e@&j;_2A&LRH*q%&f&F{P&Ww9F4CFFtZvW7X`*7=a8YpS=# z;Ssm#q=2#Cg*ai9G$N&Qvgk)k9TXG?3fCTZ@5us-7HIG|%b%sZt%*THgWcyDx!cmM zyRSw8Mf{|p87$rVz|UC68nD2BzrLuvosWQqNa#`d!q*z;?3}Ed_HeWe-L47UZ5K$R zkJ_+-18>3_vCL*DF(1~XOat#1PNBs|leK6;+!D@bhgaP>mD9)fjRZWk4dcDeb=9|$ zg1$w4*4#MhcB2xOPRqlXptk=F+=nQ9zpg(6jf>gH=Or1*F*Nr zk>Wm79EAT}>~15uJ2key=(CzmB5wB6shkac06JX&pgXsKWn~Brtm0*MBFX5SUFp#J zO$n|e9Zf^Og^W#PMWZNxUqRl;s_hrsX^a<0Jv0TLVEQA}R0N}?xah}ZxRF9NE~0k; z6K3dpbB4>_+OC$d?J}G8gIYs*yUDzY|btkMmB6XF7CwO!EBzYseDFo zMjQMsz5t}kx#iB)v;qXL=(oeDpuad>opn$gLD%jVClGwG06`ar-~@Mf_pms@Jy;l= z;0{58JHg!p!94^Eu(%W4gI$vMtNY&Xc2!SRpL))zr>AEA>aO!kSjM&WhZn|XfGzV8 zU1QD}RKM8;MV=&;&C-_eEVG6h&c&NFw;ZMb7Z4R#;HE?ex@nsE^6$kzY}1Wo0#!Q-ez$fP>R);dUGxNuDHxtcua^B zm`H6=7nUzgRm)SRmSFv=>L24?m(2wu$B1JBhi;IYe+{!kfWH;5sxVtj|F$-QSiY|6 zN?mcQ8jmt#Bh2tQiBhJ34w-O=hToIl$>?ejj~vV_3fAc%T=?DV&;L5CmZy@;DKOVW6V>91d=%5^-V z(OjurTHj?Obh)_tO1VYCObvOK$Gk~v_7jZ0F#ZWT(l^lv6@5^`M@N|Hl5L1^?0L^u z5gFMI&Wcho$isVt6V?c_%qDQ>#}r6mJTz9{dn-+H*Lkfo4Sb^JIzF1gpC#LG2U73! zGdDEdlTV@JGn6~m=7&+i8KE*53rd8=LfsjCPYrI5J-!Ezd@T5HOMe@2=cMO3#hDQ@ zXpTw*hCA5cEt0JLfh_rB$1ZL-C0EtWmXVYznxEH`ym|qvXz};$JU_~o8`$dgeIIv= z?#S@l3L*lMg<*&o+RQZ&3KmmCfzts5dnxlIJsFMWp9rJl$z+2W*79`jK(YOT@M}k_ zPH$#TiY&V+rP+Wf^2{><0Ss1a2t-`F)&v@ z8(A!w@7b95%9vAS|H6-XPUqVaO(!GaYXvV9-2nTPgprnlKK(GnC?5ppF|`a$XBqLS zEX=YY-S-cC@>W5f_H_G(6%NqjnlQ26a_}G6Lh|05hFz?3euF0qqTN!pIOs#9$>qal z#iT5$iE#-g#&sLERJ+g(&`z9U*+i#SSBOnfJaR#~jj<4|2u1qT-1^bW(BlT4ND$U8 z;qq=bo9`T*CTj549V4)kwck9-LD9mN0`-;|dFNL);y+%mg|UTULo30 z6?4`5sQ-X*#9f1aTp{cpe!LDYdeJgnEzt^OMYV?^%VK}7$Jg)_#b z0gs1`Lxn-1r0uqSA;8B(@s^-!K}vg*&|At-pHu5*yU}q;$HGxE4k`CLta-S<(v_%>F#LT=nJ zI4L?w2c92Y5hFR~M~c#mWX>Y@apLMG%*MwZ+u;G8S7_c&?*VT|g*;SK+10W^j1RXd z-QCfAUlxi!Qw<9_plP5yEqRk*Z=zPon!Ul?A{}L=d+1P3o~iJeh;Rrx*G?< z=DhA;879wO9&oCDZKtVpU6!_Je$C@cOFG_6u3Sd^1hAukv^y!`k&s*-wC^1Ksb~5rb;iOm6(~$NE^&Pr(_NIRt(q-02okLbv z8{m>(wmFmF3#Eu;?$rz}c$tTOMjFQAhcBTkq>JGXIkbT!b0@q&@{{~2!ED>(58d{*tZUA@Y6f^PE83b>svW*sG%BKZh?jo30=C(iC$~`p{ zQ$BD`Xj^318Bo|rVm3H3-Dl`E((MUVD_bKVj>YoDRit*j9trE%e_;9()FfbAb`wFm zpyEN25Pu(@u~lCz8oZXTtZt=(P3d7;)M5BiJ<}Klt%8mkfQ7;z`MHwIsOx^9fWgMTnN{N&B&$M&L2F)LtzR zO3b@BWT&dWcg8~Sd@XRLxwDl#=<`R#A+L-`IGN;ry3f{$wgK^{^(T!z$$|7shMHe{ z#s+}24ve?Wj5nc7+GMh|mLwT160{n(kAZQ-3$5u-RFlR;vx_%;07u_0$oL^|lXS8v zOe7Qi$C#f__?_)fJS%ZaQx$X*-pMa37z5id9rQ0$+i2E!__tIAcf;$uc=yy*tAwbH zxP-!PKUKjvicVi@5G3kDT?&b&5Sb*AkRpI2+5kn5#w}VO1%u{2goag9P#B!W+7&F5();!ZQw01; z=o||WLQ3e!po%n|o^CjK*`=M;3h<5gh%Xaf_PRO;1+^U?F@kFfY2MWvwIi{T5J-Kz zU!+(F`DOLB-%}dxyVaQ=Le@sO1U<)sE%0H`6kpq7@s}-&-t{-(-ZJTkqk@D&kx>U`)#$gOALS>&@j_!8zOK754 zzwUU@t+>OS(Jvd#W+ug8!5lNHqw)wqF6HR65aIhe$Y;{_m71YNb{z~lpwd}bpC*uqgQMXz0Daj| zyS)ToMT=n=+ZkG+1NVG{|M&=A$z+N6t=DnaPm5n3bBQB?mDU_18}QORPep2&TfV22 zO6A*yLxV|-UajvjyB4VDgSyFQeF+=6A1L5xYfa_JwOr?X9_e3$k!J+MYrn{bj$fzF zP(3aZZr#VHOH2_Liemr-kZ*P2#pgEcLX1@2dmR@=`i07;?qs#zR%nKKO}rw}pbX8R zi>dw+k+s>PZYRO$k8}jy$HtTeXubpVL*yZpRjGU!<1N7atEcI?2 zoTFss*SPNY@{3$L9#5*rkk!VS&Gc7Jab_4}1vPFl!98rBJ3jzCvU@wIXLAI|xFXh@ zCy4|{h5aY}Alf`L8L6?i)Uf3=<(ku+Z)ZI;ZmXqam;zq(*QrAQ|WWRj z$76VwdHFLHGq+(+O&BMO>`I49%dgv0k$j%xl`a9DpXVZkWt+cm0dh1#itmmY9{I5C z$CR~T9(>n5`sh!oUX_a9U*Z@LdXxUOb=^^989UDQ3J^Yot->c7+ahW`Th3e53Yx0&t^>sdbrzE38Lqu`vrAxAa4H|Ud6p(pf8LCEu?06?y+-bbzp?+t%)6T z{$i%TI!W~z6p7zqh8{Y($p}QzC$(nS{MrfICOQrSopCc z-0zG&oc&gGGle=$QJhjBX;5GnZm$2_M1n=s7(l}cnOL4<<9u%5h+AO!@ZphFUibG~ z8zeecYbNkq^I0#GiTB#+*JcE1Xapb8!2a@eKF~dp$LSKQL%GZUfkILgmzSB9tR5Zs zJ2Guh1Il6T8AJ4vjlA@+3Db zO)l5b=!JTxhx<(mweTFOU1hML?1QUr%z1k*9IF*GUAd=8dQC0SV&Ycoinpi632wV2 z7}&O!Fs)N36zd2ww6CT2Z_PsqPhjfzSrysKQrTa7^(Jr4+cDfu`-K~_`VYM9PmX8K ze3ZSv=LKBJ1CaBMq=`Qlq;juJS2{}mh(N_04Zqq&aIO~OVD;}M$u{t6I} z;rrg`H==DT*2gHDpHqd?xWDD8b&4MC@h0|}&z_QU~!f#K^ zeJ`Yh0UzljKji*$EdJ=_{tI%mb~k1I-{JfgSz{V;Q-x0S2<^#~1mm0Y4sCy|vp&%t zWz(b%vwLeNw~V3A?=t33ykHLW7JURh=jV{RJUJnkDr#hZYJ@Pj=gD)eOFNP;^EYGi zd8NuaFGoyAi2?kKQ(DyWWIfdLkj1_aH{=LL>)TO>SYD%C9oaDm>wn z+uBb(Pwy3!^NRhFWB$};pRsBg=d+X5)9>su)f6jXt1(wBS*baUT}r$ihU8M9-R~oV zxZeWuBac)H65mf$8b6+G_%EbK4Tflf+io+sgN`+l5mV_lHiHx5F_MLsvRm(MKna;C z&Gf2J`B<|I7#QbhqzfTq}h z5_Rfp*P`(t3ATCBh7Z@QHJz2RCu^P4(DC+rP~?73DTuS(<~`kL&dq7dy(=$~vL911 z=c>b@^&i*cA;mvR0F!F#X2?&9l&KBwdiKZi85?sOMZ-mVH2i?$Lv#j!p20xY@qG25 zyATsmOV4)+Ar$`n8oZPh8dAh;+<{8qy#H#E-w)2Q^gPVjZ-hTU;%7pYDGnofQ0NzL zYWHIggJf(vS7oRTCwYDvR7-Qo4UF)^o^Ga5{~F%^&XrJiSk#5(LE|>ybTka=z#<0XhY7khc09|j<|YZ# z!JkgcSQbU}0fzHYD>nrM6Jg{%KP`^F*%U!fx1pBlWMs%U`c{E~qL$0X7jEAK3tFUS z2`}=37?EdedGZKB1=DFRaS}YWINvhhOYKbf+dO_^T2a_uO%3UMCCb6@#FLupc!B1Q zfIrt7uZ9<3*~$S3F`Bg1x}TSZZH@|q?NX1AR>~t+G1uCEb1E6(G`0eS=v`Dk7l|ga zWv|nNTn>nuIe*;8e@C-%&Di9*m*}N}wsfy+2`D-A@jRuUFMkZAk7uA$+LMdl()7`Q znPuthma?zM$Krw4QtykO;y%!Y zo0eqt?gzRUyXnjhi#fPcFW#rRPnQxuT*eqMd|t;>yh(<(>P82%GNqnDV0B)GZ-o%Q z7iZ(H9lH5iqcPV;BU;-zH9R+YbbJd&eGfl;!>i_=X7bB(m|Y?Tb<3x@yktF;eM5v- z^ozoRX$>9#U-MH&uhW28bQ^wj)zo?PVOrOxDBZuD;z#rQu>&DjE=~<_uKJqmbgm^b z4uawYLw{#&DznP2x{SRJ85Zz`CG?aU00DDy~Lvi(c? zoPYV&c@j1Z|u#0+_2Vq<1#buWGSJ_>fsj$ zCnj!%IO}dBw$Zo%LKbX}*;In^L~t4GFm$E)yX78Xiu=1yv%J5G)L}Uk+0E$n%KReK z`#N45TFPcqP*O~wbh03(!YC5<*qa#EwWUoAya&J|{t*#PL&&GJfHxnF=1wuc?stxI zrbL09p!TIGOv-95?U5d-*zxY2gwc@K8vaH*=5KkF=1P^@muV9P3ER_`;7a-|=##}y zCwW!B)Ty{*yT}CPL2jHLhJx#twrO=wJE5|bQ2`dg4z`YL-?N0YtyAm%w2f2h;y4=t z2IdRccN9(~CE95 zH8ehox`k@^{L;mGQk7S%rDc#1hZK$v>2R!i2_q8W*W|VNqj4A@QE>X8Dohq6oj+)f zgpjrRUcF60M2S@I!}AeIHPG7Wgz+N+8Y=c`UL#v}=WSDPf^=4(FL;IM5oa8pdV2~> z)9-pP9*KrJlnFayLL6Y=4<+wmSZa_R?M-7r;WaMP^w$<|o?iA>4^D3s4;I1IJni}< z<1s-!8>_UDlFmD|e&?X1H4KK=r)$P z>h*^8+74f0_qUO?!t6MXl20rUjs1FsryUnV;Y<$|hp*(}m`5sS#eZJqr8UJhxjnxB zEp7Qacn+V*QfKtHy}v4-#T$(_#oH+R?O7!_SN{Ae((0N34}bnJ_{kA_ug=2z*w`-* zeyF!6QEZ4_5KRL2%pGDe=iOm5!0@*v8fDh0na62g6^rS}6BUyl=sJQu@hzng!^ZIY zMX5enGUZ0ss~1JA$0yV#Z4~PtOh(H&YN;>0#Ih@P8KzTtpqp*hFQiqPIQTerTZu~? z3yy`3_m7kBuEz7s(22gjqI!v3(hS}&8Cub!RR8qyWbrXHoexcVg_NXI1xQGL&u8uL zkn#@7Dkh(PH36Q}3QWuXBi35`Zpi0y-?oZ4k*b38WH;eJkEH*Zf-33PYe@qPrwc6y zP}GvjMh_3vA%fu4^4qN+tNx7HZbpe&_)_p+LVSV5`dL?0+)4R;;8&dMEwU-w&@+ax&NFu*52qEghb=ohnwCnzSu4)Qo z){%d6EJ8tq>8-pQ26s=JR6W+>F=#}jh87M-L!)b|w$Wq%Nli7+fK?P45#I|s41rCsT|A8E4t-9A zn1-CR3LO>gGV zRpOPte5}Ixj2eRwD`YPJI;BgaQxx29I5B}#$sVGxlfmh}UA&s4dcQ?|)1#Vw4$G%A zDrP#*xXnC-^P!!(u4N?D1$DtS;1c0qNq)Di9BsJsY4kz-U*Am~<#9Y5!ZU=euHhsT z?!{jk8_h`R$YP@Y8S}qY*w<~9sKnSae0UIi;^`SFtA)9xssbE52n2p6x}k#pW~dEt z=W9H#VxH&g=lOTQJE|2_z6G83zO+`=|KNqcK2R?Qh*gy>qO8 zLO-o1vzK%rP?GOoVBCL4W5BfbKViW+4*B1T|C=oL{|dppL%FW{ep@C(epuPibBf&^af_j8COaq5EP zU+&-EkMOzv0U`)Q^*_1)slWFhA^j!(zg*Ev5_r+$f4M6sD2c%3%YWgR=kWB&|Af&J zCoi$NoXMkB`-Y%}1a&M)*RjnM}i}6_7c*?7A>C1TV=vg^yyj66R JRMb=x`ac!Bkq`g? delta 40527 zcmY(qbx<75_dSfey95vJi@Qs3f;++8-3BKF5AN<3+#v*acS~@0*WW&$dh4zF{xMs9 z`rLc&?c3cmyR$RDPoa}ep#c?nXc!y_2nYlSLX%`Qn+Y$WRY(X3Cs+swcyO*ED})?~ovj(p!W z*U6i1q4-$RQ8gqM&aw2rrhTCND>mzxbt=Wp$zW&19KgmOx{3fFSXmAnEV{GawRd7%g@c9ZMfCUKWI=r)iOrio}Se14XM=o6)Fi80c|@tm@VNq5rh?b$Abhf z1S2aPcVo5ZI6qQXH8JBLM~5-x@8U&8V!w0uHD8(@I>yPO>*OzFdKjahfwSd}W)nUr z%UvG)Z?#Ot`ku_pnU=-Og+r%4V z{p@dN!J82avqfJ6&8m&|5%6eTg(HdPZAg4aqiX5wW4d19<8CMt!B4NN@-zSFmh5v9|_DphL0z9o``r`&HZ z*>eA-=OgojQ;{-q1F3DpaZ%6k(M8<5xTw&bP#QK)sVw#5fbllIp9R_80!hyW3n2ou zY(G6zhhyld zr_fYtC%&%2;XX%~o`DRgH;yddarTlhqopZ-BDL{-^BE%jaa2C{Oq*V8Y{*z2hTN zsR5tI@c1`erMgdZ${}ZQEyknPIVs3CpG$2>#1LJE@8-|U+V?xa!qut$0SQqAPIt}g z!YAvg5l+OjK^O9;HIqcf5M4|)&s8AtZ)q?J-2Ioq7Vc^Koy9xyo5S%jg5-fFa~(l+RB?Jneun}s`Jt_?Pjn}SU-QOC z>!G;N_iW&3wNvT<6vo14^~UI9j=~B_WiNZyzKo$08@}HJ_HL#himHZ; zT0aAuQs7QqYM`Z>kaS#C3NM zuV#X-8{h&`>h5lT=8ngSqYdeEo3I{+d5j>tG90X@MT%8#_fWw4K*hV-&$25-UH1YKghkoagJ% zXZX`@Iw2pvo=#!lUHT91wkpFWpE>n^YPyE?As_t3<%g&mU$sOXPdfOs!hG6fobIC0 z2Z)?dbn_gR{>3f@i)6rnYZIYZo{6Qr^w%&m#I(sn(i*1p=3{@lG>c|uj4=qIaR|8= z=3OA-oWC2HUH;0b?I{y!U`yN~YWV@NhYrVpW_Y^rm+hZVHa(vO1ML`a0NigXUR%-3 zV(;TWOIz(1Z(ZsFEVTXQU9)9-_60q^(9=`IZ%ICoi`iiipG&@?|KGFi%CgVvEe!-j zk{<*FS)#KlN#gVkEHGi?s@=#u~-X=m2xCb@UILX|g3%K~8_@QBya6QMEGGcr)q;%l0|z?aOE zV7`9I+#bvbKh7AW6rmliwA9fcR69L@liJ|_FgSe?OGv<84h+qH>R67W6F{>)gA!q- z+nq+FGZI^@stM4yUl8pfr~9QT`s3|SDC>^MWmNDVb)alH^~=Ow{cp0eal1Jb96E&9 zYOozI9UBIf-eX8Gg+_9WM)CsJf<X&jgXvVwlA zR&TQgpfHXctNltK)?l7S@WS4|lh1Cvu}i%-`=F*7&d1T;wx|t!Ili|T$dmqikymP~ z@cRAg&VAt*B`{=+k-8X5lBuq8dXc0}&u|+WUXeiwSQggawwC62%o+k%@I8mBa9g<2i4)<9VU@DK-oSI#@e~S^} zYxGd8#w8nYVf@QB_GkY4a31eWL+b$i%Z#xx1KI=op74N}KC0s1)Us|R^^N}HG8>yR z;_Xy8u_gxju&7s1^lT_^D(=(XG;s{eo2t!Ed+)bhnj~e9-ZBv^}q7$1+n<68U zT|Ft*QLz9;@(xI~>S?k{JcqOXzG>ilNzi-id~8{qpP!8k&ezIJA+9zm_)^LWs))l_ z1;z7WwjLIOtY~*Vc|bf~q?`ZB8}}JIM0RI>5b+M%eL}oP_QJX3U`jJ`fX2qk(FIzf)$`^AH~`Ofi#paftQA#K+gKrXlMU?IS+P@&I1e zNujfXfxo$0%|{2h9!d1myK_+OwAE9Rm1(y~hn{NTy>Df|`pBosB!)e&N_DzD7&>Zp zUfw!ARkVFwDS(~F*geM&?PhVK;;=3R&K z3O060Zv^k1GXGxx2I%4}n`))mc!p0WqtyoaohK7L%oowHTJXq{5F=M+48_?*d!TrMAX61LPeZ;CJ zF~C8}T_Ssaz4I{9Q|_++LkZaJh#qh+9|v8NYmVRBe47bo~}36))hu zO@V!ao_m;Z`TC9TeKz^QVe;m6Jt1J|#XhyVB00zo+r{xIIX)GE?+Xk5wLZnePx;VJ z@nJjfimIP4@<2h=Ctzmw#eJc-JD0kF&Q>x7HxyTp(X4^IDrV^WucpR8U0qRTW&&c< zQyo+0-`dD*i@|m5gDZ>wwV&)Lw7c%&4%8R8*AP17sfcZW;qco}=`LTZiSIu!b>1iqH4jD9 ztJ1dG5$mMKazi`yhiSvsH4LeCU&MtecEk8BVv}5&;^9ZquP-R+=P_v2jcBx&3qC|R z6cZ@UKVOn*1ePmhp5)lHVtkBf=arBjxA+IqYX@38;JLJBQK2WGBR*xscMQ|}SnQ8z zh3TRLamYGZoX~4G+V}Qw3aSq%2zs|sCF0t|J1WS7gS@X{bLO9HdY1_zbRQi{=6UDA zV@GR>PrE0fS`%=^yqD3rBKliCMSi=IiX8TOl!@CMnS!g$gOAvzIh+})Pj&lsg}f+! zZG?X%A1_LudN=l2URc6@^$X+B(oQ_*-G&;lC^Rp9Xc_jdIb0`RgGY(E)qIrtz2eRH z`AjSCZ3@A=bJHcf!sCK9E&))JHyjRdNsL$9n-KpxS_ewf+ic<5>N;tS`oOP#GHT2L zW^^?M`zR&O@^}IDFV}MN&oXiD`opgy`UU$11IhhXEy4U-8u`~uaNW^+ zKUm>^uD?d=(3&8KnSTS)$b%)mmH)pC%@Y4SlwBj3BFH>v9s(Dfof}+me28jr*|x;OOhC*~GmgctqcII;Vt z^;f!GNZoTUG#8j_hWUw*51zn-z5wW5s9{GjmU)*0j`%3!9w>L})l1N2(61*#7l8~l79U5qc2;$B}-QvBexD*gYmn3(na2!QwAX>fU)#8(^q z^#)i!_7iyc=MePWPxccu`^6LVH7{YCF272fpy(+9@?!*jv9+7ipuu1EY9=TG!t&AI zmSiH??T&(@+3ns5h1tUmw!{Ysn%{`QCS9;~Y~g2?w1dAA-;7c8dgP+N;e!S4Yr%ro z$s`gQscRxI_J7^EaIVGw!ibS^`OkNIYMSub1PR@TiQKfBxkgY?zr z`_GP^4+DBi^)36AUpt#TKXi5*%7sMNR^3JSYb~zB zelpS-a`97q9OdtZjIFH#m;Z58cT&#JoLK~NNNdrD=6IX�+fp5*L4!+>{&M4(9PB z2DKLJA>6N$-JO{H%;bcoz2w}*5(RWh&(*(g7=UN-i$`M>~qlrDNwn0nd(Sm5TqCnj=- z+DjYQ^iAeJgS~8T0p=E_@QM5QT&QknJ8rQ}Okt70PV7q_iVKvi11tQ-^dU>FzXtK1 zbASu&%NEIds`w`K{i&sLfg?j!NzrG?p-YA7Um#* zB;vs_j#AHpUq;~yjpg#GU$#(5H<$!}J9 zRmKbiW#AzXnzSOhJE6Q$E*UW@kw9_EO+bpCaD*c2>Lu51-LG$c`siCUB5U(4eA@n* z-EZ7$nkDDf2H(ecB9ILhMLOlec9@Kc^;0kj&oh=tCTjvye$73e7}J1@=Bz)JY)v*3`b_(WvupNfD&Kn zVv$dW(7)=tnQpHewa$&i?dqqj{M>~slfg>&BRmTaZhvFYs&M8L#n@8Pihi1!|ZVS$8Tpx*;!p z?KjVYMgQ5HJs^ASz3O3f9DRxO&(Xu*$l|kzxAf|TayF)L{$t0ZfSGVMSy(io_5KDH z$LtlkGz7=2J4wOK%Zbl$TEZkTxv6wUs_6a${>wp2Uqd`Qm4V;r<<|2bN3!U%BUZ(#|E zo@=|a8|KXxXf*1(G%OnWQqL<4VI52~j^5oWyUH9l_A;O!j_dn?~m;a>OP^$CLOe7| z2m1?`A#-i^BxYHY2Cv+`N5J~EAh>Nm)rX!txgG$vXB7v>XvYNWSqP&#^S4|&#LcxO zI#z%MNCUy6O70mI&Yn#2gYpnce{S}{3h-(0cHjC-TGz5fz9WBOMrhX~d>w(MyU4DV9sc3RSpi`ggH7LB zm`R=BC~RNH1F0{*7cRqD)Q>psPo$ejSzD}jQ|T!JV2ZINw*h8ql~rixlUVt*o$UD2|l;2Ydkj91=Ri12i}Y6U-i>Uw-<4|3%nQOfZmW0qNBmo-GH8EeM__F z*9#?2YM?1b=wX|g=bs|(?js{vo2RQ`GZ2w#o3a1&hg+9$H$%Fe&d8i#fEu38$VNY@ z6q-&MMqx(D`YFH6=I71r#PkKJkaSuX(p1%Ve9B z>Io((QITbIiSQhjg+JN^Sy9575O#D)Jd1NM)3B;v5`nx}Wi)d}k8@3Hu(ZN?xV;vi==(w7mvNbN{UAuy$BR>909)MqKds zfX22c7bqcADTWOxzVh>eEJJ091S?Nfr8cnr7TErhWfbv=6Q|r8fA4cwluP@j6yFnC z(%khaq_r3RUT9~Oiw(Hz%SAy}4cKeFgRb<_ASv;QWz^aqe-EZ7%B5mUith+azk90E zK6l$~3k$-FGB>^HFeDr;}<4yM7WPDmW!96(v^ZxCA8^RVAi2y3#cL|5eSJ792Y% z%7tN6iZ1~@N$vF%pB|jfZg-SR1~{F6;B=mqCB&e>@#i2}M*BsHkQjbTr89wrQNhBY zoH**>l*;47gAKvqiNINdv$^h-N)MNn5R+}Cn?n{&_xj5nXa#ooq@OebW{JRw#e*S@ ze$p3kp6y`R3WjW876sp2w@)hlFBtNJS#*5!XD~!?RxOd#2a-lG@y#>(rPA5JSP4wy z;G6RgNTq9op*GkV58r$h3~4VqTpQh-ILjzZLKH{?42i%{hGkUpXB4Oy3|YWX0RTHEMuF~lNX)8WP=RAcf@5wMC}g(MVbigUs{a5_z;;2_G0f-}m1e1eIVrxkqk=31MTrC~ zcU7fW@c#N@kc3^rGFq+%UI!IXF8m8pe03S&lmp;n<+vcr18nviY-R#pkM%68!MAoq zMCbVQdf;_X9pyr_B$Yl1H3~@LKM?eTD=+{at_QdxhTwXoMS*bl3lx5Up{cc%m2Wyo zUILre2WylwBMOucW~$rh675(<-7=#R(#-bPfDd%xT?~e=_eg^fLA)$ zq4=Oc;T$aG2QGCkxYS?>)lN4T1fHk7C;%jNSfGFh#^K<=1yP`6Fk}G3C~(mVqd~%7+fW_I+oEQJBEsnsihv(sVfmL zA`z9T(fcLe<60=!+`@niLPj=1#J3OAJg!My6*Swdl|21LUQ@~LnRx61$SUW>2+Atw z!N}`q>Ux?aMB$O|ce z9*Gd0oty0NG*H$8=X}3ujP{NmG%82+;6!jU+l;frT*@G=ZC{M?I+7LR;$w}Z9x-d{ z*fe(u>A3*sAFEdSndU*P7S7el$A16FW*+m_${Dm0QU|=o!btf2AP>+P48FJkIGEoo z%OKV|{Fx0?Z``!QAZ;t*YBtwCq!oa^m{yx7q^S2hdD^gqG>vq1Z5~=rj7rh1Q;BYr zh(ij8^Zi#tS4ELQ=VX40Y%q3Q1 z?G@B!;62CPl6Eb#vgjPIH3D z^1gg<-qgOznhW&AIq4;)ls%Z~<)x-teTCQ@fyRAzS&=WHK=?>eVH)Fl$=U1m&AuQq zHWhn;nANLq`ZG4k#V7vxN3-hQTgVF{8_v7SA4N2#k%GdC+%s1;MSV&8xCC$+Xj-}+ zL2U=qIK_8r=B?1v>Wh!kqB6j*K~H)9pIqG=)3w?=zMm9LW0d)yo9g-zWt_1gdFY;F zt|Bu@6?x2g502=tvzrKMpp<<1Gq{BJtZ8ihT8g%a<`HdQ@Q7bnvokPLDVwN}<)0?9jgE%j za9BgJ`MJ3Il?~W#oLq!Wmi1h=Aa|8Ii5V@YZl5a~SDiIjHom#_FZizBM=yM|22TcwLKDwgLACo#{}lGWeh%qLBd!wO0zAI9Z3< zr@!oV4%bJ$(x)k8qIf8pk!JSL*>t>$;BBK!L23qD+8jXpk<%Cy?~z|>N3NOMT>VE` z<&Y5jdz+Kbq6dZ~hLB}6--kE}H%sNzTC3O4EVA^TCG%S!oVav^VPo~5n-s(PYLh$j zH8DY&BV1y9KKxayo%-jBm?$3NE(>t0JqEcuV)K`C0w|BiUSD&o-dT)wW{sO=b79^Z zOvlCmQCdu0w%}$?OwWRpARL7Qy1dw^gDMBO~EZ? zaO>bujTpZl!vDVzZ81^mi2mPpD2c@lz z7U_qdi1Cv!vnw_HQ~sqgH!csFyGO<#*ThY73@W_MJV~49MCwM5hN3b9Tnw%tw;)uQ z;P@26dD8)*La^|Eo# z8QevVfE-D!jP~)AIiwT9P+kM`7vz!@UHdpuk-3EumWG+e*?R<$&jq%2aoSyrWeQ*C z7*3FSJ{K%3;#MwMYZw^-m&9c4n~SjC$~?>Me<_Zn3qfIDc)*(yQIaRK{Z#_Cec&D; znsdWu4W&KBfrFu;W!^%)M9exS1{p5d0X$I#wovS*$|nl+a~EzAF;QE#6$m zaU_57S^^Q7hnl$rsO13_o#tkjReU%57eW_@kFSY^SA=s1lS0(OjHHQgRvq?KdM+!` zD?>=0?GZ^SUnlKd2##~PLQj!I$EB#^aoY4RC)BY zVLD0DsNj!#;4n{ee=diR*$rRL9P%t_h7yS^tkG5)nS`1l%IYB+Z&ju|sZlQukDV{x zV`P0H4aMqWe89XuO%C+DWFI{u)+i&5yb)aXNkPC7n-DH4aX%~xJ^u}qbYnsw_hLqO zK#Fy?CE*?UNgDoE#gL&T51J$CCV2BFL%2+MXwu1Fps&0$Vzk(Wag|4HuWUU-+PrN1 zrU#W|Ucy@;HgA-4RDQ2nJ(=FCd_A_pw@jb1rIbCLA$6Ffj7h@$z2CEFM(i`$Uc+`f zE7hLTd^}R}Shn>ar3B2t{lT~#VZg`leB?^UbwBmu;Ft^+4K zE)laQP}+V*rFXa6BYrLhj~=~CU7;~7R8GI38o3@tQ!HAS;NnP>`?Xd-wrGT~dBTOT zI71y?m9S9a>!+k)ETU8*UIzK2X`I5D`e-8GBegcB(p3~@`s6|@n+Nz@eG8hJ-~eL7 zaYhGDMP$}}Wp6V6iqyg65&xrQLz*sesl=BVpz2cg)%sMq=X^lE?cN1~B=y_p(cK_U zYS&OKZ;ZE!RD?peP`PIVRs&M%p=tK6R))En3M&s*3mdb7`iy|Bs1-VUO$k>YcE%+O z)1ybj@zKT=UjBUi2Bf$0*ivkcIP3DNVk)&1*K)x$H1yivzAqG>Ff=rsiO+-Y;_tCz?>r+e%;-F!I44I1q-1b=LzGk-nH6eA18qmPi8E19O` zYUDWT`=wc?(o?)7!B~DJ5Wb1b{I!ceMHuO(w8Rvz3s0AxTk$=16P58tdBM)%9579} z@MGmx$135+o$s??6|POi{`A;4YMYYSQmsF7>cmQUF(zxncy#QQTQ%!ghV8V+GEF*b z<#>a}HIuNRMA2p;-p|D(&+gF{Ay2oiR*nk_Z$aG%j0~#T&xMmqp@T7XYl&`?l$_^u z+`KxLhT^EBD}Qma%MrQCj6+0@%mBr*pre;ucTMWXgc8)!SuEC~5ca0yj{;Y{{7FUl zkBUT<{7Lzhzm9IPKK>h{>DYEWD;L+zeZ!DzJBU6vVbXBb@PmvGd7KyRe2JwgW_oQ7{H+ z`P3HBB6`z0=~-#z!ZL^Y$v5vDTQn$>xNgqNZvFF{_1e=~>EUDQMwDf39f+}!Iv59t z{6Z2U<3%~FhwBPT3R-$F*(oFa3a?+qkU{~MPm#x9vvbR- zE%sS(+@wo*OGNMyb&2>-kAd4(?djAFTS4>5f)c7!g!Xk}QoVn_LLH8Ojue>-o<&99I0_hj4(|WEO8^1Fx3ht zdGx8(!8J&ZhU2%FHe!q*?KGAe95-_~}z2q(tcamYT)=>?7G*Y#40)O zM0{)lp$4y!f4s|j%RRUTwbDV)vn%gp4(>a&LLyJuqbTTDxG8@G$|?DW>> z!^P?05_2Bp_WX`!*P~C=j+pa!x@#zF)#8@+608Hykg6<>*p1TJLL*C10vGnd4x{tu zW%^WyDGJ3@duPE8_khRg6QLHv5>orb+p}8LF25KtsL@D-X>^w)c#)chWD5VhZu`qp^>oKM}4}m3&l-AnC9@^ZRoo$4H^Ee6F9T? zxG%3lT^NcC$;t>mAc=30eqX26CWpRJ2~!^?-13&ihyX%1#XLdNZb$VuayOqJ*VZKbswdKfm zy6MhO?Rg(XEs5|nG+P+ACbH#(89cCEyU%+W=GV;bz!_?}wcHlot)H%<7jr^(a5pk* z)QIQ2)EaYx>2pmU*+wtB>qkzW@@z%=-J7y|=D3ocJzez31FTT?CbF=$+%A0Zro3xZ zJ*e%=hG@f_ZAS5PejbnbYX;ww>~yAefZ{|P>|2s%cv|r_wmb0z8Z%=;ZUv9D509d$ z2==W4AnU4dbP&AhEO9NFGRivdF4W0H(*fh3IAW_1K!2F)ps07x>Ynb-f;|HNt`$6~ za8jCHSG!OwWV+z;6ywuv#>6uBQ5+_<()DSD+NB|{!MEYZ$OTMxVmH*O+`&EkV9TLrQoQ9|wH0($^& z%)l;I9E;*h**`s@qsg1YjK(|5E#zyzI0rP=g_RP#xbtC^ip@iLp>}~z_0mF?ODhSl zawRpEo5Pe#npB0vF`@Q~mKnN*Lg#!L=6~#N-G`KMixH{Ep;H5-8`y>w*rTalZl;ck zbTgh)@0;i+hl;$Q22S)3r4~AhL%8fl1sU`Nn|pr1|kf}K;xjBUMzm3;tlx!Mws zPb0TP`LmqEwUweHGu;ex>q;SVpB_dgjpLP-lov|2OV2{#2}e@J;@19*BB31kipm}5 zfXcc+_`*fHk&~~kICVzabhp@&cD|+?$AWZ`Y17LeSA!at&zB`2*k02@pxR}#d{6Jmibx`NVk~`bwj{-eq!lzd)4q91NoRLhSld_8R2Ft>- z9)>?XclGM`4^(f1npz9P0di%T>p01z$n5mmbO|dT(Es--J5lCQheXx@E||v@Uamx| z03U?^9$scI{afE~9MB2HpDQp~Vbmiyo$EfTnR*QWptJOW&+1sczkWw;P8@FZf5qBD zn`8KGARySl$EW{2viBB50+_L#(uFMO+o&v)!lmg6=|q%KU~L{kgm%uBBmWXUmy3on z5t`qZk%Q)-n&V&ybF#1%CxU7F{;%(n!?$ind(V;V&|R2XhTeIFPaTPXwMmv`5iY`P!Vx}eMC=HUnp+tg-a2sjWjRF%no41hkZ%#;;=@pB zFq$OS3sN{W3{56y4^kB{nO9q6IzK(YO}t9mZmhf7HQ5tr1@LJg>yFs{SXk5XpUHjg z);#>(P)##$U6jpy728=u`-U2P-?rUd0^zO_?D?&}b)Z4*Y^&#IP7r{%y>mxZGWQvFk7k;wRWff*5CeT@7vbeA2qi z*l!A%546es0u*dm9J%r{{zXJy#@{~(UobkIeQKQ_?aGvV45ZW$q&citkk89EtZz^A z+aix{{KrR*-8$I2uo?0~`NXzFVBFR-JufwY(R)*Wsn9AK;-cB({ew6?W3+|)?Va9K z;a7A?^k+c>?WhafP@4TI^`Ot?Aoy-J2-RLR(wMx0GeFufNqO@CA}Y~_p&Qn;(womh z-QD5a56ee5`}(^&6Gif5axAP9E-I%Nl&6@kN`GMk-8zpqA!^XwJi(sg!Qd8A<>p0> zz>W^}-^<6z#!aQ;7bh0>0F(>k-CqSw1BQB*63rVloOjm7FLB!BD{jbIaohOsMr8#xynSh}KRlNZFq9skK~&7&@Rn4Kzb<`S z{w?qazFPXtX8~*QPueJyOA$VeVhAZ03UR=7*&=X;hZ2rc936|Y^^J!Q2g`Hr9i&h2 z;4p4w-V5C#Yv~j6HD-c`Lva@F>fgZW1|M7mU%x&cX}QZ*-FtY^Wv0>W0NoROSsPSS?VGKR?eX!U?$xJ>9YWd)FQF zc)9~xL*Qo+icy%Fe&z=?s1gdHlK>+AC0)LmnWjVh%(#rEvMzjKg0?aH(xjicq$lGD zDgMyZ)U8C@&yY5J`eoK;2cOrX@;%eDhY-_)Ofh<*!FzBW)u#gqlddo1W^Md9TJa4D z^R^DpGaEh@E@$)FStD;rNpQ!no3ssMV?jC2~3${>|Mmhm$vgD@to7%%bXMt_DFPaWK zQk=T<4m@c$+}+N$vR-)m)vm0+FGb-(kWiLiHrznT34I@Ek>(;sxg%XYY@n8+=GA1O z_L0$tKWK>kd+rY{fQ0rUTi{mCJl^+x?t)M(o&!#?SYtYWhf+;eow~k~c7Zml7Ux0Y zZP)xavug4B;epYsWrQ9^1}5{~Pz^rtx<2va+ZEDMk*cmFV;Y2ApkC+~2ye%<`H3be z+-Q&f8T)DWly}#}!b($CYh3eHhbQUnp8|7fvFGi$Y1~D4S@il9tj7Bry#86pel7wi zh7r9YJw;lZEIi9e7ME^rz5*TQ#>o^dc>d&hI498QyAMMuENmMzg5V1Z-0m14472uU zpE=KOTgsNC=P7}tB1A2UxF~zBKNJ}J%_pfUsFqA8=F}wt*l1i&6ZcJiA1HAtNgk}r z-QxRscUUCk^63)$GI{|4juH|}UTyAlh{02=QZXY(y-Vs}`YMr{!*dy}i!L;A8fCAY zvT|6=-aniewwROepZVKgCfeNrU8$+Br7wu>POuN6#nCf+{fD8$3>1@tUTg;5k4?^~xy)`(;JC%@wi{z>^;&Gfm(h9p(|Bk@!GzJ@EhLcU}jMAt( zRyMF&;vJj#RPVU|(c1@fqscGN>DdLB_-PM3D&hyOFw8)j*%m}&-w(opZq1p( zGh0Ut;Dgx{t}$L4vGSUb4rxNOG>uXSWcc|JZgiyb+OPGKAl>#(YmBv%^ z=2Z2jG$GbD7Q1)9jETDfT(#5?sj3B)YX+VN&s5LvcarhsAP@RZ*QaIE`6hU8MdR)~O+&H+6uMo*@m4tDq!uPD z%u1nfhJ7X?-$9flH_)xM5y{}5!%O8Abs)oTs|tv>W9E7`@BL=jpVLyD=E3I{E?SIU zIMk4BTRpffHH#odVPBk!gsiDX^6ZSIPriBj>8!Mn_byO^ZCFGq{)x{U>M0#kD1FbV zV>klKV6pJS$b~osfK=#{ANX~1dHh%SGU(4Ke6A2a_3n_%=861?wS=0hWYy@6l4MR- z&_dYf9Vlw4xx9VaFCbbf41$qV~pAN5=ULa&fJ4ISlxAiQapb(e{{m>UAU zA5P!JZH4!~&~=~QyTmHX$2(UjSw_lii{OtY{w~7mX4auP3F49v?_lKU%!{4xi*CEep*XW*O!evou ztg=#_=4f}9#9F#3P08^&I_i!;3>m`zxIPtRZX0cxvd%rw6x|X1vUAWoZbVQj{oXhr z@~S~o7{(l7&hmTsPaBWqOn^`3&hQ6?@tXHI9$Ej&#FLB?WkaD~v?U*!if0)t| zWURgoG=7SzivE^gu_R)Ug7sGU6(?{55_ZeM&BW&Q_1Fm#;rZHt8UQVHAnNfj=djsV zLaKV;C#M5tEWsRg`PGQRwe_1saFaE55o?*q2j9(hnYi68cdG2qn=>XpF}5$T*=mo0 zb!NIaMyr8{kcq^4wu;aB~5`qEmQL`2D+jyP0|O>59R~`ji)X zthO|2p}Sg7QnXZ`Dx}A8ZI6nnb_n0gLzeO&Au2OiFy)6Eq!zT2$|?xQQY8;;_|}T2 z>kg5HCiwSZKP#uoAfj* zqGOnQE(>^lUVPXB?QFa{QCjQoZoB2AxUTF&d1+{Big>VZi80;}Wq&M}wK0e+I_!^G z|Dqmv;DQ&u$~FJT762vPak93{q6pQhz3mEUqGkH^`(NzQv;P1%ycMd1UFP*|y*^9^ z)#T{K+o}RrHC=``u`<3g!PpDSk*4r=D6YWYliu0Wz}0d|3&S{I+ zGer8&?WuoG2~Q7kmVY1AN?N>Pr&b+tIW7oSQHo)el*zG9jXw==dPCBnj429H08FQw_7p^$g8vZ)V}A;2sF@YFAtqyGf~J zGtyzlV+Hs(KzaAfw68e5PCnKi;~9^`z`my~6K}CfEeZ?Zwry-4g6eNa3J6Uyi;EnN zrv(y9bLRH>BgAbHKmW{bAe{29P(ym+QSEj2;Il>W5ESx-0! zsW8dhqub)YaIBX~@Qsf`ftQ|9JT=e8w{~l+vV>sAtC>c8 ztOH5GV=q9PEqLJm=fK&+SF?9o-dI8mZKxcBZ3Lt;RK^QVvv1`Gx2}aI1#+ zptPra0=XvFFPMrI1-6EkwQNE;$fw`&oJ6@4aqBHF4)L|51Dj0N(^9cHG+H6i=$QHq zx?KwWba!?TgiV2Ut7EPcb^oMu9_e+eN|C%kjqLqdRNyz{xTTK9gnPsJ773cx5-I&= zzMrmK++x?)4r8vI9R}tGIYx2=|48X)6fa=ylA5M(G_-j!3fK;y?JxWpFgvtp#&m)r z)Uc>458;Fk+uP@$mrIeFESWoUqpd$Cj>FQ)Idph~Q)+Uw-<0?IV5ZwwXY++_D!$0A ze@L-Fy`NH5U;kL)&t#1F?W3t2P*@@3&N9`0p)gf`5i)*IVR6~MF8TQrFcMY@#{e@u@=YY(h2%s0&MC9qr1w_S|OgZusUpeCAX0W zD+g5Fb%!c7Jkq{oWNN!wu`K(4z~ie0U~VYDVWX;Tt~I`85Boh70#`@z3;Y6JN4o0% zgw36&>vDsMA3M7UCw1TKWY_faF|pe|aS>zeKKv@p ziWP5gVC3IpCPK*J;ka~k>~g#kj^~ARjw`+`-bRV-hfkR z9AWRJWxJ5!FIj2SaXD1%M?5(qEXEOU;Yd7ikf^hUb@5px6lbd2W5m-KWZ05NSW|$Y zzYo5P1SV`=h|}iW{Hi*2eneS5~amvH{}OD<}q1ZD=nDr#q7OW$N|v zQg2V@&JLtNy^U_=#+*<|9b}4xmwkwx(aGFJLt%_j;yYK*icEDM_I%WbEQWD(Mpmw- zJv~jLmIU0Q83Nx-Tp@n2@;Hyt)vdY7lVU7AtEKMiS8Zp^z!o_IsKz_YPr*;y?2hFe zU%b<5FqucK)!wQxN*`wa_C|2laVN38iBxE%eDBi(Zf>+i2J+1=IWa}$2|~5Ru<#r_ zlznC|F8tcZS8Lvh_POKW%Z|5AG5t7J`IitFA4naT9sbs$vX0gJWg*ML&yO&?{V@ti z(z$l-Vu(!t0XL%=KMA4I2~EARX<;pqpTP7W1zB-`cOI~eY^$NP2)b>KlVNd;bsurRcr+a$;bsK-dAS#tI8b$C9zCD*-j>jWku^psf zTXpwNBf=VmSc}w7|E5x_OhW%@D>`X>Zs$DOUP#x75Z8Q_uCz42;%;GTiqW} zJ5S(s|I|sKooeh}FM%Jv@h8Cdnldz)vrAHZ9Fg1@JcQti&_{BtZ@?@93N=Mnhkmbl z!)p$}p46uj93c-{Cs5yHPV!s1CRf1EOb=k(3Qd2AR)-lIYvyS3{2IpJcmHgJ!A`cL zb3YEw#Rc=1ui>spnGL@oRz0%pY<6~p9^|JazH>T7yLr6wB=&n>f6HGFdHt9xkk!DD zZqv5maX5Gw!IVLtW0V!;$0uxE|Xz6g;U_-V7hUY%h_o^{$^CjHyLR1!#WpW~^D{&42OfHnK0@>CS%m{gotYPQV zUP@mRZ`7ohg%8a9uhEHCR;19$b;BWWy?sKcIeed*FY> zH`bNrg07%aERHbO;G8pExZ9_7Lq;b%;c9j(@N7+&&r7xKi>yukG8Ng${9^#;-$^?k z>scrTd*aX5Dq}$BxwU27w&k%M+TZ%dM9)7N3FHK%BfT|Ov8PWO%|*nISW*$K`44Wy z1N~A6O26HOZqk174wb9}esdQcDyx4!;BxMfdbJPVep7P@DV-DRqg{sL%eX>15QIWg z%j!ucDUyI-;IR<#q2`E=;*sK8u?!Eb+JV3^KV!}KYbj`JU{`*wxI<_-SuhL5dW78N z4avj2HySd)L3?{h+$?gFp|6`gmQ$0~NL9zrjWaMOmzgosWPemqkZ(6-y;u-?+!#V9=_)X3l%v~T3+UtOr zGWhG%KdnKLGWs(a#9^}P-DM)GtD{DE6<(O`%5_2|_Tly1Z-_PF!42jP(|B!mMCemr z0s^X0a({+b(MCs^q1Lu})@^?ZS$CUFnoRZeUC~fbPBs377-}pq&=Ee{G6L5OA2KX; z&WMW(su3CP@s7M?kvu@UT7ZuW%_D~)Zcu6sBtpeBYBwy5Td&iV8sz1#1v&trawl<2 zqe)jFzEaBMxL)HgRO1?Fb+=;g^Kh*6hcahjg|XVkF95;aYQM;uW7987n_B+U#j; zhWJRRxR?X{px2maZY2e6Wb@ozD&@TE9dp-J`Ym9(yP1%*$iaWmE3#~6k`liYtgf%4 zG1Rt0)AVl}Eh-yHAi1?|M(r(*6daz0eh`ZhdS;nll2njw5U4PJ@V~k(nWmS{+Y_P| zji?Vg7JZ?>J6!TzMs~A0ldI_L^naXuD5fPJc$$>wj#5 ze~qw*DPO0utr4XduT<8qm+GFvv%I#cR7ay*NRLT?>280W-cq;x(bbhA=LE;ua;!{; zA_LxGO#cX%W?R&b+}QAM{Ox)6;t?AN1`|nKUFjqe6n424J0KV-kcuB#780L&<-TZey-eLP|FY+{nj8h>PY^5n-|x z(|p(q9P9pOh8L;qX4k~ej3zEqVeRKf79J!S>Gn!Oet|d86ZsR1PVGdPA>El}Up zqW*tub-x<^WsFIMmI5wOPJ5^nZ;)DZRrh}%2kXV>q^?;weyW5Il#?4GTBua@1sRcy zzNU0pKmcrB3f7`3q*_X8AVO`|I<)hNaf)+2|8FSKceJBG0T;c~MW3L*ydeuns0g3# zMSJr1>Snm>QiXK#?O;xH(=^O?A0n>EfJN5acJP6>bP3m_sY&{Vds-1rp z#hH?@OajevL2@#wg0Wa8Do@~^_LY2{#=6*v7Ap}eb9v`ygGZg9-?wJF1t+T-N!ifZ zjxT~Fz(8e1ewNWz^bM|YbQtXZ!V``fJPH4#m$=M9lFqom=tvq!Rv&URD+ub^1*n#a z2d@lmd_;V1(h&cM0*hHl*>N(rNjZOYz<~yZBI^V;NM7!Z0OVL8sF?Now}i3yfW#QkD@q1bKI}NAqpvpjFs&XZA6FA-CHEz?!vs*HIzTsk z_1+bz>Wg%d0mn?EH6Y?JBr2oys{MTu7&X4%j3~cLNiCc@Lz~Ggxxtl#c=><0n(~cq zy%^3pv%3*KNbJaP_l7Q8q{K(o9IeYRB1Vns@dAYe`(l5YZQm!6zxk17%pUiKuC(yl z6qk%fd~)%8%aHTk#AT>zq^BGxq1GTx)-S&+xVn$z*jNoUIrjQxBn3JZ#$yl~&MjA3iq2vcux9AE(*tw61J`(hu{rlkVH1HX9*JCVuxhH>W5dJ(z7W>a@ zT)yeKCTg`AVkhi<4M;H-SK%Za!&6<32<(g}O~6=9R#+cRC80r-qz`C7h*j`4qc`kY zNw{e|!o138`HXJoFaiy>k4R4QQQ9!3P~tuljMQ&eh)AZ%0xsNC@^ekfr4?Ag=RToj$PegC^f0a4 zxduFaqUo7A=so`zL8~Iq6!srPauO)YCJ&}hKW)W~m?j3dHfn$OMbx0^OV-_UAH=&V zj&)#~1srbPu9QR11@*J{hcz`4p6XJsSc{NX0__xQYNYv4f9d1a+l1^%pKnSzgW~kuQrCq+upd{4d7)QV#4mM8qk`r`_ z#&F0&A|0n>ky8ma2AiKCoB2NGLvcjO3)GX;DU_cR+ zof%kywFH0BKIH>_2tMTWxQZ}GkZl2pUDwY;Z|}D=#xg3D{d7*&dy4RyO6TcjDBzJ6 z*{eb!dlZMJOAO7)FeH*YaQp|Yn&_3HFGk>H+nAN7$1;kfwyqDAVpLz4)*u%S3z`_R zF+I;ch~yxY-C_Ea?nMWpg$tBzrdDj~4HlZjjxT>kGQwwt!(Emq#MQzG%!ji2vqc({ z#pLfdzk$CwmpHsuIOhqJZk;3kPLKSoy2A^C@C(*gJ;0PaxM9OBx#P$yzc>@A4Zca# zTow1i^=(b$>MeEF@Pj{6exrNiV*uR4Gpe93peFCM-g0NBWEKw$Gv|UU`UN}&>7}T| zmz96G>_||7i8n+er$n5Ll&>Phkm_p}$JUKej8*)748 z5ht3~>`mAPiwAgkX@>$Sa&rA==Uy2#^yP=lDLYm6YY<#6kO<S+8^|hTt}JF<&;yc*4RIV6 z>S;zQPo_eVxb*>W3<@$7rt0(GPsU-&T7d7ikWm&2N#G-8wR%%eKi3s_EJFD9HLxY` z9anwiDR?=F=f4neSFo_7cX*aj6O(Z+N;j%IGfDnKS{q?szjb~1elutu-b@8BlInrM=}nK&N|?{eE=xS|pI_-ntI{P_ITWel zOe_&64Rs$xBXkI;%=*-St~Oqq1O9d`l=0!x?W$da^2DlHg_CqS;s%%|>a`EIJEF1&5_--MwI*Q5Urj?3I;JdUOc3TQOv0*fe0~+%b(XZTy}bqcq9)@2_#Y zOFX^sCQ|IWkpw13UOLuhY%lCK9df~4{~)CiV(N;c76mIA#0&6=KF4dCCHUFU%1M7*+2JPhxVvdwv1x3KroGToxTnR-*)#x*b4JtN%HJi! zjdQBr?LK8eB|V76e0jC9704iz>$m4L3KuRSneGf+vWjc8+nyxIZ#qTcor0Y&|oo2R;8!eN?>o)=4Q~B!UBJTD8=uym_InI z$x_TBLC3Zx?C%?7Q_eiExlj>iCv$0JW-GhJ9V?S1;g{JRYlg2|q2Ho(?EZ>|D2oag6%t@p_HerD7kSfu+)VaDaWyc_?*spxf5d>@^P{u?_hU(qUOxwWK&6J(M{_3(lGnpxl%j1j8g9{#?@78vq#V7s&cR-SjEtt`_;Q0z6}J zYt6C@9J!hU$KNFO+vJe%o%L<+@}|KEIYG6Jq^RXXT4MGu(cW`BO{3_t4A8ksRWbeT zdvvhD_$w!o{eXYp9|Ed>gTJHFDQr@LjTv1vh-g0H0In&{sn)I(Z7~|2 zLy!N>U+!sT>BIl^TzCnu{q)b(OO9pNS$f{lyN)xP3F$22>a-DFBah3)k zWj`K;^X+^MeEv(fAJk084ZJrs#ILmZKrDs2lL$%3ZoDixut6Y?;qQHJx~wtjb3yzE5MpTJK-yL1I06ac}?|GG<$#f#5ZOIUQT=*xlLsTxPGUx({cc z7lM9x-cPCx_CVht5)l$Ztx<(%VO}xxlU78<{2qS+*MQi17`Y^?sYb_I`JSY2DO{6o zJ~2hu8|B>D1|wRCX22T(hU?OcVXx|m>=Vy)*(iw5$dKa0-#ht!7y7aCQ5plFJ| z7a$sCd)KzqFq3)+6pSmztahs6m~C;9^Nowv%;BNp1aASm4f_wcKXsYfeWj%`hwSM) zpNabsrnZ?XC@>UXIbA#7yCx_cBkD9xQLBH!(|^r7{y>jhj8Hnpek+JSo987|!*_os z=tyVo={!3d@roxua_Xix5#wY!siqk$sTJ%JYt6Pyewlyw`rogx=r z)wfvQeSHIxj~p5lC9rC%mIU?!|C|Zgq&#dUj9@*Sh*uif`LN*a=_J&^Wv%5~e7t|@ z@JM{%WEPzIi8Xecrf4oJ3M+Y#hhR&S!*tcXfqCtowG31tHA_#0adCCTDo~g)xG!}y z$z5c@=e+Pbuji4Bq&YuXWXH)(J0cL?C>Rk$JtyF}(DwxSdp3E8n>Rlfn7gpwxxpDI z1*0)cZ$SR;i~e!AnJHRepbEp{Zhe39An3*vd--3)>rR0iT)-uKKPO_5ux@h%=0O20 z9TSqx_y=080CncMqnKtGg4kZkE`4Q3ar_4@89Aza6!+v9*yHr&`J-j|OI2c_(kFgG z1EEgu$KeZU6f?EL(hIAv7JS~}ZBm!i7Dh#7shaFl*6JB=?1`~=(rd}RKr?^1bbSlo z(i`kI-sShuFen7+byw~E#(GcXk{ZRqEa#4uWk|a0(_VIT7`SPZ3sTo(R*a^TUIt|c`!H3)N8VXWa;6vqn|x!Evo!DsJ$$xLCt6|S*0a)= zu<9(eC07!UMAh_8jQ0xPZxkbtZN`e%K7P`2p-I@KuEk`rtLu#||0|IzOe-K&pajn; z(jpd5xtVcDO!60^U{8OiXo1GUBn)b|iYnL;&=THUrRR4h5svbq5ESbRb_M1nm+x28 zwN3Z*dik>0QaP6NYvkLFsSrVh%w5!{on^BJH4K{!AF(#We!1ia%iTi|qu}Ousdzd= z=H$gcGxjvNBF-SOU|9`s-F_!|2_W;yPy>sxem01Vf{LOl_z-_3GiGba9gx==lcQ#CB z)r3_qqL_ciULhC~=M}Hg!3Y8mNFBlLvL)WrBDIzO*h#&LR@Qxp|u24;_B z*c0~$-cE*q?yXjm@fFxUpg-RZD{O#$8m(N;6HnK8{rgLMrr;ao&CL(%oqvhRm_-H;Q6l zw~(oR_l+9VVixr@$A=uc{)^H)Mnopt;`a>Z-!rChBHRJJ(Q?jwfscA0__&{wjcv1= zwcmezD9BWc*4-ga0za79X~v?ogHSBo&D72KI9iri13ZE_-6r+6jbK{xCq9}W9 zKlp24&Dmmd30_Xpsg6R^G%3$-B5zLdN{WBomsygORLlH(J29e0P_1VgX^*z~dUtqh z{O;8&CnihO3p6BC?zq=U{{Aq7H)l;hACR~55OPhfY;75d3#bdMsoF@8AxGxlcnc`M z6C#uXRQIlJB@WTdpBO2(75^-+sf{s7!<*AXp~+3`Akm|Rzwyq1F+azWu49J*M7e)} z?MGSHGr8k6o&+YlLOmx*=r8uj*#a|V;EV2WX~wCbw&8Pa!^xBoCI%Ix7M24g44=PY zQ`1XZJove=aDHU^VB&~LstpERlZL1Wr#)ZOJ--6x3=e8ttR$)@sAMv*IWX>8elH7b z*KAPBKtKaj-p3oJ`=e~7Ve*HU4`6?$rWC-EjTgrMbze{pt)l>avD7^u)NLg&)N(;N zg4)i-mAK;imZ_5b%~Tu&dR|*pa8#H3eDsd_t{}+6=4kvznBgL7jlq>>r*958t-R0< zvQfevy29Suh6k--ek@bUbj*ElQD!(TbX27B?Xt_lEFD$^MXDCri*eKZpyGdwP$)y^ z{{d26P}q3h3+aD7;3NQ(g2!!@)M^I!O9`nq)H&ujeHj;TGUz0|G;~ z_aH+=WqZ6qy!k!$6STtI3)}(-i7L|y%OxML#a@5pL_V^`BQ0`d5>Y7d($OrjQI2wh zn*T%0v7|~iOA4%62RWmoC>F~o8Kd9Ai#mK+>*XJ=(oE(idbVv~PJ(|qEH)`q9{|aR z%A0OsfMn(cB%LHzKUFu*G!{DuH9N&M13;>Ok#IL1oX4 z?@4+E|9@AIA4rihn8R_yZ$slM!VFrhLfY;uI8T*v^94)0OoC`$ok9qKRV%^bPjsQ*P4PJpy96TYsoZIK6=olep}e2i9w}9;sVmB{d(t= z%e98x|K(=r9)W)ilh1r?XFNzl!e>~|^EFPEcM^^;I>CwS{qXst3yDIJeFZM)+4~Ac zSupZcSJM?D6Cjk^RSjl}V~MT>U5QHH2YSSvLgg&cjPM&?sfB5E95Nvb1dIRi`8!_$ zG&wlfwjA}@$Ggni5uQH?J44CeY!K7cV23O)_{xQUUGRV0P6WqQa?FMO<}jufMc08( zi+rYOWakar!uXB$Q}UldnwBV)gR^$|jn+nP;<;SsIA~?1ie-|>Uxf6y-2#}#TMv}$ zu0{+3r9ngtKzVLN@frtA5uwpp(WN=dOJt08ZhD7Lk<} z!(?;fx$aQP=H1c?o6jyE6t%MQ=j2ZuJ8<*^q%xi&4DO?#2(&#AP-) zlW+N%!g?@g{suQTDQ>D-KvO?w?9C_g@!J}MbccUf`F21{oPZ?=TK|n-@d(Kg_jCpC zIv_mslFFtMr*(~~Ob-Mz4VyeaUeKp@CS4rA$hVg|WQxbkutzfzW-@^e(oHQ$Lc^od zs3&rWslA(=Fcuqa-+q5c;>N)&nWG}EmdQ!t;M1_oU7@__?Gh=IYJp=XN~igcp8)DgxZGp5_CLI_&=wZ+aV|eiykAiTWcI!3B6^eSaGhY%wYP%}mzftPZs`RrRUx^| zlz;}z5ZIeTZo{uZYg(ydW`Af=>ZJwjk~F-OOngIgS>rGM5>|CHj>AlgJs|boo*XEy zD>m6INRE8yMwP7!6Qj?dPjo;9NJv$0Q1E|9L4>Ejpr?n;;g@g!kIGf2<_B6Z(iv!* z*&@qyR1oMvff4`;3ZQPX>{Oun2AAr=i0U?6POoCUUa(2=O|Sf0;#J3c^cnEW{l)a+ z7kf0hG$4D^t4_$Yect04m8(3j4dus!$ZYQ)o|EHzi&)fxgpwsG1mwL*OSbuEj3s}e z$By# zquf6@AQ2r>AfqDsL%`0Z7HDy&2*DRaU#VGl#lX zD*PbIGP9k5D3g_&F&2^5AH`&OLa&{do0*F-7{4Jjj)`;_S9`=!buD}l&_2tnH5^K! z3%}oKRq&LD!EbOSo$j9@6E@9&xAAo;gijiVO z?wD2C^r}L5k(a^=s07Z|)P$K=^96YwwVyGRPC5BxyW03)ED8#?+|HjZNTnSp+ulQJ zm)YuK;^^%8_Pc?_ULm@B!Vur0uJ6JGAe!dzhk=G22O2DV$V=~utq2nscZy<#KbmV>_Hv)rN zVsB&BLHYV%(+-|F%2J<5>gqPlM@X?7$u8GVk^r#BYwrHOrEW%O_4K>G$?P3_bRlnu z9LnmjDnGm`8M;I$f+!CEp7qNTd6-gUQ|TNQt7_H?3x)-UKd z4T0M&EnLpW%A{7ps{k_2PO|5F~$pdTz1!pN+cOG0Io!plU+eN_2yyOKmU1Z;A_9Tlqj{_D&OxkS1UR zFB>EjHEng(zpg`&{#Ac<0C9!tELwYkYl_}cWiwi!!fgALvb!LYD0P!+3rxhsik8&^ zW42W#{64DS+pE$@5so(hrX7}G7q@#sWppYcuMj>0KY=yzdO!q_aZBa9ErENyu>?{L zSeaLn@De)_#4?aT!JM1TPYaT>N6e)D9`RHEMXVT3XkI;NNw$AvexbxSsJ5k&+t@W& zViNXSzB&&7>Vt%s?j5JZJ!_Ymfv?s8Y03Dchrddma7!WVzU8=03eB38qn`PX?k4!2 zU`3h72q`jtx-WQLsu?IZb_hS*RnxEykb8p47UZ|z*mz#h;fY9`$1kbevtB#K-auz% zcq(ghLm*Ge0@{D7U2zArX}hF7eL_TPOs-d=rRpY1sDkNNdkxf?42FZAYr#+~;C~+N zzjO&alOj_B)5`#Q{Lw8jgnfwGAT&CVnn2Khm$TVFk>aU&(SOF|Q+}Vp&AYr`KX;^1 z)^_yZg}nS~tv?W9GEVA#-96m6KlALP_6YFd_mS>{cwSmGUMWnm83R=K4eegqvn+P1Sr#+Brc_L8 zaYQtCUhaR*WBd{}!xNh={%*{$LxVQ^0+nO-d3rZJ^T8!>aicLzrq!YVD}6T1e{^!K z;MEX?!%idsiZmFQn^>1G!!UDHX9XcN_8Uu#T51|)l+h_HA;1AyW&2~Qk)S^BZ{_Y* z4ofLVA%8t;$&FMtc_urnDRI~*e{Fw+GNY#K%|h@n`K%ucKr#!19@)RL zK4njsiJiz~?{0L`BPTDA4E^vN(VN?eA zkNjmnq4kn#@R5)k$ix@{9K)B31&=Jnv~g(D67~-f2g2d8v5iEy=l-I^9%5lk&NdCJ zmQ8}_YK3s7qMD(xS9Xh zv~{V?>Vd=*o&({KM_9+R(!B53$uD+oQQ?DxsSU}ROfRDKZ+f-c$H`L{!NWi^;^CGB zUXY1J#sd7GJ^F6#(x_26ETRsJARUngYVUu<_D(^Rp{da0F60nKC;m>w0#FbBF*vZr zOEmey&`z{)Tm-fL$dSBfMH>}nQTS}$y~|xg$J@rq*_V4fajTlc1XBnkg~MN-62pOsder-JdGmcn0Jwhy zuwCkWjB!dZnZLkl({oW=_*|J#%Lm6BlFWL!tGykWuW8CnD2D#EtV%i2`K5ybwE?pw zE8zjYfe8%|@b-+hiAFxB)B5PaH>q3+oZR_XX)D8i&jr66JS4U_00GT=z(f3A3Q zdTYm3xIev$vqPZ|Sz1L6Vh6wvc(DkTxGbvnm0~q&nZY5!`YmOjnQ$T?9ISe=DMp$f z?sQfmwle-f3{5w(@hP}=9<}yrisSf`JA7i7wHOilP+BfrCMD`% zBmubDwO4IH%o%He#BC@E<$RCLlOOxMS zt79i*q3{J~!yzNUvD(6T0cY$k#wo68oHkDr7*g+O5}%V^F5A66R1Vd0mpY~Z5;VG# z%eIXxetMN!`5uv@M7)0_eOgN9yM*A387nizt$yen%|Qd2?j>z=m)^VHsG7SGIF6f` z$aa8v6TwwiMk7D45>`_DXq?k`)Kdjn%Fw&ASfJYj{D{cB&2%FaE*>9#h*`LM$$cCP zKC5&-7OGE=(_FTkJVL75BS;?EauKeO)Ent1e+|w2FQ2qSLrVC%5_Z;hjtie>)5NP2YFvNQaO}DyQ`cdkBthDCa3EPr`!* z*L~KfA!t)OXh43Sg2egq`xdN}*)>~Jk^;A|ehveiF*@)z3m)A-exWc-i^ZJVE9dO8 z7o~Vv^f0i>;}4tZ?!sM7s2675Rs69cKmV-QQ5-T{1@BVtMhcqDiq?SVpek#q%qp(G z=H>%Q%KxRexOK?DN~uK;6ShbL-v0w|?)y=UgOXK7b@u0YeCH? z`w#w@CL79@zd4UJP6`F8;a!C8u!FFji{{obvgdXmsByT<(3~(wEJ#n#<%lBP*d8or z&btMR(vDNQAbLm`m|hH7-iOD6Mpf*SyDKhW!%Q&8Cx=6iT{vUPwSRd5hJ8fG12ySu;``Ne3yBaf5zT@Xs){Hf@1<|48on%AMKkn-M`))>{P3xUeev{jc1KSt^X zYLZ@qHDep#44*RP7;$(t4j>chxQ(GIBcmgbo`+Z4wHQqZRv$Ed07WK$BDaF9cLPgf z@$4F4x!pbLD~lNj)qiw~!6ZDv$7T8ZHxtCfyu>k`@OSL~^ASM=<}E(PwC+!Uf;TL0 zDZ>3E{G2a#Woc^Df1YjImSX7ydCQ}}CFHFtKMPp6QBu3I#y(NNroy2PHeRdI0+m|Y z+-%iH-!hc6m4Y_jpiZqb6Ux4+I5bbpo@C6(H8^rzBPd6;v3~|t(Jsqaq;H0ir-pY| zMJ+YbL)-ju^GVb=be<}&HUB`HB{9D<{+PDaCcrW+`He`p%DGQyM~@<2{E518$E$z z2BG8u^KtnTrhi!opKibni(4UT-yf0&7?e_ki5}oy{${R)*W9BBxB8P-jXjx;{9SOB=ljcGCvDRwkUS=Zwq_@77Aftl_@|kA95CIRQNC{U=K3J z6_?IU$pB4$Nf-@$gk(IZ)_^Q4>QXO&;Uf`>t&Q`i*?)$6KKGL)XT&7~+@RYlh&wLi zXPFAqxFXd`A=i}r7^hWuNdE$FHv{pN<;*c9!btax{T%sidAYn#rQLrjzbQ85ocN~m zarIwf)dgQQ5On|Hnb&xogeRKFjShQ|CR`!#8Fl6GH|FFC)`M3F;PE3W+|mLqA!Qp? z%6~TWEfs+e0031}VIdh17s!J2#_Lz7iwgU*FDn~G@uI-;oUJ|WX!-q?_3`vIUPq7A z%!e{QxTQvg?BOp_(rVaK)Rm85F1`2WzhyIqX!H;GfXy$)@1yu(F%%-sWD9M4o+Jg5 z%Qht*Q8*vm=`&?I&b?~ICX`RHR`c{x&40Cahsj4MoHlRTe#sP%zX12nZnb*SdJD#e6m_C51EUlk-I$?%*AU4dgMTy z1zSwDtqW+7?cK~TQg!tIS}ZQjV3($e*RLtW%$v2#3+xn_y5)jaYw?eqpmEG4kALjx z;n6(?tvS;c&W7h6bWFw`xebC&ui~Vx3PR`lU^W}@-+G9`)?1x5m9c&|2-lzeo-Cr; zL}~YW8@?d!z_?;;@GLu_eayI7sB8_bXcN41=`f~qaoo&|yEb0J$i+^^G7S>fW4HG~ zAEjK%F2+E_V$O-|lS14?d=TFi$$zu!kur=WemX*E=s5%FZT0tgAhEs?Ew_v-Jt5^@ zo;qo`aegY%Qeih(_?%7iW#WDUw27h}Y;?9LuJH-C3HV6vV6W_y?@43JlqX$ zbWjR<#p6uBh8EU-zV#s*2xRt0c_qLVMc+96t{Dasp5LWJrqM$iU`e6gv95bDryW0 zcu*Z*K7YdwpvL=Ahx;|p>VIfM1ouj}hEBeS3;9DX`B%@O+hbc=C4vtn*j_>$H|X@D zf_cX(mMn|=8BQSa#hx+t;HXG&ui|W0iTRj&N2=%$R%cY7;Kx89cbvf9P9qPn;%Xs| z5L|>oD|reZ;E+$lYn)L4;D_kY(*PsxGU7-VPNM3B#Yxbte?T`dj+KSB4K+lml6(e}>*fl1$l zrhaK)n@6|UDhg%tNielD9n~#m$ZcDO>B85n&a48QwSVLgCIN=+r)qYXt|Pfrl?m?C zgav3}o-$87Zyg$2T8L6ATuk{YwH3V^=9mETN&!IhaL~N0$4npWJ zmgkfd*}5ymzftADQYmSHSQof}COuMH;BU=OD%q`27XESL&omuA;a3B8zAO{CIo>O1 z<$G2|hn;K7%70&ElSI;C^J&eI0hG)CcM$)_0ad8u@{#nhn(JGNp{d*3Dh@hBW^_;b zFWKmVr3hnBO}4~+aRNFGk`KypUG!X7L_PF?{V-y^WGy`w5%IjI6MADuo3XIxJ3w&{N#v zPVbd)>>}GBMX%nh5OKdq_}BWp@er}RV7#(*eSuwk=`n)>@vH@!Vx2I&V<4E`8HRb@A8)xUxKAP zJVo3)?`-c_nU-IjK*?iA-}CrSXh05yL;_rB;iQ_S0g=9*F{s;Bk#!>IdcM4S7M;>~W! zGZq3%FQkz;a0F4#dfok_b#vza1Z|4RbnaOEUPcOr)^BO?=!b&gZq#f(cy6bZzJKlt z=U~BlAS0fJ$5g+lanuiz>fCq-&#tlp>ab=&%=uV5^rGEr-BSPR!RYRS?zxVXbny42 zva-Ot5#_MiuN^ACeB-XF5$tiCbP0M7%IsOu>io9W_IeC0C12v6P*|jDoO>erUcIOL zufH8G_OzgEkE>tf-uR^arD5M(yMF`pYW`lEOB@Ka!Sv)N&xVt}F69`v)h&;VaPQfF z{EYnTi2(+VaU~Ya$$aGkO-}AkvKPKQtJ;?#IIrlAP+BH+yGq_vN@d(9p~Xv_04P$Y zEYj?m?}x+OMS>h$gEl4g{{LZH%i*!Tz9~PhWhT$P$+!TgbcTpeFcxvQZgVoW6Cg@U1ggrG|5?yq%a{=jb~tK!gNIVij^ z_hF+boy}?e%_UYXT!lAYl%K7nF0V)c8&1yTOPwz_M!gf?MQX0{{C|G$I>dE*VKt^C zJmIbD#MIdN?4mMw+j6KJ`{CDn7k(=A^&G4(C0M-Xace0^jS7}87J^28DCbBpD7xbF zbr5CW2X*q5cFB19ot2gZ^U4s&yND+7$Fg z?KWN{6AQ`Gz3byVhJQ$QE+jE#QD~WXH3m85SmM+bsIx&G0!w2FMzl7 z5i7z@LhNI{7uv8dG%}mk&5TK8N0~#fWq?UB6)qiCsyJ3hX9edqC9mpS_ zQ0xPZ0UXez;xmoZCUr>#eWesYbo{%UTJ_l2GmzlV$dMkiYU+^@WL?0mWX2U`O#MRE zaE4A4_-Mn{P+07g-6VrK5v8iXSy4!qK-G9{QG0)hP4n`%395VGl-fitet|{E%Z?Qn z+;kM!_}UUB@PA;YS9vAl!)K;a)qT<-nT;Js>gUcArd%HsyVwgI`FHzXVQguTm6%Si z=fdW*qSu>}?37J`Pk&>Fvbh7@)9`YN_gy88c?z^xEnK0k#3#`Xl%0D{vui_;Mymk} z|6)P9DE)X$TTE@F^0C1c>pb`QK-zk|jvEt=RW zp$Rs*I)BU`+w1cpp*!V_fDtz>^zdBr7t>75Z-riJpxR0YHR@$XstYhg{0@A_WtP#PboNWggva{BD5~!W01T=@%N|`t6Ju0>;Gt-+G=UUm5WY6~KMuh}- zB#f9fvmgXmqHEE3!@cl%(Ln5=R~rZGs=A+w^%0&vKi#}xG3EA_^q0aK0lm$xCIN`v zhr_Lc!gGZa*P%IIgcbLVHQ@siddLFDGwo?FeA8$5hEASU4MFQ zIXon9oR2Tj?gzX9Dj*;xJsob3GH~f7RR0SHlNq8#Y)1Z*XghVMR1Yvs>oDiS??Z>7JU0r2Ro;|aEa47Ej;7};;4#nNwt+-2Z zxN*0lrD$G z!OYdrmj=M~^DwkpscA31X6U6M8YvIx)$Gr)I_?6lkpXsP-oDr)zMB0~RQjWah1*TwlCC4ZfDoY2pMwp6>JYCJOZOsWF;rN}P0#OO@i{Rjc^v;ZO3_uv;!keQQE&sgz_rIVL7 z;LqPRVIXdYf;ZK3pUo?QPsG)f_NDavR_^v(MjnokDUu%av?R76q}A!&>O_(ugP=SP z-zo7ONOp@i4M2YRz4>PJIP_ODS|{b92V*-mgnX&+1J%W^Y&5!8#ys9#91$za-wsm&NE%9OB}Pt3nuZS>_Q|Dm;T4(0>-#wX+f$U zy$UM1g!evGe7uOo+IK!5nkh73YdiR1ElbIBopTRKV6 z9u-KEuLgD}m&%zN*tWGk99|&_R&?rXi3fiwb*c&UaW0^Zm!4ldryOFlUcVkUNL@`s zd`$yj9fR3aX#Fm>it2ldeR0Zb44l1>&gWrl+%p(F)>z|d8(9R)eo^+Ow4hN-N9uQP z84RE>a|l`^r`^Gs70Jq5FN4ByvLNZppetq@N5M7je36n3% z(D}%|tuEC|>*pbXLL%WqtspLb zhmbUnf*VOk-&(ddso%u#3sIMgS zO2#=tpGe&4(`~LLhf=C_rku`VlWloVTMAsdJZwjRIC0f%r!+}KelW}e%;SX;(f9&fEtKF1h!gR_jQVK`O zmg^lU4vfE6jSJQVT(kDp!mJHHNZ_5H!}SU`at;i9b$-zHjSlrcU%ZJR6v1PZIprVM|=c_ex5ikb?^a>b zQKS}XNQr};CY5-B8%evV29#2Js~E-~q-wO`V1CS)1vT`*l#srXaCv?PKQ$q}W|#i> z8@@Q+ZiRxLWvY8Bz>43a+0+0To4(Zn`?1{iNreYq%T~a~DEY{foojVZRI`SaL02mQ z$z;3`knQw&K5W31g`qv)Uy<>86gDg*kSF_NM$hGQ@lC$;jPuThQFj6w`?F*Op2g}+mWga0s#KzV(hb>J1Rg>NK#LRhg)tH(r^~3$g#=RND1vUr*eYS) zT7U7Q0PPKBBlzq3#&A(+Q$P@@q;4e?(lGN%O);M{24c)bbqn;$3A!q)NLS**tQ{iK z)zy^SgKCjX-MsZ2SBvtEQIxlCSSk!OZmY8t;`J9;PsSyR6E*h&WQNp*%wrOjL;Laq zpoiJ!OdYOgl?3@=DWVbY@M6 znw?pAJzBR0b;Cw80H%%<(!RG^B2{l5P!1VFg7I4VPHdJ=3NGJh9Aqzaxv+@Kw@K5{ zYoIP{81e93_SSubQFg;$LBWG#vND(lD3hj*UHucMxMH^6+v%NFTH&MF$6wIVku0x& zDrj<*X5G*>nUYfsoFgDIs*hh^*D*9|pNw$Wy7Fh|ALaf(Wu6P+zMM>_U=A>js8=Eq0 zj=UDS)YsD-*{h9zg(c3*@gDj;(82o4=Z@c)dh^q!9g7jI_GN4*H?~dqfvq^kP|UEM z#*gkk+!}1rqkzkl)7CE+xYzc67nmf6`C@Wz_#84_0hRtxnA)NXz79>{k?m2p*Y9;X zTIxNx$%hW zUteZuP74i^@~*#)4tRVtN8o?MiV%*>+qOu#8%*p5D;=ewkETY{Fm2)Pp6xvErKjwD zifJU|p`gO1@#fvfHg!7AI=<7kEoA*`wi%SqY{;}rRB_bY^$z0-V&@M!t68!FGEh>+ zqUpX;=FYB5X5b){8C@CzAz=IC7o!xMt>TLg3usbV#MUB@mx|3RGlQ{&&gp2D!ClYg zyV>X-k(6nA1Ih4><{SAglOKOh2~WYBbW&7-iBy&x?Nm71KaPa0eDIkjeYsaQaJpRG zCU)1z9tdtGdhCZVIqnO>E>8v=DZ-R8{-nA>)8pxzSQ9Sao7`Kb>~rrj?-#UviXv7s@ruWDKJCN zj!pHh*C;Z-;Rrgh6~LpRfe;M-POVO<4H+c=F>$J4)Er9b_fesqznkw?^^vsJ0hbj6 zsqGQUUdJOXU}CW!);jAgGDwltRjhW!w^?3!ZS<-4Ue01W6muB)8Vxn1H}}&nQA@xL z%c+_X(u&(99S*(p*Mm6S`pEZ2Yi*L`=bM?rnbW4r;UuRj#lW_BqvxDTgP+y>cHU@s zo)r&}dVsXEyPB&fvbHcxGC|d-8-s9Y!-ln-mXd0Ra^Bcwl}A!o4Z;y(keIp#O}j)` zdZ=QZksmv^AJdv!7DG}=rP5a9cj?|VMycD#WfHu2)&-8VCgN8}$wXwI|FBul%5M9B z@*;LHSdT7=0Tju@7Hz-rj)eQtxB2Mevb{h36#CG(Lz5tdGuGDA6p^*vQ4Gs%scaoI zg^&Hb_UZdrR@}WTq=~y2gGw%!)hK(W8#xJ8+B?JshP9JX%TJuE6jQzPlFd~|inoEV z=azX{q9lR-uw&z0xh~|-^puv}j9Q{+$sS22^tL547H|)p9`<304?*b{x*pD(E;YpD zThcJ+KN3c`Bm`)_qs{l)EzS>>)uvhg6X|Zs{aoOhpc;s$mWzG^?^-*XL;5rtgAI}x z1&zXYxwby1ulwDAdKk}Q%K%fLTOMR`25z@D|48Slmgxf>cH=JbAl-5hdf9F0@enPM zHk)sf06^KDyIa>U-Y#!OXV2y?8dg+iz@r(2WYV20mhaEAmva@s)xd`Ck%t!9lt7xW zX>ERhA;jEIe3BsaJsRhzC_h;%6tU=haioO+>|k3FC%N2jdAT-8*7Bv!9)dHR;@r9$W+}Ff(g=87mjv=ac)VW zqFw)n8G0dC_}LVhqZ?EA_XW7eAIy7-@u~YP*LhSmWfH<5i`bt`V|MT z8>Ah2qJ*xA^xagoEnCCclB@;x8;-9jiiVwlT;c~x`Xju}I!@-8sLdPyps(Z=2>S`LU8pSjSUmfc&?~B1+eWGO!$9~IgO0W6`Zle~#qkkdJg$cu~Hn>*JvIMMn z=V?nNDsSX~%gk?hy)&}tlt7)&@g?UDlN>~_sB9r!fR!foUX?0a{7Ym+Qfz$V60r^f z7aRw-zmkbz!*JCBG{yE?s3vX6QJ~Po>{h4I%7`I+nDDnK1IE^TjkCVNPpI0Tfx-=E zHPO-guFCZ+(UCQ1h7883}5Fb|9U&fI_|G#c15I^y@d!(yGEiVsDi^vpd|m<-JI$^2kn z|Gc02hR6f|GApqy86q;!jbv75#Rsz=Kn>Y=w&SrypfFpA5k#T2pHNGTD23POtmVBp z6oj4}mDfN@_{|Pa2^{g~Vx&i(Wr~ys;*)bO@EEU2)bEfS83c!(f7>hWjburFBSUxr z*PX(SF5SscN!**ro1(?QVgp=aVOB^cyw=L!5*-RZ*g~&D!uQ3Cq>rvGZG`xwdYTn^ zLO4;IrSBYb_6vHuT}FMfQ9lc`C(*}RSXu>loroj-jGqsX(~NcOTq)|x2Zx*nW8zJq2RNuihGAkXO~+ z5UqkG=xI}?2A)p1xwxa&>zC&b$vRR;qGWzAk0>Nf{T$I*yMv*)nMic;aLkCY?Xj}{ z$YA~w;`Gy9*qq?4d1p!Goz?1Epz$B`cH$;Isw1v58A$!T{L^@m!`s2!hpj3@CAAlo zg|%524F7)bGiX1r$4Ox3cbQOHcUig0*u@xLoigFO)Ko>@S6k4A zP9A|W@Rzd*%Tdix^K?ZmnwhDEDmB@Pq1>gS6i~*md&*b@r1F8U&jqZz2Zo#W!_!sJ z@*@O#Svt&0Cya$D6Th*`!{2C~XXFSot>wDT!3~V^IE|cKsh{oT3k*x;slqf#mq0RL zL_XC5bwcnbrdKn~|`GghA_bF?j1(Od46kxLrcbcEV>pi*b#LI@2B2{6CW#CNPn zUNNTAxDcTYb#}{xA%MB;S0+t$jma22Z@eROC63*l;>z2$`{_ef(ol^VB9f+|)<&|i zTCwvzUNl$owtvs)@;Xo2H8)-t4gE=J#1>$s`rdmS&H_JyVoHj zPO~2b>Qqs^)M`{scGLKUnXdNSP?0$uMJP6Ll8oMSX3obVbR@_xMreO(LnW<#++iau z1cBE&_znR-ZY+gBr8qXnW}k8Qfw>hE0!OXf5x3M4?8gI!nDugP|9te9fI!29uXmo1 zp=HM`;I(wtJvgNkJS)Ri*qBPFvrm3Xwe;=JvqHsMtoXfty$Gd4POi#X_Z;hX?eMYi zlEv))RGSn)fccsU8uWW`V%?NAXBh3>Vm}TaY8T*1wqIqVS7zcwS-h0DlnlWkE?K+h zAp+*Ev-}wL521-Jg~EYBj3pz_PE3 z3GcjXb)m?OCQlsOE3rM^q-k?@;FkiXN|PAEMlBn##7!wSfVPQ*Rzaf((M73PfzUc{BC{;k_j68`1_CE^N=$BSzznoMh|V?4-Mn5p=~9g~caK z;*TM<1ofYnyV)X=Z9*1OdycvNk2aOQsLJE>POk`PMKi@WY>wt{bCsCLDJi9%CF}O7P2aYo)T5a(uMsP? zc=1?qWy*JiYje0)ePr*!C0z8ak)G6=sfW69^<*iRVnf4k3mA7vMUw?Tjh!^9MtpN) zES&|t{Y8pYuYO0Grxknfyy;zOZVG^ee`~Aa?H`S;x~30A$%2)?YECuN=x42JX6&c# zAMYVI{!nBgyq7MJVd6A@n@d+%Z}b#ajkyS;cEWx)eJg$9!HiYcknqT?PP~^0MlT!6 zccd9CwuPnMw)3Imrp+D|qbAuqu9v!ZBc_7WL{~hT8~nl%DEcuVchEm^(hR_@PQUpT zfkPAaomu8>@D^SGH|GOy((|T#|WP_)6e^ap;j0|bgn90G=$>`tG%!R zgY$?pC1;v?0iCrW16Swlx_iTLER(@P%>G=7DoQ2-@8<-U1~4)mY_h`S)h_c;?R(VP-7OCK8ww01T9pp1>`wxwh zH+djb2FeWBL1p|L?nQS`c4BZ*f0L`{K5Ck61U?T?Z|c4z{)SL6&n13EUUg>GFP{GC zotl9RLI*%-D0aezTw-9{?_p^aIXHi?wb(H@9zC$Us!1QGt@LYy0p}Y3y_5t(cK_Eu zJ!1bsf|aawnvM7mhZZz+>`d~*DJ$UMw91Mxy!l%_E0I>U%sX^8$rGj6K}~uQqqoo| zTEP|0Gk)@vI!w#&_je_RBbcJm80zPjb4bS(J^I_c8m(9c3i-QUr%*;2SvV)j3lpvi z^g&yypJuwp`|Aj>Pcb>z_okp8x&lA{VlX>Ce5|NF2#)Pt3fF<-iTz<3V()AIlN#4U zV{s#GTi_~}mLuYsz?kp4`kk6;ns~{)0D1@-HEy4#B{!T%Y&-s^&4}CrScRGHhsYFb z15}Yd6n76%0=TZDhL`9k<`gCyR%Ct`gb#y!`^ZNhv-z05lDCKjON2{My!Umy`Wev; zv^%lmNQ!zn`W2p;e<{dE&cOndR-{znrbH;zHEk3ezm1krx`lr!w+a6V{^X&4sD*?! zKcY@-#N743Rp$CGC#QN!(fgLG=&PK(Z0lI!5}ms7CN~|bpmuKPGUvk2F#nzt&L(f~ z<~JKr>3lAV(9`@jMSq7-$YsRsE|Nc7^^|@%xI;<25Y^l;Q^h zk^Sr9@7NqPqm>MG4Q8=6b+%BEhk^!ya9%eMLH`8y4~1O7>uUJ5|0f74>6-bk-GBJx z>R&-BueSd)_RfI60oY#u8^C`s$o+Nw@6a4n7WAq}%J|>TFX-fb^UOV0Y0{%S} z@aZdnI63eXJsI@|<^P`~#SI>G*S~w@XGqD0Hz@y{TC)8OF*FY3FQo7W`>&||RaAQM zSA_iQP4eRn2B+jD2*eDM^0Cu&^R)A_a8XrruohQyRI`=gWpy@_lC +t:1.0","abstraction_type":"xilinx.com:signal:reset_rtl:1.0","mode":"slave","parameters":{"INSERT_VIP":[{"value":"0","value_src":"default","value_permission":"user","resolve_type":"user","format":"long","usage":"simulation.rtl","is_ips_inferred":false,"is_static_object":false}],"POLARITY":[{"value":"ACTIVE_LOW","value_src":"constant_prop","value_permission":"bd_and_user","resolve_type":"generated","format":"string","usage":"none","is_ips_inferred":false,"is_static_object":false}]},"port_maps":{"RST":[{"physical_name":"reset_n","physical_left":"0","physical_right":"0","logical_left":"0","logical_right":"0","port_maps_used":"none"}]}}}}}"/> diff --git a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0.xml b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0.xml index 864b7b03..8920855e 100644 --- a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0.xml +++ b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0.xml @@ -129,7 +129,7 @@ GENtimestamp - Tue Dec 21 12:16:50 UTC 2021 + Tue Dec 28 14:37:50 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.v index bb203e2d..1b4e79e2 100644 --- a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:50 2021 +// Date : Tue Dec 28 14:37:49 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.vhdl index 5ca4207e..24e70aa3 100644 --- a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:50 2021 +-- Date : Tue Dec 28 14:37:49 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.v index 21ebff71..009e86fc 100644 --- a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:50 2021 +// Date : Tue Dec 28 14:37:49 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.vhdl index ed8a4746..17ed0038 100644 --- a/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:50 2021 +-- Date : Tue Dec 28 14:37:49 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_i2s_transceiver_0_0/audio_i2s_transceiver_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0.dcp b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0.dcp index edf48b226f679ac4bfa183780165f5fa9c991018..51c87200d9ddbdc8e4fd769d0ceb06d93e34b726 100644 GIT binary patch delta 15470 zcmZ9z19W9g(>5I2&cwE@iEZ1qjgw4l+twr#V`AI3Z9DmM-_QH5_kX@#tJm7+s;jE& zoU?cD?yBmt5a9F>U{nQZP%tzgARs6pTGuRPU3VYU^>|cb04kCtvO+wV6t#o`6djKy zIr}!-GNq%&^CRqh!-ipm`%TZ(-RCACAIPj)lrmm{>^ zPu4Xc=Rr|+#yln)pEDjBz+t`toBJR#)O7$tlv|s%c2$$3`wT_p3Y@O2lx`4s)ZS`^m1f)$lg7 zZdZm{KYh6cJYVKkckPrFeGHkCL|-T9LPM(6gqI1`@z_x-=Z?H7Gj)2imbrJ+lzeGh z16LJ`9txGxybV+=sHBeD@fV?zSnPpE;;bT3Fah@fz)d?^L9~LqS13eV-9d6po2nT` zIlf&K98i#DrlEA(%=>^rm7*PwH{T(}RlW*z^Wu>{&3S9SgQ_)1GgL-{bx^mQR%%uM ztN%QsY%PGjf%~bM&W}jGV+CONM7luohY#}w*r|^Y|IeNm?;fRRZjNSx2*c3w9Yv!_ zVnP+ZwH+58-QYvJPi(A8YzxAvBiWMiO90NoT!ne=$qli+O6(ZaVj&+xAJ7S*VlXS< zK&bvqUEa8_tC8cKC9L$J{eAr4Gq?Y9<4B*|hV>KZzyD>N(7sJKL?ED=JRl%EphQIh z+(b?gWPnk!w=78K;$Ky`JTu4uV3(^6ZI*H_ zHAlVdlie?hqmKzha+WtwS6*G6&9(ALVIH6KD?r|<_I61A9&-3}Hdo(t4j+jyi%SvC zFsGmw-h!*iyk+`Sz!%aoi%P4j{zbUH;6gDzI`Qp5+4;=d;HS-@2T{fQ{jQvF(4VUq zJ=}SmPzip~3`aG7t~t3GS8I{V)hZLojgG<%0{3SJp=xz=Ig2j=OtaD|qThboUWjG4 zUH~^n#KF73)-RN(?3bR6egBNhZeKG- z>WQ6UXauF=+Jxy%goCpd_W->1!l7_$KFG^)_ziW)X5iOluOharo5Cack5G4&2R$=) zxoM|MT|`(zXC)TnNUk}m)AcA9H>^&69>8(khArYaISn{R+*v!(6m;n&ugTX;+usXC z$jbH>*sUY4eAM+dV@Nvrox?$kvd{`t4u`>p&CQ820Eb#k>t1#MY^`N`)0<03e#j zXWQd(TyO%vg4KJ=+I;m-GM~!Um@-!zn2rF`OG)~i!kBkwx~_A77elf1m`HL;dR4Rn zu&@d?OgQL7C|_{x;Gps&+L-r!mN!sCBnEc5weVUVhou|Dd*-bUf{`3kOy}(Ujx({f-^5b1_cMg2gL? zVF({_X1q4&*9vs6`+eiwhn4HN-5N143e8gwv_qbUhYv1`i%Y}#20y?i)u|GzA@l<7 zNXL%Ma%t1zFDFz9pz|zrT=YyF`zN<{bg72S+vBl(c3bL;{pEgakr!ZB2vGT%8TwEe zM?K7s0uXdj-Q)siL*vE zW$2?;!mEChir7(?)>IuIMdyMvh`|kI42u`kSp;>5} z7M}m#&c06+!9wK`_Nt#K(V2XzeN6Ok&s`V(jZa^_ETK0)ORFN8^D39P%1Gr6Rw`9- zwP_hF={iwnJzM4lQQ6s8;|1}%v#Lf6;&*LTO(Wd$YE$nbi^16%jCUHacS}``IChOV z@AB6kppctvc@J*c82{Ydb_H&cPIMy8y~%ZTB+a$Sb#^4pxyf~OB+aqOwRa@VzGZHL z;#+RpJGpQ|^a%&$kDqNjOjzqmZ48VCOMr2o|u^Cc z1&G4QsMKGBpDMWYrr)=AZ<)wd^xhei>yliPacOPe3XwZnhiZ9gKQh3VS0C#vOw21$62%OG6_6&~NjITCrmvmxE_+3K#=J+r;La=c%RStO!d56ny~`5`O( zF))`?&DlQmufS9as2KemLe3!1U)z+2(7!;_zX7S7yrQh%vZk`s){2^ezdGYdDAo}2 z0Q@z5kh)EOUxfh^OkJMhv}Rh7E0tEfmiHdR$s zysk3{(jT6v0HJKrbg)$(o&tPdK5J5D(C(Y7KB!;UE1>BPPgNY-CvBT^#rpQY+stZW zhEmQ75#>H$mqC1-Md8W2*qGaDxIoTQ@Nb+FY(nHdQ8ZsmTcdqQ}Ls!O1_?vI=q0 za>-_eDXBAu_NzA(g4#5=46C~yl z1lw$~8)<~GvP@sgPE#~|wr-SKDk-H~Nf97KlsGPLT-``QRTTH~GS>L`Gueem{jSkX zGnkj?>%_wH1NZr!)GeFzFCl^LXiMGlJ=7qHzFI;hJ}*nrCi7%-6e-rm8fB(U?LhpG zA6dr3rPBNusRPEjl4C6m>+=%uh?8kf*GeQx7_sLhz}vMSr1RI?(~*IxPRVs1CRYF` zpx6td{1C`LBwQZlTr%G*%x{)2$v3MA3zFfXOywIw{)Re;zacdKZ-`R+8-o4E7Ln66 zt3)3deoYxhd`Nv5aY=AJ3hrD<&gn=7MicE+4Y7lgNwI&=wG(E*sHDNJ>nlX8pt1oOAkc%6+C;1Lhd5`OaZHuF`WwtH5S^GSpcgCj)6=*t?Qm~rh(;(`gL$m1nNM&dk?P2z80 zsp)>fh2~4{D{~p4m@^yc>1diD1#(9s_4QaLaNgh%?e>$tn)J6@)Gtdwtj9tz;ntCd zqi#Xa$jKNfiH%1JM#7s2m?Il;#>t`(j3CcZ;KKpZ-+~Ii*$o(mAq0t}>87An8bzvv z^C(zj{)@!p*Y$~{^(O72xzV&~up&Ajh1g+PB56C2)RJZ+HIY9VH5oCX+Q9}{@*1I7 z-jm2iXt8}~N-1FvL2gpJJ^o{~gEXD2kKxtAv`2>!2o-DUU$b{O!9ZmTHIQ+QYG*MORwGpH5C1IXZ3qI6CX+Y=Pdw1@X_daA=V?J}hI!&|1Y7`ShXt>1 z-SN!NMek+&sNdT4u}+VRF~DgXk$OdMi0-Fe{GCxBE7+GAIedIL@*g*I>7Sy77`^-s z<#pLswf5^7QC*DAT}zg5<1-xd7BS=6HmY~GR`7=~S*@r_&U8OKIe(4O+0-lux}NW4 zb~xZCx8HV!;fiGDmg>kfKyw3*17?ppAi3wA5OV^8)%Tk9Bfo8Vy}=#NU$(hAt*-6i1Xk#)Ym>h4Oe?iow7>CAgo!cvlzc@a+u{r5x zg0cye=NpAIPk^179_zc9yHgpO1_E^ogc02CNJlM1z(h{1n0W$C-kID~ZxstE`NgKr z7ur-t4ZU$TeN{ZOFkt#Eb%EI!q}d$u&Yi)B_xTF3VphDzJhKQ^Jv`y2w#r(IGlzdZ z>U9fKKe4U3zWI*-zqjOyLu`f0KtPFo$U)Hm1Y)XARtn7%6;NmaIHG8SipA7(MP^>Il{b_9_eW2Gr!kV|wf6vi} z<8cUn9weI|@@%~vu9t|RIiBV_a5qYAz)lUyB*BtvsqRo7)iT}Hb@_(l$A{fc$NOxf zhB70@DC}~V7@H9Q3Sx11J#>w0usGZB9rd63pc7ZR_(k0hG6mqz){W_QS;7G#G%4ZM z3wV3rPacd+Id@F?`G%QIsx|O1Dp5CaULk1J$l&V~)lktJa>XYuh+1#ae5IP@ZmZzS zflt6=y~&vd4_4w-dBQ+-H=Aq$6&HJI_J8(RSCh41&VjlC5iMTAHF^&qDkrN7-cwmt zZJsJ8MU7SWIn?t_?<+&!Lq&Y1?|7&kRPzYG?RYIV3x! z0@k2cdeJPIYEPwVS~LQ6RiYC0SY)*i^!N_woVL3|>Lj|mV5M^|ghl7UL~OZ}03qEs zwf0*3a*ZWGr>*W*^PU*Pfi~!IlEa5poTiJJY1HKIg{USkEW8jE9d~tpazS`k*H4Aj zjuwHH15t1*jL`$QnA(U%;@?TD32(DVGRRB@Qgd}pdK%hV_i0Om#J@G$=~F?YX0&K- zx6lugmADX=cYFPH#~Uk0n*7hn{k+(gY3u4?5&WV6%ov*)kQBN-;xNl)U|fbrys;aL zF6`aS#O%3O{ewkBeG)A)Kh_C?K_=M{|MHPX!B)YK3w<;;V=Qd7+7-c~eIl6Jv~wX^ z=0J*vzO4qRZwo-@MloLQ))i{yWJ0ujgci#1QAZ}L$N1~+C5D%Vur0D>Jb6+M z=+DiE! zW*z_ZgRLUtR{YouGBnE`3+zT8HxD4jpG@~^{qCYHZAZ-)~C91J5nzfhUqtI`v6g*ZE3(Weq1=R38Aw@K2@ zsZ`^lT_|mi?!->x^|0=dkn8x2(WYe>xT0mF`rJ>7cbKyg#BE#SPupK}YZdD-s^2j6 z5tw{w2tLhi^88d*kR$zs@!fGg8LIn!TQuN2tdT%ih3p0?vjq_`*bf8}v|nWcOq3`D zv8X<_NL&a)diH?m1Er4zqVNZl6={tzHr&HasuUJTrmTY-MR0x3g&SZFdH>L~gT0{! zk@@60u$?oGsF2_~sQ>Ya!5<~EfuACD*nNlqnf;Jp>VVUN<3gSA;%RD)v3q&}Vka*X z$R9`&v%Q5bguXF>zieFOo3#!FL`84AJm$iA5o+)*X2Xi)6?Vq!>~=99H=QX%Ifs0W zk8dW8eWAdJi*?HPXX@lQmG`CAF}bHZi-`b1r;UBl_7Oe-65D~OI3}9gI}B6DeGdAm z-69}d#ShVsz{!Hs1l;g80>B&vY+6uX82)=wo;aP?gLsDl0!qh86eJ-6SQt8(CTmzb zXsaVHCewL7w9GEV6AVdaQQ8klYDuf%mfG{tA@k`-%AGeI?v{`)ycWt}!}!XEQi1j*0@BaDcD|}0J)ilXgC>!=&8})5R zSsTu=uFWSm?`N)#eZ8lE)zgo!i&b73Wlx z@(~m6cuj5TI1i@UPA5mxkDO$l>K|u!9=vZiT}KxDeq?iw!F)NW@(4`BylvTnBiDV& zfKE5E2GC9SpCMs~ELBn2_DS;SR&Gs<*1yETexz6U9dcwj1kaH*;Uv|?Ec+_OB zBLq6RhE6H(!xdU{8pj@d@)I!v^Hp2Z-dRy-icMrqlD`mv5;oq({mkx zkQZ7ez*pT~u|o-09W{pJ1SCW_T{2-v4$@Rl>ko9`WDL30`umAyAB#U=!y+Uo&>^jr z|Ncfr5@w-blZ7q<4A&Z?2evS>4UDs6q4l-Ou%wP)-g>pNs|aVR$erGY^)Zrjr}iMP zycl(Itx?kj8HxZo*3+RC0r{-DlG0+K8&*+m@cs$2CH~E%*>Au@A3TZAmvTmajs)Cj zN;+Tw_rMKqM7tdRlP64k4ZDa#GIj$N_KHO2p5ado+&8p|2^27P=IutJYO^lS0A67L=L0^l8h!(y_S)f&YxR#1|d-F0>nTRL5SfmyHGkLyD$ysRwXOctPQb-EoxAQnaShj zze{XT=p_HH7oOO6(0)2eNXl!w$5Bcuou2r*OfDctNeKMq-v^sx?ah7}*8 zJ%e}9V5vtavj(MRS9VcE3b3a}`bE`nQ8I%(~Yd&Fw9&#I(sj=VBSDsX?* zkiH*>DZP6fX;&(YL3U$wj`~z;z;#nVEmUC80wNiS+E%QRoYTfHh?B-xe>Bt_XaP+^ zZ3%V6Yr}Qp^{Qv-YAbo{7K_9|fm z%0=;gHiGLb1t-kR(vx8Ct7#(IuGlz%qMFZg4`PLJ=jw%n!IVgoiVtk#0CTCigc|J; z1&Avk&~x?5ut4P^`=L}Awus*y^T0hNFlLhvJ=sDXKi82IIXs(NKD+$=@oOp^IE01V zC+8A;WF8KIV+Yn2I(C#HA&I+$+_v|_7W(N1II!PXl8p$p)5PF6cP#797;StNxIU9e zSY~y`2-~&ky{hm6hIHUHTYI$wnIjc39H3_je&+}R=^DOpBY?~`$tDmIp4Zv6i?t>i z)~zyVY9%2k6pptw>q)#gho^kA|KXg`XAX%8p+0;QdW*TAG9#7gc2N=7V;SiKp48|X zN-v|1(^MN%8mZG3N*`nExRI0BDomoJRbz||rrswKrrVg)g1JAIndUJE6*MTCG6y%=!GFJH|sp+oCfU`KU z_!jaIVVFF>1b)VTX4uDVmE9!v8_@M*aW3QmN7(EAdVB9rWi2WY{ul`#bSx+wqzB&g zQ1=;7uJO5Zly6LJtS#J7K8Q&n&OZ zg)@Kt|JZ&1d|1`sQSrBV#S4XrlkH-~6?;2ze+N(+;6lq?SABiGrul-s3PFHSf;{MD>B{uW-CwKjbVUocVtryCPa z2W^^{0d(h(lfT}2C!9iCG%wLP#&q}0S^?{{?g^O(IZpNKx^^jV-{yx}H81(Tg)PCw z|DfsG8mEdJV;iStt@azV?lqYQdY2{({0ico1fQQ6*-wy=CZJqp^aqHB$N;7ugeJudpC|`;+ng+ z@oNgF91n-X7qmCXtvZ^WE{9nio!_w`RB4ZkL zg8;kLeUwer!8Xnuz233089U)QX^-rcEz{#0vi2?9lL8sKonVSq4$ea*xmEL&r6q>7 z%Eu&I`5jTp89MgA90xh{m*y$g)h;b-3UK(zklx_(<=ZKM^^J+XZ@cvfuF!rq&O7&H9pT>gb*Ps4=a?vp3@uafPd_-Dxi zQZLJN&IAA>mhh)V9PbI%=+qU|j;!x^j_+-W9=kGi`Umsc7di!J3M73Ik5gCTeqzE=5bMF-ys?5H+<$uUA!?U5wGMeJ1T)07pr^U&O2e33L z$Z)SGrG*uyB7ymh3v13a*49P0`4OME651a~l;;eG&fsH&jOsP7NM!`7v;?5O`i%A?ZBGpMcl)pTAQ^z| z+C~#%C^mt6)Yl0%FfoIHo^aL>{dbWAqOz$2R6-sI5Ree*|5iBw+)d0jG-vGA7~y=j zmNXJXM_)4soBTT)x*^Wr+{L{m6^oXQuv{%jfoaouZ%N||lD6)q^#5Ghv%MqD(6ap0 z9JGoJB*!)xmGzEBF%i=)+VM=ttTw*IJ31AWe z4LWEc9Uh`S2t(i+XCaSmK|JUVN*KP0!dv(SxsJsd0_krTJ}|o+kR2{Ih}v%N9grFc z#2Hz~KJ0x4ldMj=P~|Uuh|7Bw8Jn8OaFZyuqj{d(9)ZbTig?hZjz27sSP|)ALP31N z5LqmR+8VZ#nhLH?Q^a|J^iET56M%ky?{lVjg?08DllZ;NC1Mzh!y}LfJ;slMrh8pt`Sjp_G zf|O=#{t*L6ZCjPSlQ}jP)NFZlK^u+i3qKAkPU41GA6o0XXU%r;B#ovxRKUCt+Aj73 zEemLJanqU4T^zB`g|Dk_B|ECNJ^XlocU0B7e&NPr7NPrBBE_ZkJCX|5zLy{Q|>f zef1aC)KwLEKRX2fJMe!$Gq)K7VbLf+Kw;k{++7hF3}yfAou9 zl%Hh^BJRC#>rQB20x}Xxu#JpI_A)lr`05$EOPMy_S}T4Rp-s|%*>vC>d1ym*xpMK6 zn(fvlh_6(Sd%^VWnboFicF#+0>(_K_BqiFeF$UmS)EbLH)QhSy&&6h-oj&xj{-XAN z%z zv3fM+e*q04SpovxIvRzeCQ&K(OF=r0*A$CS3})qUV=D%P^mdpEE|-{h64s-MF4tjC z1u6z;7b9aN=+7^~YG(EMO7BKDRjRh#A87#^f`DAppikSczf%*QWI~HUn}(9RTou2u z)s@Uod2FqYL={FEGRAW6D$a%#fB8+cHy=iUq0+|a4f6j9kU9YE(p3D++`rQhR<1bj zWI;tA6iQNbnE0c|Ly>Npq6|o$P?g*wIST7f8n6zp<}mQw``q9S{5+WH8=v#X&3Ta1hLixmPG6Zi=EVTz1N{(V zjd;Qgw7Wd_*m!0K1P5tces7AtP6@H<7 z8$h?0^MpOt$+xOAN=O<5{mXe&cjI{JqCO?x@$r&vim;R1;bSePS z;12r=)_=IGf#!P`j&=@DvM60=T-+y6>($K^9U(+A>%<8Z5t)+_yrU({;qIe6c!C6a zhY7>RYKG~VjycZGcej=K<^F6#jd%rrOE)-qfNtI__K#sG<+j$91Wa!Zjn$hUm2kr&qUC6bBnv z2$c^S_x~{M@uc;dF(OLW?FJZ4FP?LT`kK66k?Yz3Nl%>3ARGCzOV}>4W2b&XtR_$w z5e%N)+Fe9tO&j|CNNbx#U}Gk(zp?sSwEB3|Y0L985#^IuMB>gO>RLAA%38I+{IFt8 z)O1k71N(^+HjdGL$7=7Ju%BnDnxxv*^hn^HpfvRk(M{dHq5GPaPz3lIY@Dn<;u|fM zk5q7+MK2p7}c6e%uC&k*@w+vs!=BpWHIl=U(xeE->je#!S`zg)t@W9UK` zNR#MUqZV|9&8#^_@Q2(>BE=tfUZ3NUMjX_+k3$DETqv*mDHwIwFCtk5`{}%qpEP~_~ zcpblLEuT2Ha;Y4sPgtJy+Ezukujj));Q^A_-^j?(XfJ&Qi!nFHhdGwthL^-nc8coH zNmZrB=IMfAq*VmWA za8~jc0kmX@9s^*99)@Wsk0UCU_2R|{#q-blemK`yONaPf{Cug4XZ2oLu7#S4?$z{S zxkwWQ~gl68-_pADe2DT@XXgG&hFB)xOTy@^t`L%*6zuWJAbeSyisnW;dR;GIw%0p%P` zb%ATXYD?{C_9BV98jI%7{`!;=GYfeOu*ubF_6A@{Fg{_&z^y;+LdA+mvs)&-JXnK<#m`rUCLV&u)7h=jka`5 zEtQ|C_$>fhj6g4(@IzUtnvvzVvSqAK`>MaS|NQDNet6g)J@XI1z!SeyJEeSV32$fMvTTVYcg%D$KLU~8XjS{PG!VuBtD^c8hF zT(bt5QEf{CW-2n1$b_VS&4}hL3hs(+zfMs0M9l}l_76fI(@uN%;T2om{v~FD=j!RX zK0Z$2&de-t&4FR(vPf9N%be^~VQgv(Heu@Pbdy^=(xG7jzXaw`wL}aBV!3xl!1= zVxb!#FWkI=6jLDenTK?N)VuDzc#*U2;$_HAy7UDH_z8paAkLAuVN{N@QN)R`8f6M= z+%?nLsg4cKo`^LLcauUurT81TcdJBXOK! zqc%I4Nw78c8DSt?<#)2Ju3k0OqAz;<7FRkzPdhx}PcB}|fFKG-wIi{8Kfdh`5S&^a z{~fp%++Y&8JuAqeLgK48ZnjO@INRjc=_~)pINu^o!?=l4HOt{q2DW<=aGBsM>?wEZ zDsUDiALxZ+>Gg!T5$&{$A2RTSehxrau=yf|xIDWnHT6?L$;A%yp6M6dpDpI!F^&^J zr_jrB^AFB1yyQOXkyJs#NvI~FQPseoRcb{8X4{>6Q8~<0)A#%+tp|oEk6H0)T#As2 zwAlunp-sb2s?GM+4~dd2%9S#@Jh83uEVO9noV`cN;(ZD&rgH~NayPx*hGibtnvb;xDiSV=?*IavO$A` z$!hueMPvsGe?Cba)}>&AhtN$#L|SMN=NS3@-Qh{d8=`*-^*M!;m!2#=($_U$Rik_Eo$pWwSuK!s=9y4kGUN%3fE!>_+aLmx6YyNZ&`Z=EHAzjx_EgYI^XZn779NTn%qog zisenPzFG}d`J?(`ij8*IE$kjV_CeuT8^>gu@SHuvtfgP%IvXUZb{S#u4!>qImK#`wtJ4Xx) zt4pk&j1D}{mF7@DAu>h(8~Bo>Lg}FD?-(fYNxX@8FEo_-s^!7#?93~suXF^ewa=Js z?e)vm?}9=Nt+L2J2qdqhrEx_pt$X_D1mWAfnnAc;zBAyJ{dNKl+g zqGf^9X|)e*+;zxzW9@wbKtzg@yE${@My*2KN`#M*A_&jOnL|e7o9yk>m*~QVlboLA zTmx9P#jMo=`HZ6oxem@p|4Z=~5Hd!tt${aU!iX&$ijVx2+=(Dp6wS;ieIj5reAp+jDI5)%fO?XoMU-_B3OPB{WK!eiDFE`#~s!n_d zsFZArW3W=~e0OuXH9w=**;ty`obII50YrgZ@QRV0#7Ogri4yVxRcsk>LD0whk%@Hb z7bFoVMHq!ADH1))c7mt)kr*M`9s?RqNejd@HI%MqryIas5bM{dk_(Leq1rH9OEeX`Fr>mT7u?AY_izL;rZ^D#;vE z?dj)2-dy!gP*?c~Lv?@}j;2}ea4^P@O1KD?>BbgkAg?f0zdNBmX3I>m1o*&`8;`EZ zE@=97_R1_fQnf~`MT^tZDEpMtS_sa!$$XYtQ9)@7y78g~SSeo-Yj4`;Zv?NzP0|=8 zrwQ6(R{BYaeOS8GBGZ^vlyWxv76EsrPZ&Iuj2I3-WLG#rMY1Y}{`+jOhYAs#N!4KR z!Q8NQ`R`Wp6-7uer7eadtnv&cO_e5!1^&(wG7twMOlK~wt5GYu*kN;my@P5enEy>e z1clJ$)eWjQ(N)$&DZSHUZ+Qy!rt$ojgAqtkF3 zXY3yoJ73QUz%*?Ke6D3+nUP_`!!T>!_+6>T)_6!Pz*!qUSiZ&s=Y2?r!>=e_c5DsC zW3X1Th~RC+*@MLGxw|#@uJzfd5Y-$Gti_&$7mu9S2Q|G#3Qoo{7^DgTb#HRkv7FEvcRuJX@OX*`tXZMi!b{1=1!$e)|*oB^kKsq z3R*61D!?Ht!EX+~#t4oXt3qDfsums;@ny&K0Y_TCqTs$1!0F?rVPy|iIUqaGSkfPM z-ezMJ)t8ZN$KkIem*9x`c`8H$$BW##-ua486t1)J7Le;=A=I`NIpH+O@Rm#*kPXJK zS|QU6X*<%n6rMIByz=%BUloKLN)?Yz8U-X0b?psgdXffft=kUL?d_r|{9qPOXwEGn zfQp}HokX^5{vOX@uPkr|h|(HFlG?lv`{gd!Wdo#zoTh`GdyuMH%g0v>zkj{g*`Kaw zZT!GqildKIOi1hL53zytLt)3vn^?N8Ypyo2cXF_NQ?T}&yZyMT(jmSG>=1i3Qhi{& zceue|GcS~%jRJ1+7(WS|PV+T$%lL6-1km+^B`1)4rB@gV=;90(NZZ`9)Ax<@MP%B< zxKY+;hzj1=tJaYav=uV#FqvS$LxWj$%oLJUYT|(f0ZO*_j4n(h8zfDb42?Lp#>SGu z#diRS`gkB;*(O-Najp^|pb2@BsrWq?j@sQ)9*8%vXbsEgA-_@Y#kB5pV7*k{1Lzm= zeh7Idlb-(@zsHOM$!q@?^4O$HhBxSrgQ#2mh?%m%ODO%xHHy7rNYw`zNfP5w`PUmq+B{}d-Gd(|~RAhVP`8m&5 z>ZVS(Wb$r&y=y|big8L1>1=_OFQD5<1C4(BXupok$7Ed&Q$iS{GK$a!8wIIwcLs#B zV&E3%%s2)0&A$2sq!jmVmVIN4?y`|Ud&M!ExR}(9mSsyn;4rbkVfsNfkK+%ltDH-3 z?kzenZoniZ3>LV%UGk<=W*w>HxTqP_*)lEKxB#ZH8*yV`Q0kZ?-FPL08i1xkL!%8o%r!GyW8}+WZ?dv)A zx{i)JiTL-MD*^<}6D~t^aZyR2R=3b$`%4{a8piU6Th8F*p9>5JAj0-6Rt_ZQ<1>6b zn8}Mk$F5(hnCtIWf)_L6ZU80joTVM4a>v)En;cBRL9BSGj05ak-d{?NY>SRF%rw4K z`FlqQrUe;SAYBsFOKeDN!bg$e4={89mBv>gqKSH&+T&zqoF2r0%Fh$G}9ANt)Q@v z?MMr@VygkKZNZoS3R)#5a3%d)sb+e|&xM}Iz|8=#wqIpL3+Z}N$0d>lDQ`tl9Oi(S zV=phX3Nx5x6(x7<$u?$Llgvl|>S&sS@yef%ce;7YTKYL8?#T(trvTEo-JX`g4`&AEij6zA-g9w7eq@sPJu;AGa_XY z@HTv6f%5HgwqV{h4c9RA392$yGmuQ76@~-MRFR@&ed(Cg&898?Qbr2LK(nqO=bF5X ztBK@pPGCtJ$XRD@yuIWoqlNi*t_$Q!IC$?O3hCoJ;OMgWY0#f?@@_7Q$HMc{uHOEQal^rVf69jYaww^S1&sFZfy zB-75Zwrd<|nat}xiaJte_hx-uRit92M;@*_POg#|kb;qyKAgj?Wej(HoJ%I((Xvn? zx_rR&f1EmZdfykFxtRK~Z;<@oZA0Ul zRS)1b2L}D;;)Kr!_}?4o-!rF)cKo!2{}ev{_u0hngQ?#Q_`5d+0E7H{QgM+V@n7Qq zR7?WJd<*gaVSTs%5bKctAu?Fn89OOR1Ak`#{_lM2zh#x+KtKb?|KtSzuQde-CMpR0 z$Af>We*RPR0|Z3yf0SK|ACO?Au&V1VF~{ELGNBLC~ze8DStx5D3qyhaNFR@w>2gIE#F`tW?=Kody_aXfLre|P4K*ax} z{+}Tc5($Nv2)=)C<;=-BPug#=>$?;F7soi!L5P9y9}cMpJ{#}1-0a&H$$xPs_!1q3 zh!b~&P+6t;fq>|NL|H8@jbs_+*d?rFC21sRI2qkNEX>&0#Fju)Q#m`s z;f9ojRpKP(4ugm52gJZCpmTrOZ$B9!(RX66&Nl0_Oi({8+f`yKUPOh199P(_?>LwI z+GKqZ*LI{#u90hB{h>tp(rwz35pf8U8IQ!+|43h?$C{lM&i%;4z~qVMp0P6fr;N4# zk1J)%j#j1`HX{rgwfP&FL{M=(uj3D56^YnGkBoUol3*g8FwOSkB#~Zn4&tn48)Y?O~%8_+aXSt4QK#PH3zJMh!thwPDi4 zckAqmDLB*y-FyYS{T7)=6 zRCTF)Nk;Y#zeje?cUA9!?LZHWnF%I6!avgZn_Ztp1rqyM|NSMOK_!McBY}X_<|bZ} z5hwD1p#UPu-tu728s{1;{yzyIpk}+=?WYQn^UZ<=;goCmI6i&fAV(v4P8Hk@B?U`a z#!dxiPOlb96h%~Id*ldabhwf3>o}UsLH`J3YyB*`BvGSu?_B@Wf2(Ig{KYbP zX)Wf%Y9{4VXzkiQTPQ0wXJw?}@Nit;6D2AzbqFA&?Ril^US*7j$!rrq7``_*u?UHA z0k7MBrC~j5oHXC|z8P^=Yj@QjQLPCYrxTD7JBU;+pM9g;^0DgxrTuu=n**&G?Pt4k61Ic zJNk%(R#cauWEy+tn#l)T^c0Mg84J8kZ^CA3;3T>R!{{n20CMoG4x#Em_NLCQdrb!Jy=Q`Rim7yy- zJK?vFTLUh)W2rcGvihf@=ai6=OJeL5ExNiglt0Y|tztKgt>!W&?JlwpK|j!x-}0C2 z?UgPKAQtV+EV|l@rg}<-dWwWbX`yRpO-FY;6xp1Qn>KXl4-btq&HA5a}YUk)~WdDO6qk9Ip&#OPXR-($)gyU zwk7CKs4bSu@J6;e(c$O=Si9NO1Iw*El6@varz-%$i}HQ z8a|>ms2i|o)^IkCIQ*vo5mn^UEk6g>OO4v;IiSCVh?QE)zg#Bodi$_swy9vwP$678P1lFq#+|Tp zl4&N?-w#D=*o(8Q$&H~2g6aYh`&Yk08#xe&vB;IQrAM7Pew>KGSpjrn8#YB}h+bj; zXDR$*ew2_%%5T=FV=XGS&;nxr*kz)3*zbWM4!p5Qou9!nx2oHgDtMHpu{&zgzd!gG zxXP+=IOK8F6^)kMF*OtemfSIxr2;tIFq(gY>Hbn*)q%6I)igS%sr~&eSU@H~V6X2F z5z!J&A3#{rOL%W6WIa(_dqr(E-q}#GpfFz9P?4xGp4m{LsxThaP=Tf}?lX{OCrD#8 zZu8eOH72>3NFXlo5$5%}rhK|lp8My)D&=*KweDfv322}sto}j&-1GU0 zq^Sf2{q-jiO-3hjw@TzYk&ah7c!V}Fx^6ca&`qN}L7KB4pBlsQ)y`5>y8S2Px9p7r zQ|-I#PGy>0f`6p|bm{CPlF(=-Csjy~FHs!EkRQfS93Bjk>wc|AA>R-_ZK6!+`iNJrvD&mvBap;$NNI}NK_#uf=(aMM+4YsN6a-#nVJS4SF#dIhr? zpdN2+SZZUen-F|3M;%}B*0h6p`_J_`f>9+(Yy!PyPj1{{qSUU!{4pGsb_aE$7!mLHd$ODl`)ae~!in z@{|7xBBmX=rzV0(Ab_lg`At4Y7r#GB@|)Kv-N-EP7^jT~hq zfWa2+Dm1TCLj`Y;gHg*2WL?xMBfz=0!sk+daj&nFV4?11MpEYFYe6G`+u8Z*upt`k zf03gM0znfpLNW_r%M@hUWc*X2@o$M}w|d>bB{sJx{PWq@$0%`zavdbSuX>5OWI*xx zVeGvBM($$%-^jS4mW_!F%n@IAW86T|i{=#Ey8qUKZyi!8Ny57d2GJD86D;Nt499G` z7kP}iqSQdkPFp;@zkZxn20f)$MOlO-aS~88wreD#DU5r0nTUQAOm-pBypML%4(2EM zJhd?Tz<+)qcgrGgCnmC$X{+CSfEgw;&`2mF{0{R zgteuZ@gWUiq{YDvsQ3$%*=J)vF`MaUYDpbbOoILUuG(Sj88~nwZWn6{82c4vttJ!ts zF&Sm%q^zKV#&BCm4xnt!Vd^{F)El&8Se2}k^;S-g1VAege){w__t~#e6&9{*!ZY1X z5!dpnZz?Rdg)iOWAND(7aj@NKymF+6US?5)ecHITvy~AngGn_R9`l3-cC}SH$VmEP z#L%-&AA-|BgawNpnSs(Un23JZNSc4t(DT;dFTBNtMZW`}*7nDS?bVV?nACQrG0&ty zmKdSva|5+<#PC8pkz|Rp@g(;mL;Pe|YB~@&Fzr!0KqIgS#7gf?)(2>i&yS;6!A6-1 zTcemguSsWWvu@?jNTf{ikTM3$A&4oEPTqhgb2pf*iW8;Lr#nOFgYDzY>IC8VjiZ{U zM0KC2CQ&%YJj)vL|BtBt-ekfqmTzOd$ux>TY?S%GvYv98=|q6~BPmy|!wj&q)!W$! zbqQaw(P|g^hQJiYy1bYT`TXRO;5}hfpdqBMnDc=2A?}VQv7cJDuN_W%!}`s5CGg1{ z?^|=nWx$$Vif{|XbOPB*nO=*)S=xXD8Gd;@Ae6moAuk*)MK9Sxp26EK0E<5({?Dk9 zvN<#c#`5lMp`T1c5vS$wnOk6Mqd(hC;#l^h6jgojqTh?((ul50z>Dqe%Jepa?Srg( zJEsub+n!OQR;=3p%d?EP5dtK-TtcE9A{HIQiaYqXyp#my6E|n zYj779i_h=hKA>wDqtPz47E%&x5eb0QE(=!g`ja`(W$#tOs7l?2Sk}ko7?8AIk@}@? zNajbaLfvwmFF02}uRPp1(>)VQ*ghg97=nNu6;r+?r|s4+IDqAmU(Et`T7iA(9DaJs zYWdOO64d1320UNxkq+mb14L@ZhT(bO-QWOMM;|G@=Ouu`1H&bx*qY{m<^s%uQ_KuC z%K-Q9R1Sc9SZVo6MG4C>5l`VgmOY^1#KYScxBoC!IO>b9=^nN;d4*Y-*`d962US;o zZB=D!>@eVfvc_+}%k0rOvH9AGJ+EXS=L0dqY-f{!D6>y5Q)s zKBBSRSem0H6|Qh8{?I?_=f>pr9Gqzh`5KNi<*5W+QdR=FIeD2JPL-0IOhRUS;k<66 z$Qq5blSIO}^c-AK3AwbmDXR28Shx_`*R`A)jRr2M>RD9T^gvz6V^{v)afyn^I6%3W z!cEtrhVwQ_htcRzAjlW zr<2K*|O%jLe`H&pj}HAHE@HUMXW=9sk>olE#Tb)`YhfvqWjAA`|x-n?}~P=@O% z-Wc?LU+&2 z>$y?U0!cg$>HJ#v*y_wsNxYgVzQ+myx;a0+>~(Ty5T;>Efp-q?aojBFYMp>;J^}ca z>A18;Z|4tHcI*E3DA)FSiGGBSuh#||6Hzdm*#wMRzjkhKdW1@4h#+%D$iKBJB+12$ zv=>7r4v8+6e)zs{v?X_qZ+(LN*Cac!2PF#epNtA?rkI5#BnZe5%0H8Bz{$j@N%Pze zR}!63qi__BPrcZ3el{|0oyeAa^&94Xhe69C({#e!mhXd1NoWREVa80Dj(}tK7)smShg6$4&XLA&Y~Xz+U%RC>mwMr%#OC#7Y3} za@&Z`pgHPikOn>4N;%)K^VO~4cm9225zzt*%qo$&T;U;Xq%w1v#(QM)D&!&tuq?EWd$~?Vv2F#`D~v6c%^i zDLVm7*x<9xlPs^ZOU^Q6ef8YBy~+5nL?a=J2VPP?;>MSoiTgyfg z-qSMm{~S91&eMxs)kOOFO31M|euj8i;{HWa?RVolTMatK)vm;4uyj$hz zFpuF==pQW;hvqijuxcw`g3!IT>eGydml%RTw##bi0HDKhukfEdrZG=9aWcdIZfR{Q z{WI-K_AU43iCT3q<38@l)4`>+hQI?BG3jRPITPI1>ZID{M){7VLIAHFe8xbLwWQUz zYEt@m8*zNq!x$hO#mD+JOVpJVfX_&11uwHL7V%5SUA?s5qk?Hxw9E#+>2F#cp=vT(Me zO_Nd8DQ5@i-7cPcoR^cI&`PM|NLuTUtzlHKdR6GR-@R}JJ$xVJFI*e>`#<+hJZVzr zWcn1x)ezwINAr#4DJek(0I+v_OTD8BkPj?+66l>$(srtK$kWHCeEM9a*Nx(77kWB&5VHP zKC5F-jh)AeB`v|?@;%0`cZZZ^Oz{mjH|x&-a0dt#%U)meLvM=UGe_SUwG7P9=RQ87-`Pt( z!awXig?k3hEs~x3^oB+dfTRy+$Y1i_*UxfadE%e(9+GE@h$hA@g6HiP?PIY^aU#$Z zmXLvv&Q1&@zngCR1nDdYqrwLOY4#g7R4jNa0%IOHix;}0I7UiSy>J?_ zohX0)cC&FN_;qm)Y9prhPZOyc~ZWAj#J{IsUV$9WM%sd4rkFG4gJAKo;b#z6rkH)Q;bLn4-(64N=g)j)-M?|o?>n`* z?S57Vc0I>uhS6O(5qOs8e0{y6gc2Ws*Zh3ETiP-6nEAsd#-3_dT&36LLTb>&`f|$L z8q||rtyMBj&j{pj^daYIvtrHU=yY1sAlU8BaG0$U1QVjrFR^NrrA(wQ+@qXefYy&i z{gCXyd+}o24*Q`AVIActMTsbg@~z>850N+@w}l&!E$P`u7A6o{UWSE_PbUPJEb@R=dQ}h10(d5{Gf7Mv7P-|zfw8mbxMsBpl;+AL-2NmONU-4iaS|Hc-SUJn;^ zpL>N?A+elnqcRoRP$Ufhb^VkYKVQ9E>mstBeC>;Eh66U&+T)5@2BjQI9~k+&gP2EL z8UsSVGKyxdrTTodS$DNDLhlz5=56W{#RCq4K)kwqm)n@K{-{2A2FkI?DblFz6kLUN z>`h>Pntb1(V!bXunW4C1$!D$KH$t?1DTh}XG`-18FGDVc(%4jHN}?@kDkBb!WJ5`O z4cgPF8pTTs0#2*7=nPgSA1CniG2Ac_YlG^CoOF>uj~^+KmAM1y$fDTMilPb3cs?M| zQp}l0Dl(*3(M76+5ismipi)sDk5U>gTnEHY=Os?!m>$hHdv0>7e;diGCj3@+_9D{k z6GiT5(dg(C!@g7(u*95E{4T;ADH&64KS>8cwW=g7u}iLzFpZf?4ChQ5HkvJrd=y-x z)^KDN@m$|34{;W(QQbnGR`{U+2vCQqjrG1qT$1$|5$9Th(NFNdri5gIq zR!c1sR4LJ*KmMK7J;IRlTg^g$O1U351;2BXm)jPSJFKFqTQ&1xD1}7m$BnXtq>qEn z1N+$_aVAQ)ji-is8|(zSUKcLgp-%g0U~D*{4@=0-K3JT*!ZZaQiIz5-!_rlAG`iRH z`Y;6U)9Nrf?(rzLqO!mD3Ial{6&^s_>$#x>%D6hLMh23Dtj|hI(yDlm%?I(6$inkA zfJlpt`9^$0YWGHQ{$?y(23e582ce|@#P^))}wDzZw@1G|P!Pa4pRfC;>z z5cOySh45Lka5YpWpcuFb3ox&~O66^K+_J*F^=_x$RR&jNeEU$YHjORga%5lwF<=pk zB5H`)0yE$kL>`xYK8P-OzeywUI+q&UaqMI_br?@!OabwkLe56$o~v;5=tqusG4B3Z zbzJg-c^FFNcp}%F6x&OoPY-N{mkp1RJhzj)TCvGjs0~qZ-b!mZNmnQ_@6zbeZrvW3 zR0}R4Z8Ha@Gago@*Q?jfI~PG!I|%tS3~|~l2bDN7OVmFwkU?y-2H7`zRqF6*yUGrH z@lfx4xRBKh3`=l0tULC*?N`1hyoVg%x9c`fW5P94_RVCwW+q~r3fMpC7{_a z{NXI>5KBQUH{FCGr3yeS(Pwc9W^JL>gd<)50t?2_YX0nSaT1_abD-Y;NA|k(hl?xL z#N{m5e*o4U(U}n;Bwm(3Ki~6KdxcV8PY`e30wvs3vOWdlR=+S-sxfuG1g4hB`QDrz zx_`dzDKqK)VZk&&uIB%9&INd(PYlEKvwglN_lNY`3y5{W!TjrjR?*?loFZs?#6DU0)BEOAZI~fq>uFo-LLS89rfUg6q~%p2c4F zu2|nrZu%Q)=6JZy7BCvBQ)aIhn)XfFA~NY$5)%){o$B?B6;dju#+-ifXjk`sp2)~A|qy)bFZ2eRl_niCIc-Rt$P|9ZE_oRGM+uR^}i{|-(( zL|p4tsj)FEv(BV{icLIJaH`)_RY>WW7<0(ge|1MYg@y?eQG+9_!)p~|V5)QQVS;rcB zmZkP9ZozJ&OPy_-HAI$7bNj`}M|WM%)P2}>W<-_3M_}pqyub4q0krE_HG(CxCr7=# zcWd`p)%Nr`-QIPb9=nS;)~=@ym#Xj{m#adk*git$cQ;`AV$JS%F-$v4ChnoV+>2C5 zE)Im+y%Q4_C9R3@9$9O3WXyXvG1?`Vnwm^H6B^_7!?!;hs#Jr*Av>Qh&JF|`{WbHl zvO3EkJMk}159Aql09Q-(1#p^{e+bt8yCEUkVxTb=zoY0G zLT(1h@@ zTc}$ouTxK&bt(Z70e;t+mrNwVJhWoRJD=8Jo^3WNB0I%YZ{U#f11`RA+@m=>$&fi$T&O;2i0kN)AZh7SlQ>YWx|})^CEwvo({~jUkx-VcqcW(NF`EzO~B&< zStXqq%iS#sUNaQ~Z|{R~TFo-rv9FK6FGgj1=fr3M)44-xVkJ2lzzCHD6SSm_0M!tL zDSVT$n9I@P9W((UO3-ZXE&2jm5AO_x+;0#Il3@no9)kdi=eX+$Q2`tHJHcQa*6UZA z8KZuldS4BQyB{qj=W0PXdKAa;B43UbvE**z80h%cO1e10kenDve|9`nCR>57mhH5* zlB?ScX?`H1(~R2`Fz63`!4j^t$$4uMzn`%}3uke3jB*yJaU7+EL?W7@1Z^1XWP*1@ z8%aHLv114tg?gid*=~joYZa5C4oPW6S5oqXFTCHT)y%51+He$HIqj}Iu@RMHQ@f;r zx8(8aGBqidDk_eQr(xc)9nBj9^Fc;5vCi)ovqdIDuR96~SdqZpMtLQr2aM}%KyLG! zpm#rZw==3|glE}*nG`b}*0`z}v-3ub>-Zdcwu;BbWUL?wABb3WeTB)n=>a97em9_By9&@l7#iFKh%|IS(9O+Ka{%2_Jcx8eYwHAgYuv6>o< z_Om;TCZb<)!;MYrv*OHhjVUQBG?LywsP)w{`%w66`h`QHa@NiYFUkWp&8y|Bi&Qe9 zE?~HtA+;{{ROMgK8`2?@Ak{gv7DAHor3lK>P$_35NzA^e?R~J0cNYUGtN~f$n^iBt z=q!i3a4Ax6mcabvYl`doyZVI)aS^ry_4o9OslE+!)pC{^a^KVi&m8c;v%~O*JKXWH zbuBpE^e?N~c^1qT`O=DPGR_BV1s$px;(!jmgS2^=wh4<*{HwU4P*FAu9*)pV=nMm% zJR8~15fQNw?cGkfaZTB*AtV*zQtSGCwQkyz!FkmN{q$%qMk7|UjCix+{dVK2O}Sp) zDOfsuZe9`{aAgh~t}no!hXJ0OUuEj#ur+e4+F zp3oP+%~w80cfx0nseu^Y`xVCc=`X$PD~Awm<$Ut!y@DE<9RuF#=TPm_%IPTKm}}#( zo=B;zTUk^5&h)<%e`9YNDjofM-JX#OnL`qRszT!amei2~=FM48wP{6}-T#8|oWFdD>Qw03>1LYut*tdM<2UjAfYZtpwWxVD~QwlR`-SW4g23giE0906x z(aQx>h3!5H;DE>Pzq3h`K5eeND6`#Aw^E>m?lV@u?N>hhN; zPmxgl0R>5LCgWvLE8qbmck1+hJ;JicrS0u|d~PPgR!ifzq_~{g-STM!>gJNbWI4*dY>*5WBbo9g7wEU$ z4sJrm_Y(R938Ev}X`M-G2qiD81VIg~no1z(DuXm!Zwc&u3IOHk&!5@!Sw7ZF>${aF z@pW{a9L<}3Gg^BMDfYpM{T_r>L?9#XD>ymd#qd7KihYv{A}Qww5?63GSX3C#XM6cZ zpNQEuRSTWx$lu>}&RwE(@kmM$vMTodMOXys4>5~ss`BWKUurX$0n+b&YGVIixT7d3 z-{fJ|yqU?dUVz-lbLvb^!F0Tt*%A~M`tYcpr)O2v>iFCJj8vbIff85rN+TOA#j!0x zo@0!8d_D@(?O*N^t0y{1ym91stQuSz|yHB?*U7{0(v+ zlj;7xI&X*niLV~+wbOCeAuqB-hf54Wo2X&OWsYk$HlMZiFM4}dcH+uy zt4_3b2p~>ZH^H!-UW(25TGkI!=pt>~I_2{_@$ZJ0m1NavNB%s>bLtA?cckW_#nGEU zum$W6h_1L5s*&xoViL+O+YX|3w7V1=Vd{3gCWCf@E=|IBfY^$;C&M zkKM8c-%6idg23IeIW}pqDfzlmSh<_*=*Ruplcb{w)~{<@BWv^9WK5pJ+g2VAhc&|z zjp~7OlJsl>T0lu4~Y}*JBz)3s>%8$ii`9!S^_MxzKv4RPogp#RM*D zCf&q~-ByOB0xFMvS6z01h|FIw8ZiYdaOAYb#UnX;IdA=!kI?nEMHiYb-L-ppYZ>43 z$Qs2RlbA4f)8NaZoh1nSh;^SDuHcP$04Q6x#f_DI`_eC-sQ_1rXZG~Otvd|Vk(43W z!3l7~VmR}}J_STOiBSyrT&}Se!3)GcFJfifQw%Dct8qQ+ffW~Y%~$4(p)u-&<|2h~ zxlPZ|p&zIY%YlRN^;Y7>5oT0v^u# z@dBre%TD=-Y>$!CL}H++#_d1}1Q3~M9dljMzC=D_h^SvV_p1)i@)K^HtuamRHcRE} zV0!c9y)9J2xVGQ^+A1c!2?a|{o$lGgymY)($dvK?{4ou-Jv#wL_5*9r&yMfr@W$QK zX6i|t|53st0~(QcKiLRL%%=zT07#uwhqT>o(@KLFF*i7F{&U$1kTA_&W*5pqkw`O5BrzJ; zuKl^FQ|l1|pzFR@F>f}MVzfeWNcWy>KC*;nXg5X*L`K-^K2)(n;8hZi0a1NV(@|F^ zy}u1n)-#QJjk!Ew^cXj;4?uD*_GwQq=UmJacC2OCuVDFhB_eZ2yV(T?=2Z9u9HkF+ zKEZ^&Z})2sw7S##!w^)&m9&&@il%uZy5gO3KQRmEdK}A~VlP`24sJW=8&a9?QkkWm zuHpn?S31;ABVA~gq1eJ~fy?HFF96#gXWoER&}mH-g%nI~uq>wA(S-Bam&Bl;1Cb+BIf|t=Qw;Wl8*=ONWNA4? zO~776vHS$@51wsH6fD@DbzQt#I-#s;@aI6*PI&e}};0OP(vuxf8Be>^rogp>=(@+SWq^|AG^QV*$6rp3M&aF*!O% z?U7GJ3wtRfsHJ|NBdnSKGg3-`q4@w>UF7u3kBU!$q<$kw3^A##SB?ZWGfCy5-$M^t z@|q0hC?TZBZb!FKK#@lN!P#z~*F=SsH^B);az&+(!G(`@x5(m46u9l42DA2yRNvW@ z|I3;Zlka$o$I~o{vN@gX%#wQ{uOk9A>l+XDoWH%R;v{Ux5!JaS%T9*-@xk;}o8zk` z=nDStB88KK`bY6V@C(Xwt<6ij7ew# z-ekq9k)rsx%lxjoy_3LkVAj$7iD?24qojt4v1!J@ z13LsL|J;@o4<7R}ttZ*w!Nkt|qsmJ8j@fW4xAuKJhYS;bX@gF%$-AG;8cCL35o8Wp zsjM_)kp^nVoap7Gd~DanN)uuzw(hX0_yg;a0_Uw#l8nQym+5vX%;MF{{<)8qJc;GVE~0QTVUO^*HqT#%GX@j29IvN#jHmzz zj4xbVEPNZ@iLC0*>PT#~;2w=L5ud4+VE@L}nwQ2Og7B4B@?AVT&4;_;&w2B(^WP15 z7_*)W?wUFe))LpY6t)eERD&IzelSG=`~9B!NV}0-dk~* z_D|%aZAES%$~L}n`DL-b_T&Q^`nQN8n*hx}4|0Z6h}zCoKeH6Vk?(7&lcw5MxjRYo z4sZVi`+QuTj?AD-GM1>}ND1-(nPo`RNuj75oN`x7Ls!s&$(?sUgX&&x{y*#kF%hjK|D9+=W ze&)6c?kv7w7piO_6`_aDNgzk~AGPPvuv4%v{9m?^F6+MNz#`k*HeatC^6qv%#z22MBtP|3JD_tOX*UTX+hbaN4makja5Vd))e_HF zty7Q6rtaRHkfY^zW#y-#E@4oekUyL@68%?d(G<$#ockMVt3Bwa8jjTV^5vH=IgMf_Qkkpd;gXEzOP6wtAN8l7z^jrA zle5jEKTqsA+>4@UC&8DT_p*2$Z$WN*Pnk>*jc_z^vy`c72FJaGpqmf|$Xx&Al0CuA z_!#&w343tieeIZ$ z#O1+xnv@4IkXcd-%rEm$+8{5owGHG-Lsfh9jq!GLW*6Vt*Q-W{_R1!=0S5)KV%Gw( zvKUW3`un2j%csm$bRtlEo2d<3Z4f7Kp4WtlH0CW=eqfSn#yJh}UG970$7pdC{l)`n z*cBWFf)NS|e4rkIT^b@$b>u%uZj9UCZTImxl#XCFF3V})dCQ<5*PvMZ4oRa48#P#| ztC#2y^Ibiba|TroJI*nPSxi_~9T!Q=M~aA?&ktr)q!CcB<`J+7NjT15uwXMcY(pp` z-=9H6_t5%0B%lqq<>C0bj-RiS`A`7Do5it$~JoK^wsk38#RBCr#+#ngF) zv;Tj4CH_hBCI;~&!Tz(2jU1Av6f=>8mkF@7UuQ-h*?v;ZjH3)#4C1d;A*f_U4)*8s>bm2e2FW~EldjL25&hkeOD{l6IX4yh9u)6FhcUXbnIUUtAvRf8Zi1}42Z?tf`jo*i5F-@$?S#f@U{^aiQ+s^f>X~KwtNAf>olscdOwH=G-g-iavyhw!GKO&w1IZZ=e15K2i=KZkI$1dAI()_q z@lEG+-dI>F#rNP_l;&9N01EAT1QtN7B|*yJk7N9pjPZAPg|Fl~N|j|l54bx-`w7oB zWu^@=-49dB)SvtU%YWRIlvFq;etTnEIHH)}RXep$GSB|Anmc*j{z};{FPg;+_ZGjf zxXf_Z^r_HE9cPW|u`I0{Qg&{!>AbmUd?UXl*?nBLV#cfos!13=C`OXbLwc>%}ZM}Qgq<;y!^;DjuqA)cK*^f$WhGf*v+eI?gys;JCw5( z$n@nm%eH{mylXpaO?vDaVJ#JfFO_;*FWB>!(&XOKS}t66XVc}YnYF9`UL0s};5P>a z|J!jI)&+b(|LsBl9xY8Y5TYmkr$7DY3?c{!!QbPj|6HJdtvLV$Eb*2MKXF6|>7Vk6 zYeINn)hPc!OqO=WPD-+%e-r)xIk5Vl?Un!0`A4fcKp^p}@c+d6ukAknIRE!*4%o%| zH&&T2%D`jr8!^mz=C=H7RO7h=0W=ZNc{T-{%!j?1PBP}|4RH%O#DO?5f-9< zo@&PQf+zi7u;;H4{};z8(MW{p-$s&q5OVPU^_%_GBKt4Srog|!eMO)X=S9%iwS_=H z7(v9@<&7PTOnEGs%%$wDEw$w3MXc;ZEmgQ>&E=K6M6}iHC0Na6?d{|hl@;U#{vT@y BW_ - + diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0.xml b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0.xml index 0a9f258c..ad015b62 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0.xml +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0.xml @@ -214,7 +214,7 @@ GENtimestamp - Tue Dec 21 12:19:25 UTC 2021 + Tue Dec 28 14:41:24 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.v index 6298151b..7e8eeb51 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:25 2021 +// Date : Tue Dec 28 14:41:24 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.vhdl index 972348e0..576f0a6c 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:25 2021 +-- Date : Tue Dec 28 14:41:24 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.v index c7be523f..c83cbe33 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:25 2021 +// Date : Tue Dec 28 14:41:24 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.vhdl index c1dbf26a..617ab6c2 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:25 2021 +-- Date : Tue Dec 28 14:41:24 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_0_0/audio_sigma_delta_dac_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.dcp b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.dcp index 53bd1044268c1af308a047cdbd45f4c49951af6c..047ef5897905ea4559ee57e5f516b1b30d6f1a74 100644 GIT binary patch delta 14222 zcmZ8|b95(Nvu>=3?POxxwr$&(Xkz@5iEZ1qZB1<3wsrHq=iGD8cYCc`-TmyUr}iJU z_u5rmof!xm69|l{APowJ3IqfM1w`$fp*0gM0PEA()g+S}QlASb$0+@l|h-{W&0;3lqmW$JPSMtijRP=m-u z&dS`B!kn2mIU!-5yz^G?Pq()5*key4rh(illu#M)@I1r`OnS2l; zZ)qNe*YXKy$H~vdFOysE!J2dNWY7Pc2x-KpHnzGEGGkXXa}fLrFZG@|ZC)yQqzAEL zcWTf>x4au`cou#2@9+Tnq3fb;%`$D8s#O>gf)kGGFqgrXbs5apJPlJWO9<6@Pc6)YT#@YZ$k&4WPoz`>P;%y>lGkL=GTXctcUwdf4Kr&<|WUWONpDuGUHeOVHL8 zH8wdnR-^}*+>pPGJX|;R;3zBb$j~g!u2B5Qc(b636O`gJa5zekg}UuECaT)-pEt8U znuQ;_%!fx7`f$n1#`e&maCz|~vd4F%(7-H{%Dvh|E1qu5f3@^_HZ`7RK%CFsoKWVk zpZs}4ipP!1Z?%YtaQl>jo+PNRN4&BfsaDQgBc={;tI~VmstoCHfeIC9LO#1e&`2t@ zrPbVAe_uBlT8vw0N{nb%!DKR!B-h^Z=Ak+_LG!)M95y#y9rF3>gqfX4Ii>o3AY4sT zRCz{X`~xqbHW0pVSqRe59#4c*rZ_M)V)bTcCKPpEEQD=Ex{>xC@qYx)J!Dq~0E3_R zxkM6oRrreT5%RB)KOvTjhfuS{VKlP*P>mz-E|#=SN#M0mCS7p7YUnFyGn;3%RN?hk z-O|$F1y$Qp(;x<2Tvl7wg0QjGHax?sd9V%S9rxv3S6j}9T_(mq|GH7kL_T+bIA=t7 ztbeqDI7`YitKeR1J2$D|0<5(in^bVFwe6c!aICd$n^dr`9~{PiE3mD#EoXR%@UAG$ z&qbFMXX7Py#lxJ+UmcbbRHH~&(>$?TpYM^41bw|#e;F)&krcgdxbm7K3+Gn9#I}uE zZ%H?fT*Ve*aOMPn6V(o;Z=WGI(%dd_-Oh&6QP9{@NG{bCmNN$fI*_F|gV-OXN<`@M zk!9QB#tODgn-g_^tt{7o1qKg3fSVZ&Rs6hpb6h;`&=fBADiFILGG!BJ^ZGkznUs)1 zM_nxa7c0(@RkF3MU_G~BJ+@%Iwjd)T#e`nM<&O!0go~dEf`m&?XvQ)n;iA0Mjwz2K zoeN%jK~>1-hCoz1KvHe|fvt7bUyfKE=8^TqXd81119aG}3zK8$Zm@?jiyk8C#*5KD z;waqDm`7hmN^0`maWR>>E92rohThzLx^-VlunXKX_uGT$MczdY?zj*y1fm|=l48OoQ-~@Xb)N$WnX%q#FoL(L= zqnk(29_9|LS9AOuVEP6Uc?2anHPip5sVwHzL4bP`i_0|;2z-si`ty+RS`pF>-%${N z&4Pm0K|PS%aQ{0AF2zhmGyfy3R!%I2?%H(GjC_t)3E|xFv$y-PlhY%)i68ufpZ( z1z%~y{Oq5o*L4E;Eh))p@Cx-NV-Iy@WeLZos;ZPTV`XJ|+orux-7)E^;0pHj+nYr( z+22ps^$IMSBU5Fk)!XK|6m8$w%oauO6u=SxE#zgTpq>t1F)v$HwNQk=yi6+8M@M zkP=vk&qv2j-X6J=ZQ9P#3{DkUzOZR&3>a=!7Y=bwij0O7#4sU-fysRe$iRBQ6RMEn zHQPA_JpNy$^dwEM4vdE2U6UQ(kmHz2Z$Eg&pM>VW5`YBDb{wFmq?i)lpD)?IB=T^Adl5-{W^!jf<2Ezbz^5=s=DZxbx=P)Oj zadWc$V_@@-!Nq<~2`E3qvk6 zO;k;wp!F-v3lJhp%^uP@6Ht=)d3Tp=jpt2uB2;x~jaLVNaTofWo0%Qryk3f5c8)v# z^KPj;lsT9y&Vc_S6{qvCo%r)1$)U@Xr1yA5Y{ev;IBI@+8&{Z5_qDc#2LCO+Awqjh zICB1aJAx9Do|V}2W`q+N2%lSC2o3?hlf#Wtoibc}G79K=84KCU?V2ynKUu5a060i2o8WC7v^xS6fN~_i1iOf{cR|BqlgG)+fJtU z76_xpC|m8aCt(n}o46Xb^5%2Ga{dObVXP63Rdm`hiJ9zZl+&E=_^{ z2Rv^Owc^@;kc1`_5{riZ^BW#}`-b1;Vbq?H6p@n#LcTdr&{ONTnP~ccKqgU|>_B=+ znjmvAndp^hm>m9*3MT~g-hn4jfb;^Pnh(4gdq0+w2tGrv8~x1AR#jy=)i1P7J>MU z{xb-4h2$m{m(hE-H>OpdBW%J%z#7TynNc!MlWjUoR0~{))~^)+YeCxw%g-&zBt4{k zzcTWtRbYUWA>D68G62gbC(a}Zw*4419^A>VN7{f7R4bgJUtTkAJZ=WVJFL!t4iNx7 zZvHRpjC7nP(|caD8u~am2!r3tf6+!uGTrS}cV=n;5AZXmcn5w6WqgVQruTefKbRc6 zt>sXg0A!Oa1N;|^o95Q%KF^=$Ib$x(ybS5#*vGAX?vDF>iDymXcuts^Cc>;Rmlw7E zYGCs)V3}EzY!0C2xNTj*9^c19*w(r_tw+rVY;BC)zrJe+=LH&AjHW90|;hDe10GIjUf^QgSr~Gc7 z78w@9X-&^2^Jfi^k#xU6w)zPktFimpX1lhfbut%Db=k)+jZhN_STu>b(&Yb`m6C>K;$)!lZr?kjyq#blyV< zX(*1S=o2mcU6mI9=nIpAI7PNpTGZoG!BA6SYD<#%Rrff1(^!-D9>bxm7@9z03%F8I znwmI3vUDQhNKkZg8s%U8K0lBJT&i8lzIkV+~krg|a#gY8yJ7{m=(Jwlv#Ygkd&1J1fu zQ3$ZoasiAzAL@5y8}C?lCf5Klhvg=*t&wCmI%}{w=C_lk&u5fhz7|sP{E@LMXGIBg z(*p=&-j|S{`=+>AiKTbez!nQwEF~2n9xwZUp zSD9;PhAj7OsvD){_=tXAUhBoVT7hj2qyjy{M{wu4oEZ_%7l&k(83J-DVY7v_T zedavTmzxfO{hES5ywZDiyWS#DDUALz#U=?;HT!eVSbM3#o(E8Z_0UbTNhB&h9~m34l{JU-qBgoa@G+9XW{L>x zFX;WCK(()OUO!_t)UmQPKtHY4)l>suw8hdYJYyu(-&_(Eh~j5t;aWm&{sAR@w{jfy z^Rqs#vbm=CNC7Nm!EN|qR2QcjUu{r1)x9)FJBsS)fz|bhlHU_^jLm3`4#+tQr(NjJ z&DXA6HHCn-E`#SGAW1_~gc^M25XTI!u2EZcg}w1$#`-NH%b<->Gm|Dt(^wZ5$O!HEvFjMK+mW4Q)c6^lP;bySDGE<%> z-lR*$4h2Iho$v01$$-})gzh7T#IF!0u4yj5SKaT0$NxfM0J)lr&p|l{*GKev?~RW` z)dLV2nK6h$>Kjf(m`LmX&@#IaOE4^% zL18y6sU@w3TWrTmi^QuVDRgU*TPHK3+y9QP*00m z0OYmmOhSW+W>`tN!BZV#OH{$AIbgs|7dVN}n{-BYjtJanN-}5w`@jWmM6(>q#~q?e z)UiSY0*$nu;==~ht_pXoIbV;o?0+h|s|V0!WD)A&Yt+?zOH%5LBd3Ob8zi(I8{8!m z#f5L61{YSxX%#|+cO10T?0FUwwV;9zzQ>+y`bAlA+NxHB91M+Q8{WW1hO0)M3wx9{ z47n3hv+91R{BXXz4uy+$6D4KjgIR@~*WaQc;(=O)F8s@BHln8>q}kkz`yG))v;q)M z{zUnEYo}+Z5Q!nssd9&94wKc7QWUh94U3`3(R~Xhm!@?q zwZE-@z}=Btz*H0b6%ZF8a)U*4LISm`GV@5Z7*j4XpxE3?orp#fR9C~($!}}rAjln+ zWKA6IM8tB5g4mpJ7SUBiTN3P=)xoTYmUV2@&RAKQK%9|iSee~b;y8*2yoLZsb}k~S zOAZz#)2i)?6o*vgqa^SX@KIB-NnC6-*oVvs`k03|BZ?1^?twd~Kd442GKQpPS9Xy_ z3c`^DrrvE&^MTyJ&tP^@`PIo>fZO9C@S@m*M`YA$dOzQF?bf(k@pRgX}@? z`s-D$0oy|kwNQpm6VC9neZ>lp;FL0cL6k7Yg56MapanDuwI$RUs}0+O*QcJTPcaE* z5IA!K%$I?g_T3^P_1bXz(LGpURjsmqw}!v>^nbfp`-uO z$0cwTk=gcr*g`+u0Q(OZOS1k%=`t~>;EHD18Ka4<1lMO22}!R?8)dyVy;l`pK$rHv zX6>l5Cv~7Cg6&;`+c|;&AYQ}eZ}^cqC)oHy!tppcceB(){%|P|m|BSo2!`cp&3Fm)}*oi6Sq)i%k9L_7_pDNT=qA%4K1aCLz70Pxv~MZSA+lkF25Ihf9?Ap9|6Ug&60SV%X#>EWI;plsuFrwH$;+GtzY z;am`te4rJI9NPxreuolYwnca}LY(S71>ibGUmMhEw$Up+kxG@UjqPTAGb$Qnl411$M)c^uPfA~NWW*%zM#GJ{>h~e#0LYO;q`sVMP#!jc+D#(dg4%9U+`N_y`IKjMsC1JrfN~$wE-o=jr#?^0OIPSC z;&|AgC)6f zn)s5^Nn!!!^-5k$Bs_BmV+<2IisaPNxVWT|@cf#D#U41c=qyhhR79AH_7vMCa%oEo zO?1wV1!DZUK=7~~CCp`iPy{!UN)uB&q`of4#qHfVkcw;W-o~yeoU%V0j$F{(Ad$Hq z^PDim8q?eelDXEAHpi_GA`>7m<$5bg|J~q;ipB!829xrc9q46ZNxy0ALL|Rw?xH6_ zHee*dThaf{8Fk=|iu&O$5uL9VheG4fKHBdAKd*2bf-inR6`i+#C%3z{JpozUF)zJ? zah19R8UNb6vVNQDRF!S498d7kl8QPsd@>X_^LnXOY^Q5IE`nB@M1Y7wXQHmK_wl?;I zEV@hcr0c5REo%y}_=%97;PR#0N$VRE{rkv(&3breXdfGBdOdY##of5Ug9Y7$7BqjR z1<_E(;C+?vP3E{}eMvTajpNS*>iVMdkh0rodr`7q+ly{HHOJ~;k6Lr@9`C)fn{dVn z8e!G^Ter;92w|w}VTQf?$|V5trmV% zhCV$ZjP<9v=ZXwvdVk&WKgv-fv%$+Un&PIMxB-W!g^7y?Kd6(CU|&y)^UF*{{Bs)@ z)|{xVtqX2*!#;5(v_BBf=?|U2$A}0S)N5W5O9)hH2teP*uK&NBivF%2DZYo074WRh zM7}JrYFNnMqCXkVutb?kPTAZzs7MDt(pOKoCj+q^orVK)Y62Awx3wJ+zr_>IkCeiJN2;I=7InL3CH{VWCTdPrVlmwb~W@soWHq>n@cJdEE{3U zT95$Kr1IR7#O5VzjZNuSU)j;VBhJwL;L|KJi&l+SNp)IM%m>q)Mn7@OB0Uaq)lK3# z@y&uQ{aUn)n+|!NXK6}UW7vM{#1DzMCTd2F;~VLMZy+Er)cqM2ix6GIYq^M2M9}Zm zh!5brIskrDtvQ{gBaZGW*wbBfjc%vqJI8Uy;a#SEY+Bx;e{Is(V*YGe+oA?xcf00< zxZ%Q4AVE|!XTuyv)&lCaQ}SP&zeJiufpuQax_~<%AE6t^62ScQ09)n&ZE-SzS`PU! z1*##7S&@7nCqI2Q#Nu!RQwigP)pDoISPST-Ba35P%uv4aD)Yk)lqZ6u@YFX)XGCR2 z3WV~6f#Wb2Ypz+%sLwe)&k_}Y)ZNdzNDBpyUN$DmZL!@QB^qY0Rz;m2p8`M4S38Q( zK=>(~t^jEe=xBg*NEJ>ob-rx?5rKTIh2Ca{3S|{_s0vPIHC9~wge!2ML!*sFdk)aN z6H+>AFFCLsl4n}BDvz|{@oqCS%Nxrj3V|!H-?$RP6A$=|jW)H#=MR4hK^{YQ^wkOx z;>pK1HOr}6bn&;tR|=@^tgez?Ff%&R24z-EtxbHhZdl6#-M<9X^2#NIn)2<Kb5gL<(VW;IRj8!fj#%qiSa~T{j7Tp^6mH_ygExc%xG1Q(YGDc z0q!Y`dT+U-uNagRi=_LFoiI**9&^zTa*KHDX&@m?*YxnW3jEIA}&=NLC57ZNDn63Sb%<4Eyl(nn0Xd&f3o11L zwu+zF!J?*ZBshM%1_d3^v{^)3%vR_!=9tqL?0nCWXKB3u&~d+~OwE?5N-R|!vEq@1 z@#o5K&$t)d(&Sm!+k8{jNAm?^htI`{VhN!@P{^JA05Kxz;*67lRIb&6G`H^+lBsx0 zy0emq`g5*?A>=l!EM1x}AphD{`hoPV7oTP=r=Q-r{y5DLa@iCBtjuT6E7i1wvxm_Q zRg4v28nspCu`P2yaKmo%N+|7SbPnu{VhP`3;^Y{9qU>DEG;d{#rp=MzYtD@FCv+!Y z!{w<{ki6!!yfr$`J!mpZwbf$psNah^Fe&=Tv$7j2uyo+UlKRpRc;kdcoRvzYN?~!A zDLM*0b9$E)7Bdzwc}`xnI0?~l!gHzV-&?+j66||&hR16EQJP&N`ypV1Z!8?7F!$MxvcEq>R}Fkmr9s&^z+G&hD7=Io53cp)u4^Oq{e#`t< z4#xnYM#qVgUijZRX&JZC1HWnSX|G25>%?TOC+<*y(RRB;GUn|=8h-7(r&_m4%EgCg z^##$C zg4~5o`C*=g@SPo^b~vM*sj>OP?Q)4Le{Bvwsh1fMM#gqFr8LVKfAy)tJg~)S95Eh3 z==a$W``;U(#QvSzqSg2 zE-ZgmU9T(E2Urh>0r&!nF~}cFALRMBV3GS{GSgBi&*KLun3=5J4CC((~t z3}ub;xTXz332)?W?9elBytM)a{JuUV1@(Zd_$#(~yI(c7VWr%-KZ@7e2!D;^fdvBr ztP!$){&>*xgv-liZT!$dlF*-d)=>ldlwSfhS62a^m67l?fG*|_u6c7~7+)KDF?L(o z@GRl`Bf7$5l7yQw`0j)WujLE!8DjPd=<~@J+}j0dIWw8nbd&KeMY#ACPg>MgATSHE zGbxISlU$KJody)bNntEtLW{c7dFOfuIKF$5XFV@E`%)33J0Vq~77WeRvkzIp=wj29 z$37K3Xy41p^@^x8(;Uj=^@iW~w}#G${}l}%`eaW(wvs)*kmMJBZ;9MsL1y*FTE%W7 z`+$J65CD%N6R~5Qc}wyRO8skB?8Nggr-I?*RFqdg;FJ&ZR2WLW(2Ni_P^oquFcyg* zz3a{#dI2PI4dlj(S6ExSt4kKuxHq+kbq6jTC42nGV4*G_sVw0ov}O)Fc3}(jHmbU+ zVv9XD&2J3xEfd)>jQZYh*P4v5#7nhS{I~1F&AX$q z21MXPZ0TY+Y=Q#jY~oT%^D^aKq5fSs~Sm-QB4?$;fU!c zIw%qu-_5qR&-|)evNC-tE9^xLTKXnLd&YwUJvrP>+*Sa?f0g6X znOJ0kH&0l3-Jvy?_5-pF;5*13)}MBfb1_ArVtWL)tv(Oivlp7#z0!bZ-{o?0Bsw-P zdaPT3@X{AoYLB}g!r2(5vx*-og#a!0JV|p&<%oxSZ^dN65(+z%CW=g0*_>3T``);G zNdrY-=5!*!xEWjZd;w*W0E#3IlY5F#ECPiR-;*qIlqQSpS%%vMs6qq0FJ}ZB(knpH zog;4olku|WseI0^ zWL$uAZJ{ClYF2f6dTY%N*_OYA7a~vIV(bA;Z@9>sK!dwyyugpIRgDwVww$R7*M5K+ z^NHx14%O_R;u8u0TtiD%0h&}z6+#ep3txCy+>oZ_U>RtC87h+u&m!@z^i}}Bq?p+ z-2{g%H5X4@q>~?X_9z5GNKw^jHplmoBr)p6HJ8}6Y`Aa$926*XSN7-*pj)%3%#k@5 z(w2$B49Fg~%+O*wvAeCYk-`NOSAB%YB#eVpYO`hv2mu2j zjvY1;nqAzxz`Gy@&-G}JqFVT%JL-zW0?uR1V1g$#@wlS}LR?Qa7B-I~7L{o>|ALs9 zhfo}%xg9+KhPWo2O*oSwl{TlDb2E9va_PD+jz>KcRyyK`cvvI|8@Vo?LC_UL6tL1s zg3i^mgYQrW6QAGjgjL^oAX`_@i)4~S8%6tk1#cuoY_8)H zNF)X7KCh=Ez4y&f=q+2-;2@9395Iv17_aZXy8Qsur|eg_YWSlnizkmRDf#4txsIwF zJU4W{uM{|?<{L_HOK(83X>v(bqmr_Pf;>j@929%$W|}!1)zS3aRv+|1=*joC>C}p} z5swi!yqJycud+lnct@tyWoiSrZ@xiXCL9dXMaxk6idCeSpWx|}?IOL2R%7Q3HtmFc z!43nGTwx>k1wuUr%vb$h?~ z&~WO6e~(X?&{<^9JSXrj0m3Mj*b6b0-zWgx-jGTNL!(POw8?!xlhk;dmbi19ex5Oh zcP|I})Q8cW0mwkB)#tw)A0WH^5N8erL=6YT&2Ghmd2G8dy=eEkcKJEpP># z?4mgS>^unhsWNJYd=QeJL1w-pinDCeEL|6}Z~gk7EweK&ek(`AE{4tfmdC@T6y8O8 zffq|;W{Nha0vEH$-!ZU9H5POcCFb!$!S-_TRW*GHVHVq2Z45;xVq0Ii-@$EnI>GG4 zcl-DTWUoY&aMFv95qD8)VOP4g0KWnV?dno1k<(g4uS2*Lgf)cQgG zipK7QkXk2HE={nVM#CGHq!b8e#q=c=iaX~?{n+e<7pTCFa7;78t4Hieqdx}t9V&w@ z%=l2Zk2Cg^Ek@oJ)Y4AB|3UGp@i|B^YA2YtN?Pa2=cem-`U``>BOJOtG&xTOW{Tdk zEqsn<_Em@&oKoa`b%&*kK;;Ey!{@CPD}q3HFvYyngb-KOpcU6B?tbfC;jdQCGgngI zlDvYnHhU~IhJp?rpx&aHB?AVi%{M7iHu2=O$(BbVw6O#VHhv3FEVV_QDt(=Z7H6 z_{gsBBq#w5pm*%q0M>+(H~AuAQP%5h_+Fgo6KAX;z`qw`d>;k47LNXexm(9HE`@_k z#uXPmfEg~>B?xY)^-b@YOV;k~gT=z%gx0PrvNU@PDmBB3BtVn^gRMI|a31JmWl#O9 z7?Z-11qykHZ}iSWx94|Jm;$n2x#xs#<#MlIs|c3z8vk@*6uW*VCCgATkoCrk8;8DB zK#U-Pr8!rUiy#0HoWh$9A?UMp+&98W9PY8pfRsDDgG#(UY=F-XqI|3jF{J%mbPaQ6 zXer+L;nWyBLepCjF;c_5V@x@vuq7t7?Gy|Vk1`%#mBa?hv}}tFvqL)2ghHgGi50Ct0X6~N& z@uR%`Qdu4l4k|7NQ|DAO-Iy~atdL#>fb}qH7Y@xSy{D0M3PeeN>q#INZ;wK~tE+}+ zzfZU0+g-p<2#cg!Ij^T`sFWhg+|a32VlV)~0=WSKL#_}p8Gl=ulsw(gys;Uc9u|C_ySZ%JX_;U^0z1RUFFn_>6xzHcaT*r_6?s0}wbDfT@ zg)1P$2Dq7>1wOt5s}0(MThmC1;rN^w6Yi0=6eV!Vj@JKrrY11$jx20HS;Ry1OI0s2 z8&=9cm1eZE>lpK8&b}Sd1o{z$e1DHS|G1UKiw~GRX6}DapV6ePodAZqSV(e$lxcA9 zqS664#FbBqo9M4qI2I@XGyXFATU2JY#alVF)<}f)kkDiDp|K~9fe94xx1%c(ocHZF z2U<|B`4)4ZiY9AbGy8mrhWU#E#`!tf;mu z)pZoM!$S8IG#aajAHQYBA@D^Gtys|rrFz^iBM<5$91efL%}QhHY{y9R)##?h@?+vo z3KIr^G0F!Z7!o6xZS?%`C8`@mA)3Ga>l7WxRaZgM&q77PWftdxI5^GxF0J zfJVjPT%>i~A-5BlKWA2`r%-U zu1>Lj2!%g=KC^+qibAlTzLXcGs2{C=0ZHCNTkZ9fY!DVh$|Ay4CkvsBI~>}JBgx0s zWV8AQ_&0nM${aiK|1JvwXT(3TB_RFNkn*cJ)C=vqsCfnk1Vr>*AHtQ;cQi4ivvRWg z6`5ddx5|JT)cvH6`%@OAw3Qghe4fKUbBnUYt(lJ$6GojW9*-BCH={>!Yd&qncsM?E`W33VRY z({RZ(ZM}m?|BYZE901a421-w5Imh6R7wH+A?giU5O0Tf}c3O!gvoLofGZFN#&P%72 zw90zT>^6at!bUpxobAo5MIm}iAfsSenYNyuM?hoQTi$qLW?8c|n*x#tp$?gDX9rYQt|?U3lNZqK}t2yQk#H>t*-KeegrXP-ejSOJ^5bIfY{@qm-E+ zyf|Q&o}f25=r!XCpZA1IH+5_L$Od6KzAL^=#{l>pVqVHXWf=u7y^G2C>B;5^>i-1| zigQN&KBqY_=syo~+#bNtYTwa=wnC%u7(c_q1^~`^$C+dI*lO+IWl_rI!kGD*dfG z+m9Z=MEQu{YdVe&BmT^EBK#JR>Ex+9CL2+$L69=H5U#oI9q(=m43lF)~c$s~IQT`FhF zOkb>V^4$WjHR5AIE%?sh5OgPbi7|PKQ244z?($SqR3qNS?0*A zw;!4Az}f53P+iU-z$KwVJaH8`{rh9rQsz1MF`yg#reej}VdwT*eapW+TK}PB;T2jz z8u)v=`2YX)iU;8rB>ZPT`TtwszPsRnfWM{5FAX7Hp9D7^z>i4qpPg_(KzRRX{rA=6 zM;TwmPxCL?e>F(~0j1&q0TKLPh3|Wlp9R{#Wat7&Q2&tq`;mxV039Tk@L$|m0O?O&-+bP*G&EU*Qd`+ z^_-eM-IEvwni~d+qa+IsfdK*n0s~@alBycB4p`Jf1_9wO01Bg!BypDm>hs@dSkIbf zPWk{a7r2#M9kowGwFPG?32>;dCTdSs?e&7$JO+`pg57P4D0_c8i?N{}M@TE=*k;bY zS#HpDIK)}0cBax-bb2=ZIY2U082dPzg1sdEJ#f*)5xR`5cMYm;FpG5D?-juOY#3b6 zCfJruptMNXHhV!f84i69@MQ7}y#{zw;?zSu{^;LS3%R;jM;>_XZ{{K3=@+i#)Ii_Z zEc7FMW~>YGeBgGjKIzMCFZ^@1DsGEpUY0EheQ*d%j zAZ&Vv@#K;a>;nXxXt=`O*+#$>G?l zn||Rq-TF4>0owZ!1gqnsWyPvsk`Ejdj{`rXzx53-wQ@t)=m3XdC}>q|(tOr)HhGz5%1oES6fB$UW!3rix>G z!Dp^mPhWhJ0y@X2V^&s!Xdkj&vW|NLf7DLUC(=57jEA^1%Z5^rwBF62T%0O0shnb3 zWS+T&n!S?su3Npwo#RvVtgdmT*3`!`60ra#A?>O9bN;~)Fo1A2##&;vEBIabCBDa_ z!>~Gj-Cmah!Q@eZ8x#o|IU&LHIJPFu^yMUBg{d`4*mrHlssD&G*<&}ZEJjrSZO8K? zk;mkNdJx_6=PgEz-VV`6h3hlx=XCCSlG?SRp7k=|zAgaMC1Q99ce&ff8coT;9WySe zK0&-YaX9}1K)yP-3Fas#e9K&~Cu>e58ALEU(Fnm)%dgW+r9KS;XxrKfDf1A#}seG zP`cZN)B6u?Q@*hsR~;=VfA2W65H6kSr#?LWy>_2vz z*7y-Y%U{@YSbt`BUIYZxAKRTL1MBzg&VzyV+fM1(ieJEn-MNYD9qOZ*tg@nD zQC@(D+M5FH55ey2@_;S{`tF9UovQkTY!dvx*S&v3^gn?aXP!HcInoGWJ;#z~RJHz0 z%VfQhX$FUZXjqX$SdPK9vP%tPhUa6l-#QBFnhGiOC(~+X2<~L)y#e^!YjlNZ-LW$4 zr!tJd;PhV_9_{9)gJ>8;SgY6>QMiraL)Vkq#rGD7xpzr;^Z4oOAg6aU*yY+PCLL8d zj5L-~6U!7wXUQ%R$u5$~E~CjVE+)y{Be1-@F2d^f(IJ4N`qh38Y+#~nHeJpuQ zQ1Q2ZEHpGc_mkR&GcPVJ>1^FON5Ut`bdaxD7o_|7qcx`KOX>8VORyf_dMP+|+tEFG zmChgJ6%zRNBAN?oZDVZbC+V-s$UX?Bu>jnVbYh<%EakRB$bhk=5dCk8C^=nx!cKqh zAo^*h&><{YzJ#$aLE{dB^2p4d)>cJ3W&#i6A&!7&Jpkl`ToUOn@!>~M8^LU`ABq$* z#u(WWaOisIA1BVm+$Ay*^DGaK&HCO)T#C0YqApT^G->-NK15=2m_c#9m9*gnv|yRo z>gqPZm4Ky{S{Z)D)TK8%?w{!(__#(9cW12l0$;wKVqI7ljQZc5VmC?cJkmTS;LK8y_68uXFMumx z#d+DBe0&1=UK-N+Q08*1#PLck!lX}qv9z{Fa8%&5U?M^d*N^fYSWJL1v#kmv4(Q*k zE9Um1mbKThxV<%)BZ>xwfs+-9wmd=diiHK{v@3%_RD_R`qDWE8d%7%1@KA3tq6#Tz zT^)wTxhSVt?|cK|~;CWJxDyh9tdn{$6Ffk7h&ZxYi&9KOe*kw|gyAqCASWnCVw z#eOwt{HH++aFKOFcrTt26a!GYu7EU74ITD<6CN5WOj%}kSJ>%~=vU8S|Jm~#u1sSt zL69;MoM#Js0rj+p%boa_7we3HMeLjB=1$b2W9Uvz*kjDDRN+$L+TtGzE|at}DQW5& z%0*2OY0+qKnPvfauGQeuq+wIDhBfXF6(#WPS+(wCw1=>-07Xez{F6RIMUz$OBKW&< zd0bDd2%>(kLpo`fv135P@Hi_!qPV z^99k20QzP5X;X*EEycdHs(-ZM*)M4#?F$q6lKdhG_z)MEgP`py#Y^_+iz2P}svt(2 zD$0Et%EA~X*{Wc2Ftllm>N*(*b!Df+UHCXIeoWphs>s^$2;h0ln|oPt@1@LY#D|1` zxZ+;nD&(FtrXJ)PpG-YYgW27P_5u7_T(-!0O21{t5v~-EK=sZh&Zha0izmksOaiAz z6i$>)LmG=-?J7LQDA&h$_}1+%xQRG8UtSdibk9D15wuuVRh}Q6Wk$;d_Od`ug6s7~ zGnimmNpvWyWS;Yhq;% z70i$gIXPufDELW6acCRv;Hq6k?IYYGyKDd-i!ieUgj&|I2TR>JUsW+J#<=$(=||6f)m znWQboZ(;;=w3)GC`XG+kzU;ES^HGgYCGwg-2C;_XUAw$Z~}KSp7ckp=IaA-%GfO#ahbZUbreYl zRf!J8&8KW_2rEc@CjV~n}_1=HZlwB zl>_ORc-H{mhw_U5PU-d_;VA?&+c}&R|!!Ebe}BFkrx)U}M0eB*s{@ zIH}4=#XI~y7`GO6>=N@Jd}Al#X6=m6_*5liheSMCPhUk zmc5dPbh2JjNrO_8@H(Zmi+HjO;XG7nI)${j`5pg1T2wDtkTl-Ub^MZN9|+=o7yw@3-tFz#%>$HmI##kvB2bH?`k(TB@j%lIcz-P#wK=_$lDV3Q~#cboFV>GzCAzG1fEX7$JUp5if}J)q6e z!*SMqjn`twrkQDsixYJd!IumCu9-;oT+Ai}v+14dnzZg){5sb+FSd*A&Y8mSSki}myITe+7*C?f8;n{`|Y z?pw%qZ&eyDdK>@uj9%ZpgMI)&DOCVYV3UHTO(r5X!CrrH;P$=HV(GKzg>$nzw>$R} z^xr1Ez;fhR*ncL!(K1)$kU%~Zb1=$VU@^)k0Y6#*Qj^C`|0DOk_2XYF%Vsc&O`UM| z1Z6y3Y+w&+FaTTJyIM^Ftfh_JDBbnay1|~LGbY~M@gf%)WvqLJ+`unD2 zI~gMrnD%ZF`WtG_kyW*s$+vdW>8D!jv$YFP-Ju}83$Xc!$WrhWF=oIV>X_-}B_@aA zus>1kn2;@OS@cnAX7U7OTC?gx8Ht^2K2Zywk{rYmInq5J5BjhU?xNI-4Vm+%9qAlHN8z z^X>Kz&>5W>jCcW9jD7)C4k7>kG5}1$FaQkDB@pqdHF*5Mt3PQT^r4Lxc;eB4cFkKw zH;De=7(nYWbmq8X9am!`aF(p~iy#_ju|u3CbxMA$1X~EvV(CWEiRD4BmiSiR9(9HE z0>fGML#S{%MZ*4;##MFy6mQG6+p}_20VihHEdc#jNCBx3h}Xpb8VB(I%6<$I;5)&? z1N|`R0KRtFnwZZgLr=GV?%j#FtQR}3Iqh55q!*if-*B_vk~S2w?cj;Eu{VTP+^yF& z@=Tf6j7;f53jc!QiS8pODpAgbKP?D_^ipLcQlW@gp_zz?fc?b{BW0iAKM8PtH}}wP zTJOF8-s{`rdi|xe_HbRee0*R!-*4Sb+^s_c(3VU8pvSSroTQ<(55vcJGUgfbCXQ{p zUhSXVow8x0Rn#Afk##pDlid56xSzf+ocYUo>T@j|xU_rheb$6@za-^E(p|U^dROKP zzui$mi4VeSem>nT?^<}x{$&$mPj@J**6Vg7Gi+vkJ!NhS?aiyvDxaZe%;)GwDb!{K zV9)03blK7%IPA@GSga9-5uwsAvuaeNO{Op2qyEMOZ5WRYmh8lT^#^fA{1O%frW{N5$q5TOKdPd+Hj-652=IMq&3+`u^wKy zg%lGn$&C(aXVia!jVzo}kXHqxfwTJwFhRjKmSvuH`QmE($WCB?xI+Hyljqyg!z#zUh*h)R6INSLKH(F$d!5=Y?NQ~7K0Q#|5?h56TVPl&6bq}%Y=K{|9=bwDmWUG6d}>)#C%+t+C(eK#botu=V0kr% zDM}7;p|+V???AUQ!J{I*M)|g;ON+6>vtQS#PV@3xhCe%DfT)Js9)7J;12rppP@p?} zaeDKvLZ>B@anx8%OqZ%!9_Q(2s?tE_$esW<$&^N3yBY(VAR7#{cvp3D265nds1-Uk zoD_2ZW6=)Hw^@?dC@))!wCQJ2fXdK@H(dU}jXfy69vpN(gEOsXiPoV>6Yhi}s!0G1(puQ-Tq3q|f z-}-=mv}nh2KCd!pX0wG}wp<#OskzLwM0?6~b|O0IrjqzNw6}2$s*e@~oK{=u8LUhZ zZpi6Vlo1en^INc-bSZyt02#53r4!lclGyR8q8ZFY5rDt7jI)qTWLWQKH<=P<(1>5L z%FoIq)E`ko^+_{@z$sjF!0|$h_ZFwR{%Bzhk$(Nzt4NDqEQPaGle1qu`*MBIGIMs> zy9jfPWPGLL6dlC3H6>|@JqnHF8LV^?I9IaBu{eq>@&wd#yIYLUv=OI% z?czXMWdJuNpKG&^$2PJjtfIL`4f9b1r9{NXjk1KKpOel5``Hmm4r-5`w}yH<>?DR> zHy+!OPRD6TLKKl7OZe^qSfaeb3?)9PmNuKy@>NS5hR@8#2n62q+6V^T$rz5Ja-i=j z0z#b)K5d`(rV=P4U~NQ=93&sbfR&cCP4OP*JH#`Pg-1AuSc{GMMtoCh??!RqW;{v; zMS#)|p?u)Rx&xa+Rh63$A>UftOD!O+YKfHNl-aMwd{02YD$$#|f(j#i7XPIRF9Bgt z)GlcylnIAyOkPvk^8AJMt*Fo@rdq%Yr*1JfVWinSl+&kVPz(xG{bk%#dRUWkUAlFs}Ih zCWF-HTxw|7xr^Q0X(Ej=4a9F6B@dxz{-?9o07{aZX-`)5N%6i7)d(yj?=XjEG9FcDHmKJx zxRyfII0^bS4s+VAgqAxqOEf$%kVEXShB~(RROx)zc9$JQ^iuD7xRBKhiA;7nsy_+1 z9ZBmDre-trKFlqv?X z#+bt+oU?~k6N+*F5*AIN)dJb!5+y*Z=Rtk{8}_*jgi9>b#N#YJc-U~pU`B$F0KBez ze!ds3^$DiG{YJX^6C&ZElKUx;xQ57BrN-3t8j@Zi=YMl{=I{;-8|3jk0FRNV*$NOFttbjfp9-T-^Q*(D!$hMHoW2uG7k z4bDab!(Rm!-XmTDCSDaop zZv$P7fCH|Q^~xLFS#$-Z97`BS)t89`7{_wIJo2uPmvq?ck{3aWu9*FIK>Ce?50;=O z^4>LiZ@SB0q&YwuR;hit#d3V{vVSno0)M|W)f-!}t|wevh&XN#DP zHEDC#i_HgS?a?{(t3cq2a4|JlZvVi1f=vz@tjH2EBZRG`i(+muX(@ z8iQ|>?iXh5MY;5=Ex;pMfMIqs6rqkYBwZL<5stQ|g={<#=2t(?8B;k(8q=~P(x9R zDHWk1IbAAzZJ#pTm=G8(B(b({4pfdGFejJikKfQ6S=+x%0ju7w6JRvUVQ_F+FTXGG z0DioAFDbbQNfv^OBc1V8%#|KeZ*{py2?lMbBH2JX+e`kB|t%;3TfZCec^ynLMp2bvv7rVE7AuPw_$fMq|SCM8e__5 zxC7$lpvX2vSWY1M`IiGzQ2Drrrp4<6)2wr{66mMyIa4>{{4J(OqMb+>kG z-5OB`I4EcS#xS-6WzzV=OOFtqFut_XrxbCc0q1BK!G*LE&=oIl=cZz|RVBc)(jRrc z%v5{5J9LSY2>qSgnKQ(tOLjoI>sa37Heql1S}9_;O?mLH14&`4T%I)(2Ej8j_InW5 zNbxTS=`M+Fueffx{YO?;#AzIZ?~Yex(lqiSfb9i&wnFyJL-}9q(y6l^ndfD=n&J(j zSRK~Ml@gv4DqPc{J}Zow|IpJ8nU^hNG+k^vPH`kOU9yUvG|A3ghKlYnEQKbq6Mn5? zR8t>!QmrJ?&EU|7)vI$QQy~pFa6+c911k6X?Uai!5M2+8%ERnMLAw)ox$C8=m@Zu2 zbK;)_8O*+Z_hrIHRE`~}KZw=kkV8_|?*;#D0;M{O((ml|wT3tf0u00?1#XZa z0dC~8@a#w~>ooAjfDk6?Br{X8jBqtmi;DE}UuhSn8M#^cSVie+8qnmZ@mr`{D4$br znvHM#BK&;rv#&YG0)^;h&Ub!oBRo57--rdwO<-;;%uI~>>;+($!ERe5P-3Vz!Me29 zNj7kBgM-`%)=)tH%_TThgxW-rLNE{zF`zRk3qa>Bd!!|>r>PI>;*Y1Kue5T>nhB1( z6$L1LCf^-JQc=pz{j6c_wIjzn$~--rfcA(@f;bQ((`C`17$S2X%i1fC!YaX2{+Q1u zA`hYBbEP7AF7hR1X*I=mb?;9%QRKr7*(yddk(uH5jRZ+8%}A5OLDUw>>5ah$mMQG> zB*47@fsrBPPsU5uyWg@Kf@c2DZJYapJxQ(eA-_xp zY8yet!HnH4Li*_oAyM8XIIQF!QTO-;ltM1Yx0M1yFQ#%pL$7Kj1LM#G@g>6pQ`>I% z0+%EEeWaOQ!MZKv(6MXhDtFU^zVlqz^&Nug|B*!cC}( zP>g4Vz-Kb&8LBu>=zs9GTcXYhW4Bv&TA1|lKV?W!J7jw`jNMM#qC&FY--A3F#JEaS zMI;u={{XEM;;BP)4HHkX^l)MZmw@%6gV$$+gW!<7F9Jg^J)T_jMJh06NUM&;dIHdU zlUCg8FWqq-e8aV?UzXs=6VPX4n}1b99SUDwzw#^&Cl~oMHrl|MkRS3Ki8hJl608#` z!dIMUZc)&%yGqC%1Iw`)$H_*1bxM1(%Mx;L#0%A$z3~*7aX)5cYgAT3cqy_NG2E#mq1}Z-}l+=e= zB8{=#>fQ}$RylNT5K63m4iY#9_FTQp!Q=`e&2L1*Aw^6G)ez@BUl%g00q*-0tu1}S6#2n zdAICu>)VAkT&oBo=Ho8uB8s%04NOnB;qt}RgUliW!(t|^*2r)A4|4zw7iikgVgBroRVwVV{mJ`1Q z_}-@M;^u`{?xhP0lY%6Q1}weG2Q~~Bb5LjZh=8L`D8OFAc44ulcd4yARuU|N{;2Z1 zD!@cUbpH*WhZJb9pmUgurZoACjDdw9Wb@~B#sNaz;-x!^^Z=2lFo0lsaZMU;s}hDO z3$vwW;&SoSVmB9Ok1SZx~VCxFm3*&Pc0VK~HTNT;V!xoD@Hgnu_pX&h+Of#KsE0Gvui zf|tKFJP5fAX1qPodZMNq?d03&9<%N!H{ruVKtQo~J&&G|iYs({$Sos?mTsFmnzA!V zkCHBO00swhi%@<0EWcGysZPjk9SnPVq4tvSyTD3sE|q>+%h7a&iF?8lugZjQGn*dc zTrg^dFzK~W?rjDgIP7U^;8s8_0N?rpv%qc`XAyZ6%O*IN^f9T9?MIYO!H}h^~FUmiJKyWxV64@H6LTlMSM5cyAzQ}Q#H`CQ9rhS(phFl}t_ z8MM_GZnCsL4_6p;8|cl@ZMkK7ify2rgqjvT^}?qpB`fDLzmvuu>vm!kq}f{2;@j|! zVABRnv$N!hy*(|eRaeUN1CRsf-V|XmcJUk!`skqs-!z?Phe0m}Hx+_&DKSXEYRcmc z7%^2jo8xAr&V+bgO^~$;<}4Js+JeyZ0a#CW*u+hvFZjhkBC3iCNZZ{8AHpL&Fh=Nf zdWpz;6YaewL4Own^aII6WQeDGZ@Q+uYs3&L2bDLH>~c`tX;-KiKt57$iU4jAE|;Cl z7<~o4eKG>qhN>Ea?`ON>v2Wa1b+cMV*!F~U;!J1TbCTz{yLRR9G?;9kbmY=UqM@76 zO@ZVwLbdGCy*|jvnlg5c9w0NgqRW>`6cBKCqepP`(WqVQDA_Urchu znz_KjSkn@!FG!@mN7#&a`wzWJyv+urgs?XNsntu(FXCC)E#&94|PMJtD>wo@QH z!z*qJl90*wO|-JI1yITw-6$^weoGppD>C-X4B;F2zTz9E02p6+TE>8MDnXc)XPOtw zbvDextjE(1&NurvJ*gRP~AP(o(wdrWJ-Z{p#W?9CO)sJ@Jy>L54KFpDgdB5M0JSVW58Tctcpb?r&zr~W?F&kMOXKrlIW;Q7JD5087xN_+FNO4E=9vjWEiQc zgDAMTl4-?$Du@l^_$u^vtltn&JcsrVPhVweBp<1fGLS?`L$ht+?puOpCK@KXibUHQ zxZLzTK-8qtO^j_hvrQ`leLF=~bgq6o$%uu*58h@;iwLvx;im`JZzed&L!CDb<|9n5)n@|>^=4|5UU9qUK-sCu%$hh7`jNg z5R8~fs!-Y&MCZKUmW~qq5~;G+b#Jd@0`m8Dw)2f}XU(=xSOPYLWU|R`8ic4b7IZ?n zfW+SV#FXR&cEAF>$X6y+w190Q$5q>UD*1QGyr3Qhb4^J+Kegyeh*)lWa^%g-&-y>^ z<@u;%J!v3#xT^lLIc82PBzA=@#ij8tOAGS~RHdFu&=VrBYbG7WlyivvEIO6j9wPa( z7tGSuYK%}?g$C=T1-6*V1TJl_E@b`OfJn-$nyO*a@}#MP&0h*XSMbqQ=6Mr>=!msk zWT;y-uv;0zf;YBtC17zzN5}P&0x#_ zs)6&v1g}V#NboOty!q8gDnWzW#hNT+6uSFHWKrIpDe@CqZ#zbX3OFhGZB(xu7vMj-4H*Exj{7SBzg+9n?r!dZsF`Vz*Z*aYv`*nHjVh#>K*jNECL0y_7k6tj`1S?8Y z#2hFX@5F$eH0adj=$?$#^AKOGW1`lqEts&FX4~;J~jZ;>!A!2^4PzQnrqX zE>4TJdp|M+imoVxb(7x6j+4xj8wN>V5KxKUmUf!Wxas?cYK+obb~~9PNWj$Rbh)iK zZ3xm7&J-c#t0q|9wwJGFMgKE;KxAt7u6Dl@bWa@$s+5M3#x1h<0@sl;e$bGV#{H8S z{6`C}p}|oy+mm?WwSMP`U8Euljf9F_-D;G5AUpf*# z6V$IB+-X=GurlL`RZW5F2RNdmbeN@)zHxcYHnPsb{HXYZ@)z0HQoRoJHQsRny`<_6 z9b_fGeM~v1K;?d^oKkhA5wiY#(fKghTaxejWlyaeiE%N)bbrArj))P(-UA2xWX%$N zBw*YxzxIJmu2}O#GCy)?5iF;?vrxmDq6*zsaX;2<003%tYR!T$0BqoCQj8!6qcDp~ zI`;L|J{mY32KaT4sblzAa-twg{vRJYinn1)bT7pQpj;UK4bJKgN+=Uk4EToqER2i0 z?j$zS_K9%cWs5y|2Y;tXzdHg*YgMvi7{IG6pH$O-Dq-7KU5k=IL^uFWPdSEN?lsXWJEPjn_JtACLA7-EFmY83Q% zGXpos{MOjlGGwp5cQ}gSWAEqoc(pMfyh)jVw?yqsBF)n5sjSVH{nY9Z5mas?uZ_Y!H`z0rm8RmGcgjB)v!S|ECvQltU06??4ZUF4H;>3lY*Pq@v z+&8U7hu0b5V#f%C!}6?J4O?sCyKkZ7Jkdn#@(&Ug&aMR^niJR#uCeY>3AR!vNpD@m zJm~wKQ~w$n8iwKCk5qQxP`@>Vfjan1LI7S-wMSVh+>PtaIRAmo5}gjgZ5)pw zQUz_@vyf@0A8&qWJ<;1~=MtYuy98$nC)#JFJRg3w3_y@4-Dh_?`QD_6tK{LgH!Nuo z9^+dQ1C41a;|8Cv%J?gNh_-M@Heir^NYq=x?&OJEe;Kfbx&+UXmP`{!J| zhrAfM6gSDQe$C6+B}6X}4v=+62p68<UJ(#Rt8&VI zQK$@ssbX2dn`#t=l61uW?4gd11~{E6f-2Kt8`$MK5pUIa>Y}wV^|sF8FFqJ50UUm3 zUSV;o&&UHUeDs9+jE>n8k^B2`bNdM)%2 zFf*{AWK>}&K7zqBV{>RzVInp9Im~{T9x?F1szsl&f5AIuX^m|jEfHy|&Ts$h9mtj7as*pc(i;7GO`>pkeDMOyx{|FQG1xNs$2RT{2 zo@zmK0dB=}ir2y1KkI<|F_CFUitNI}!e5vInBYDUQP+aeQ|`g8-p|o4DvjrkCnYWu z&c3%362%L~8@Fiho{zxPHWX`=Q|TdjTq>_TwyKQ~yBekppOg$rt((_u5THK`3{Wcc zD<$4{xo7q1k`!QBE47Hi0Z4_mQx_}|?)kOr@KC)rIG#7_^0qjTW>QI$Z#IyP8>x_y zorjtHD9|eHTy-3p<5@iRRW9J(II+23#@8)Y_zrjJ9HQd)Ed=8K@_RRt-%s&|khAh) zW!<4MX83SQkY@3+QZSCpEH)VjwODer0tqb@v>mauWPS&P8RIG+QYcHFE1(a+k!2W(-U3cEoAX^q_()HUi zV!WGi>7_`a&gii4X-%Jz_iV#8=+Sg{e}ezrC368|y4m9@47HzW7QGrsRFOg;A?-(t z!NYbq_q$F5xF{HhhuO_oPfNwYAvN1pZGmifhKhG1`BlR(kRTKX>_#MN;MBOdQhNz+ zbw+lBzT=e}1ta|$l`=lCd109mwmgBZ7mN(q#(#oNZzm%%wSgB zcG{@0h|6p-!U74w36SC`kL6nOn^skP^s;K>Wlo)G+KGBvKXUINirO5=2fafF;Xy5TbfQUAzoT9;PFT%cjfVzgV_O= z-|)0lM(*n^tbi-!N!_=8*ihM}{4qj-3T9RAyxl$K9!(Lt{yn$;FI36PkMMP}S;i#h zfov%05TSr5kYf;>mAXIN>`+FhBYmv&_k@knBAy3B$@Qh!p*(F{XC06N&mEWin1&@7 zMNncp3M zJGO+Cq%0%AdT~YrU;?S7-uYs0@vDN$OiytzcqNItxLl_t%II$Gt+{73-!ya z`AOGl?88f8R3q4gD}9NcL0I#;Bq)8UVh^QI-YpLX^v$J8_yosYPpu5fA@Qv_|$%1~xkN@uOyG$0{?prhvI*F2K|>+OVWQpCPq&)i~sBHKRI-JG!PK0uR`!|u80EXh3Niu z%b!B{U}v0PCJ2Ds!g$30U!48VX8+Mw5YSneh4||wQq7)O^rrt3d%p_FzcH)8eqpA6 zF%(`z9DHB(7GKYt{NEU1AhHN6@qfRAU(D>+Q-%It3`k%P&`1Oi>p}no1O-H#T}{>4 Qo<~uEot@51N}BEe0S?j|$^ZZW diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.xci b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.xci index f346f928..7dd4ad8c 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.xci +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.xci @@ -58,9 +58,9 @@ - + diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.xml b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.xml index 6e8837f2..99a75ef1 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.xml +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0.xml @@ -214,7 +214,7 @@ GENtimestamp - Tue Dec 21 12:20:01 UTC 2021 + Tue Dec 28 14:42:45 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_sim_netlist.v index eaf632b1..b58c4c66 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:24 2021 +// Date : Tue Dec 28 14:41:23 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim -rename_top audio_sigma_delta_dac_1_0 -prefix // audio_sigma_delta_dac_1_0_ audio_sigma_delta_dac_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_sim_netlist.vhdl index 30b1da1f..81380573 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:24 2021 +-- Date : Tue Dec 28 14:41:23 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top audio_sigma_delta_dac_1_0 -prefix -- audio_sigma_delta_dac_1_0_ audio_sigma_delta_dac_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_stub.v b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_stub.v index b7e35d13..2eeaf13a 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:24 2021 +// Date : Tue Dec 28 14:41:23 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub -rename_top audio_sigma_delta_dac_1_0 -prefix // audio_sigma_delta_dac_1_0_ audio_sigma_delta_dac_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_stub.vhdl index 67720604..fc148f73 100644 --- a/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_sigma_delta_dac_1_0/audio_sigma_delta_dac_1_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:24 2021 +-- Date : Tue Dec 28 14:41:23 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top audio_sigma_delta_dac_1_0 -prefix -- audio_sigma_delta_dac_1_0_ audio_sigma_delta_dac_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.dcp b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.dcp index 247ef7d3337b496c27f18007aace3b3b6c9e3158..2f4e7429993b14b1b7038ff7cc0c894c25aa48be 100644 GIT binary patch delta 9448 zcmZ8{18`np)94%9Nn_i#)!4ReJ8!JUw$Zq;?WD17G&UODw%I+hyr4iuKdBn4eg+M1HK;TtUl-BYX`FAu41EsOsVN{_AdL^c3IqJMeV6p=%OGv zLWy9T)#?>3tEW;sN`FYBJdPWWlkX=GM9xRd?{P$k7CKVdT{Yd4FW&^9paCKn16$h z_(_@eSdrmD=EUl3p)=}~aM;%8i@DGmU3B874rpGu3)j8kcKld*)AsHd zY=)4m*UGn5JnRAd@R?UXNoggt5pz|98{E*fxS;P*Jyf>UfGold<_7jg?O z>Tk~;Pr*scYW)V`?yKn4Lg7L<^JwA{6DV*4at@H{wrpf9Mr{3+wXxttUcEek%jNHv zFP_z;fw|J6-zS7mgPMQMzb7kv__AkvCA^Z?;JQi zHjb3rnp~PL>Si0JtQyND@JLV%1DQp`Q(SW#Osm)w8BV!T$) z<|isvgc=dnVt$4zGS@&9%2I)jsobQBtts@Cfk=gsXr78_{%1T&NBd1rWpG-rcyM=t zuPCM;)lQ*4R;Ex%n zRqc$3;_629!sv;Gms)FwMCUCsRxCgo*x!b=;zb-z&u)rqc~#Z%UQqrJw4Q+k>GzUT1wgtELpt<|haor*uQVV%69eqmM)@ zo%oWTE7$R<`tlqP#7#i6CV^iN>`l5@xW-nqaSWzlnqw`3g(2hW1ej*o*-JOYy3{X= z;*M;9RwxRn?ilU)Y7U%+!k7y^V3fmAt#~nwtr>W*HO5eZ=vnI#WkiWluj3@}dSOA+7J`Pc#)|nf8 z!A#j|NEojrs`CO`Qdkq63kFc@hd}zVgwny{#T&d*Tl$NN={C=!NDk_RAfv#96xASN zZd>JSlS@Q9)PFH#+dm9+S0NMI(Z$ARh#@A{$3G%5)m%+nCXPdp9fKHa8Rw?hirpGT zoVG^ zH#t{XV1^4%#!|r`cgYDn3P-T0*vfClY?ASZJM(Wj7O*^DO9C)HV9RO?9q|vpe^4ZJtksYm? z3FRh}j1(>&x2_-x|gRb@@cW|8KYhJ_AD3KaunDGm?d{Z zKqW8AkY#QFfes&1xCYH$Sk@rak(t2QXe)fL@(tTYDZ7`K9Lps=*bmi4cupy}pA*`9 zrKcr;)Tq#13i3cNZmErAU0B0%NlbfG#Pl?$LAgFVJ zm%~;WoXG3r+%qFE!K8ea88hzrCibB;54Ko>Q;kk%*)QwAaUb-5<+(b%R>b}$1Tq?( znQnA+E6Jf*wU6U@+EMM>_^tFL>yukCA^S+-7j&~2_AoOM#ysc*rxoXlhW z2}vC45*2c!gtwAE-e+&|Dhx5Kya#9tV2wr-BU+xJd@Aw9(?Aj4B#*h^YGg6fS>e6u zcxMUw*fXY>5L%wuqha&f)o>dW4VlP)?m@Ej|42TeJ*h8oWL-ePuVQv7boaSqAYgz} zfpX(`^N5Ipf5%+VFu`9r6!E9LxzdO=>)D?(Zkyp)%E{JIOne)&)=t9}3=_Pos|^1H z{8y+?dV>jt{+rJg$8C2eMZ!`5*Tt}gGp1bY<;H)2OHcNu2f}$9O(gnChk?f8mk|pT zyU(S9k}l0H=~tk2(2g8}c!Jt{!4U*J?Zi^jtie<4tyD;P^a=uGhwTH;frBP~%|V@YiO(wXUwr=Q5?es9d0AAydvo7ZzZ`Pl6z> znVz?1?lgaS`AN!w)cEe-Wr7gf9)1B~RvZrthYJ6F1FLY>@QXHCqm9Oqg0&*`)kUZoY z?RcgrIpWww^`0JTUl>J#6{Ww$W?2rPf^qS<~f-L7Co!Zd8?0k7^BRMsOe(XTXV#tXxbsv97U*$ z*c@@b7ZiL|6dh{=oY)DO)S?QEk&Q=lUn*L$6F(++Hs6~jycik|p$LjtSG5%2U*7v# z>c3|XnVNrq)1*f3L4D^c*Kbe|9auRLpPC@REufn1)7usjM}f(OgfHi27!x`+fLpDp zvgzI@l1MOo*)&I*W9ma?vUkf8PcFY2h}pkNyhep_6L(_)RAd8YQPlkWfaALz0=-OK zv-?H!R)t&&#{x=zzp1B!lJe8!V-$yGwKq&lzXyRBUuKz>+zhO+?*4Ih)K{uaL3g6G zhSr?r|mBU02&( zfLTQ)WIs1ML*sP@R>`LXJlB{$`N-vOyPv0ui2-uS<+~0w3=-B{@*=i}mTck9PWkw} ztMno0FM(vrgHKIhY4Bk3WNr)KfR^r$0pUlEHJsrVV9UFq_kkc>J#a%vCvrZveZ6nz z$K+swgUhLs0V<|NlqcNgxr6|h0`q;x)6CMZbd|jbU9#_JJvfE9Ok~h+Y=Sn70gury zY*0Wl=fkZnB!41$6y+>_lZ6-Rw7A;rwim|uiSUpKU3+VdNPRkKooLyjZK!Jz!>xjmYg>v30BAiDNSQf}PW|spjitn%qpg51GYqjXzv+0fJ$fOQ_T6zMLTARcJvamZh;-_pmN@?dG! z`yy7Y57AsDqK#}E5%UD~Yh{S|qsi1J@`uuuwyYkEv(`_J;$sX=f?(0xRcRIwvy>@` zbF2EG>=5M_)|g9(v!_0igbAH-g1{IdNM^S6p((Dnv0djM@u1bCKF8^{k|#cK9A(6E zH6)(D>24VX!Rx_xQ2Z=i;@%Y~R$}=42K~0t3%Q$&f(ENeOl0sa z7}_oN*nS;+q*Uc14vdU-Nr%~Vx&rAs2`Ltyh50QrNIzx33%Iduem@ItMSWpZvR1rl zirE+2m4^Hkp+MTu3DvrQ*R3=4IN2|)!<$dpuU=1E9tIv~*rM|vH;B0#>GleY-HQ0K zxwYOvoqd@kYnx6^0nV))KGmk&ZdWgh-wR9nP2I{m)tT$-YA_y^yh)p5Q!Ytxad-mx zR%>AQ20mO;X=}ml@$46a4F!hnVW>QG2rZu8O#LFjiuv4jK3#14pl{yZqUvm)f5lJNNHz^f`^L=)Z`;4$36z9F1$s$C* zhu1e!^@V@OFnUC)_z6d|%o~=hl|=hJa3YvbmFMl$iT zPektlk0YAGZdn&&94Kn_ENff93&EfpNr6D=fo7+hykqgr>7Ryx{>~09QN9EMOrb6t zxZT`Bts-fbUW3|2)BF-d zcrQ2IvdJ`z>Wiv$qd(1O7w!r}P!tIp?ja6u4nq)^k!dVnDEuVUD${}LYn@x3vI|pZ zlGA&d9vrv{XPGx{IDE`1i(bGDFS;#X87=M(1bFRw6IZgSYw4TDjZdq*ch&W58IiLUvmI1LcU z^gE8OZdT-62uG&no1b5($?;%USV*5u!H0Cy`Y>dkz?SWGx>V+h;kWS0fVrgIp0+b= z(XttNh@{>J5ig~ku3XgtlJ(FQmWlng7|eGj_Bvz z%uG6h>9R)_!uGYZv|0nofghGdo1^_=QX>u5;^aA_y(*r)E{n3lKk<`abDSbng zb*nD2f?x*yVE4ugyA#lZOG_NqxrpnmLVB;#;HSlHSb=Jw3KIFkJBi>DXDq#}tU@5} zBt)R+UxmO|z6lLX^fjcX=GP9m5G&NVdFlr>sbN2dV(0g!kt$L?0c)k7nil;D^arC+ zaUp%>{4p0!5u7=9&X@}&4mrsx2+_oGua%=M346xK_|(xLu&?RA+HjB02RP7@*>U@Z z8|mY>cU!gHvv_Ajt}0uCbj#$?S|=`0C8md8G0Rqh1zh`m$?J{vOvVeMjm~Y`^>X@! zwH~-#@akO#Drk6r0F2rqT+$wvdr~Lx#s=qb~lS+#~ z?Gz!DlcV8=4kw2aG)lLg!}U`P%VVKS-#Y4bzHocMND0*#bF*~20M%8SEX=X=v`F2x zfXB=(AasRlEL5PK9bF7^&l+I2PFcHI)1I)S>vs#=IA81g0mQrqb16Dg3dh_%Wo6^HGwg~#_FV`9b0#^JJnjD(dDB0AhmZJ%e9GLwRao=$EIkA9Mg(bV=iyk2!phElF;+59YhHCT~GM0p%QUPCW z%68)%I_dRTf!AfgkJI4?eH{H)dWeYuhmXgP*JLR1v4Kip3QLh`9Fz0J!9sz8WAmt5 ze?I&gC7YR~v9DSgMJ5>gZiBdzMnq(eK(biZ6 z4O!)BQdNaTE(VZjEitU8lhQ|oNL+(d_eUZ+H+F#wkh~;bJ3mofl3ZyFI$5)zYP(CM zKG^F5Uy$pRmhd^)B%xWFm(E|Yc(-fW-(w;k=HufLfq3?~N1&^< zc#Rhtqnr~{(+OIRg`))65mWr+Y&KhnG=&I_4ynpqP@R3t0w#3Df zvg87xi{!gCjVipae|vv=eNm9>QLR1+DmzhqcVnAXI-aA`sg=TPRKwk}kV|D=a-~jk zJE4yM-7GJT87`Be+JV@!uFV;7#JieXrTIm3`l+GjY1h7@upao$?mqI6P-~n0sHU#R zU_sBsZ@RT(>q8*HBlJD4E_`=Sh(D06NF2+Tv=I>WX^Lp43?$K|z_R|q{|bFbIm)0* zD|GVV1HR%9>A2sC`~wv;m-0s@lbXV^UWB6Zn0s_i(Jti zHvR#XZwOvK9zSr(cS!N4I6TQYwj~i4YkR0A+*DA7i{}>@eAfhCcS{Py?7ETrkiF(e zP6O^buj;}8bAN*TTs!!whzDerMmTmrtfIW_z{V&l4GS-qCcp%o4>Pm?n>?t!$V@FT zvMzOgzy~qkh&Ht=TK%!gOPKDN;nXYZOajmS-m)k&WE+@7)sANkQMc>n{=E5pH)3Y% z?xt#T{}|a%yxangUx;3eI9Dm|)-1n%$z9KufQKr)NMc!M@yK|+Ixq_>qb}yv=BkAs zYIM_}j(>_qW!iVDOlfr2teW{AJ$G~H3M(h2YqRoqnhI%0{i56kgfAI~1W(i@NDX=T zg~^@M&K*#0h}ot*&>n=qLi~GOQ_jsMbZGbre2jgP$@St8AGG69!ZoFYNiqAr9ybx+ z+V{6zIvA1-D8Sd%Z?rM=oh~8-k=vP+0w!)-iwsPOxJ=Bk6zve=>H5tZ>J_f0~krO_25f@9+IF1vrpU9;=oc&|K!Jk`hirtf=Sl7cp zMi<%&9t5t4toO<_!v_URd_s?iG2Rx7D1O&ui{`P7#LR0w70x2-LeJ^y8f3Sucn`mT z3As;>Hbz13f~?Kq{Fkmg_eNO0MlV9v_+0d!!;~UsUYIkXDk_L|S{2bx4*<;VC`4-9 zZ(k{(CH#y!S3BdYK^WUg5V!}>GZyKNLl42vu!u&>ds*NL!fuL}7Q|@uYOoEC0+|+z zS~_4ND|IZjYQ3GkjJjlmQmQh?A>|VlTKF-cI-0w$10PXTU`zzOA%pH*07tKqc~N`e z(p%ottVR9pl`|H>KyiGO|1`)Z4R6KeBm)Ad+z{r^dXtJTW=4~I6C-NIf!ZN5_ccWN z-HRp!TD1_vnD(*_?zk|!JBzdYi!W=Ofb!y(gL0&lfF)m>%E6OmI!R0TF%tbsnZfX= zec~1W7L1}F@G~_nM@)KA!|5Hnb9n_qq-Izt-ImvVj6XC_T2(Z-w5pJalt^ajlNES? z8sn6d21dCzAgX%%tItckbM-WNALo1N*k2C~yC=_gp4fi7*@Y<~+&@kJ1pOJvI}`OL zmuZxHZxnrF4-UL#0{9Ue9>8Z#C)!QCZT_Ry;18LB zi*)l;yUD!n{gf($%I;Nh0OyfxgKF?%Ve#MrqMdVHx_-yeBR51LJqr42tyyMfZDz$m zIjms#!q<^);5$VKMft*DLYsm8`!Xs2g5U0eCG5L=siBHB{*mZp1wBt|w8wc7El)%s z!%>8nYnxcSmw?Zbybs&u#d^*a5Vc&m_4)i*23noqnVA3*^~3QC=xc4@#3SGbs=)bd zF|CcBI!QSXG6AMopC6b~-mW6rQt%J1NL#=Zk<`|7PGk0C+(yF^avY(>TNZR5fBq5n z@sz@(Y#NU6pDqmpO3h36lcLDfBCDo~sTHKJ7xq%=`lf}+94KzM&>hPjP)6U>N1BAg z@vYr(w{=C%kWR=jn%KH{Ltc|^46h34$98AyYe{N4;m3D^VQ@XR>2gAm)yzE5;veUk z@{~;J&?${uOY5scH)uh6crm}trByH7MeUgfRs`&-I0UP|PYFd}%vSYcGg4m7T^t2v zBJYPx)mQJA_7k9?ESGEqej82Q?dg@h<>~!uVggReZwB#q2lfHAXJwc6GN{j-@~3h4|9f1oB1gJ{AuVvFP;t0b0C?)= z;&zs7B67WkZ8#@4u&!LP)c$zPK7S@F=o=PlU?c=5*L5SrqAZSIf*G1U5-03){)_g- zCa0S&w+>DV%E?uDzToD%ZXa(`pNWzIv_0N#O(^y=fgo5}cfh>%)nep{tWt}c3@2X6 z2cK0??BJSzKS>y@`)0=+Xls#Q?NdJrq&pJ4RBy56i3OP<5U!(S7-{E}_BG)wbs4{P~Ov*E7USMLjFY9K!2Ox!zL)Xaymy*J|7P#qk4>`KX3g15<;!)vUYAhMA6Bh2EmmY7E~6)6 z#;xn`dQ0wX1o2TF-L`YT)_ts`5Q&63GmC91hlg01Vq33Y zC9LxM2z4h~CFwp0e=|$Hy!q7$?3`VSD z_)xhlL+vhRg=o5l_GL75yMPHs9jMvF5BVqA=hZOj6VU#p7sp>QKZ(Qox4R2D&(8S!&*G-ejup zXcz6!l~&T2njJ2R^#0TB_`Ne0e+B49mHX#lr{#nDh(w9|{H%9+VF}7W(Gt2^XEwtD zp;_KC^~yF#!-Ma&*AUTu#SE(JR@u&2>{4&1yex_7*o(rzH03S~1^DN|!PahiDQB;T zxslrlG2!dD#_!Xp716cNHH3SzXbQ4L?{GQgd*mf*At%ZpWBu7ZU zZAZK1z&y4hTR%NsG79(xco7ImXr;pMOzb+#ye$!T@W4_kQ?xSn5)3JB)zW$vJxf8Q zBM;u|wqh;V+~X(Rk>KxQtum^LKQ{B%k{;EOk{g6g69$1b6@K$Pp}JF};bThpBV|{^Yxj0oi=cAfT*ds32=DIn%vO7gOD15y#v{<+mv9aZXW* z44Sf7V?d5XX{HZnl!^M`LCEN2X_o09r8Jp_Z(<>c)_l@ahB%te15qUpofKx$FYEJF zE=j5WF>v+$SdkGIzn5IkHO>9N)#s%K9=dWJ*u=H0>Ye57(5ac#Mm@UbBP*q2IXjP4 zPos1w`S(`boz6j%_8!P6XokEmu;)`tn-Sh1w}5xZ6mlNcZ_D<k2;;0s8S5GF>_HWkue{-hf!T+ln1e<&hYa5_a9kUv$@|IX?B z?du3Y{7Fnv{#VXlSY7WsgeGv|IaOD05t~a1=635FGN;q`S1Pu zx2w9kd!N;-c2)1Lv$nttP7LrhRS*yfKp+q*$kjSsCuzsiWIhF-4k(xI zeY&E0QBxPfutQ7kqM6&=8(+P2L$N!e;z9)f`t_mViSPTM*+WPF%m>k1QQudU#}A~2 zNGfQW+|+{6=;)!c@PG}dQ0R0Wdke&tkD4{bx1Oa(BG^F5yc3hpg}dondBO-=IcaTE z{ivgrrb;3Y3s5P z;^IS}SoMlH9F6`eg*KKKF-2LBZDch~9fSF2Kg-)LXa6d>R{#zH&(d1T){B$Lnyg>2E4c4eeV`i%1t33k*`fU?N74Ltr)hWVDN~WQAy<+bVwBGQ#(#O z%@I2J>igz%$S>m{zxntT*c)mY9@ptbdFId%(FrJgV&mMnPbN0Qv+Q@A-20;J)y2_iA?gJ1^csk(4r&y5Sr3a83 zeqAd(>jKv|KY3$s`gN=ZiP)*lUnG!}rE>C(uNC1m$5i24c#QG#t7DD9vdW-#c@uAp{YTT9>~C;di+Gz%!k(gh=fh z0pitPY>u@Q>6y@@nhZdp@afe0hYbJO)|L=i!2Sm~dMUgRFx(4EYp||^FmWxf*LNZC z+BVayw{l_kfL5`Y`X}v((S-baie)Nrkl|J~isEBKgR~@hpl&v`+1w;&I8pmK*~FX| zbLHYQ8AabuNU_}NxX*4jXJI*}EgTMvqm`-fx35>L@5p%5rzXIA#4+HlM?QB!{#JxV zw9tiXM{AFh^xzRb5*0U1B%`~(>eAtT{H+~vRsRJhi$eSmXrrsF3t0zaFe2_?X;33t zkn9v2*H4H{!_8q|b)nF;ZvXDvSuu($M19C%B6En{ksrapd66^V1PR`tk>x~r#6TJu zuEfET&Lc7t3IHRey2~VBI=W<9@1@)1N6SRqCEXMnKT~Yekj4lzK70EXa+`NHu;C*n zaD5$W@v8a(CGIN>YOC8rbap-^ay+CB%Hd)Pl;yG)mq&X(l~lBO90E{w==bPPpp|c>lZ|F`zk#lH z$oJnVEq(S%8yk_W0;Gv(U%lVi`)}8O4D6QK&=guUqFyu!>k4^~f)dLj)5-jx%=8VZ z=DJEVNsy22o`0#J)8abhe55wgP?43Da9*Y{*d? zPKf~d_M8325hwR8ofSy0GyvH0pDP@7zvVcUV zZqQuiU?8k1y^X7Z)w9dnY^nmfIF!dx@dw0#(_ywTgwz6jMN->F;7Gdjl*$)HpZ{m= z1;hcqq~7%piDP8uxRmKL=-Pz!qDD2}#8a=ik4Z>1F0+Zjh0bKw^}+Tmj^rOQ!`y5E zxrxSC$usTfJ^k+U?wITP>Ph2BSaGBKC}4R|EGfu|FV`-BRWyD)^*aZrG!aH=p&$rR zD}5Fmw+fSY5^i=$Km9VQFL5^Rjhj0Bgj%WOC`0qg=?=8B(tFBLsVb>WM4iK6Z=|F^ zPZ?Q}6Qiiy=#5&u#FLRwkr7joW4j07$hHjP%=6;Tr&d#hg302_X=C@fZMln$FqtD& zv=}rr6b+1X5E}@<35MIg@z{=$oB%;PXGFD}dHX^_yrlX3G-J&2M zlLITlAu-2EZ-*afDH2Rd6@4S1ACJ-~%8{e-BHa3GqPg>-{G^Eio@)dH^y(Kd?_3%R ze=pjIpK*3+(qe_0KZ=tDdjbgXB78Kz^&rSG^TDGhu%XAy<|@!=38F;&Tz#J=&09D% z@xL%3g2Su(UM`2%vA;g`4Mxx^ePC68I z*0}ECZ!73}Vk@4~HD%&IMonPZlyE2xCua5s@eu%NrA|haQ6s*ITWEFqj&|*sxyebAcVpi#A}uTd0K~;lrV$`8HBs`V2s{* zyl^nAhmemh#P0j_n}iGqakId5rlxLJ3ibMP?W*a${qbA6>J#+ZuB!lglRC{X|U zlB2H23;P}6D+_cR4_~)+M3t%Oqw)MC+f~}DAwV&5_+n5Iv8#_A>e>Q4P8ujlHE1@w zfpa>;IQ5P&hT@7&3_myclMVvXChjS2Lg#iQR`n~J?7`zgNBlC$?U{O?ZP_^6a-Ppm z_u5tPV(K3gcW5catomja?N%V^LQMm67!o(PMGum=;XCFp=sTMfpJb7RV-6GRmH5 z1>taKlpw`3t|wB*Zr4SBx3aN~-K!S@>#a&A~+>wlN#okwy6WV;>43P0cFkUtv+ zT{~VNBdw*hoi8A5c5iRC+NN5~x>02S+?`eX!YX8l4#>K z23Bv9H*zfp5Q>lGkb=n1Bd5N+oP^g#m>;;A8zBKSXOiIOpxT^k79ssMRUylMfcWy( zBQh*jbFg+`WIMRv{XyST?QTMK!aaiQ$b9X<$Qy?_eh9hlpVviMFiiW{JstaI-tB;` z^vLSmoK>t*sWr-*sFn@hXfqA}0XL7bu%$5(P_2~$rk~tWJ9(bjuLN;?+E<<1+$t`c z=(0Gz;iPfB^=FsUV@DxPT!9D?*0Swv1dNkd+`eYQ){fbG#ajIrB_??+RZMAs z2rSZkhOp^9LHUI5hr1RZ=O6F6sRuIIJTJD?n$LOEDMyD1`XDbpuiWg`T7y_B^Ix`JlMd)uwPq^PF_jI7I@SWaF){8VX>kT&eUvtd*aW2tbBklC+D z*O;Q@4z1Y|G6fpVA(HQLRH`zh;&4m4*G?Jv1*X5lk$)K`AO!nX=vKJ#7r85onuTGw z{nnUU;r@i1H~9jQdF@RWg20JHJGEhs%6PdUU?GWLB#7yO6J+hnNMKm!&h zJ#80?DT>}TJW+Hjv;|kHRRoJe4g1pK(~L;UtCnfq(QU#V*D?}0-^8~V^E&{gLq$1e z^og+(slzg?+Y@73;=g%RizurmQYsDupq5N-fD2`+E6w1e6Ki?9XpE1thpqbUhq8H{ zouaBdZ%KN6>GDMUso6E}x7KgyL?g>g|ny<_P zu?Tv3KJ=!cpEp6z>$%g_s#xs{yw5?;*PV|yxujyYjw^v4+oK7ix4YXmEd#J=AgAw5 zCtvMyNzZi0^f@ds=VtTMxCjKL_0~CI??L(d&-GfRc9T`oi$s4aQj7MJOE|Sz_mY>D zd>`M+jam$&S#?ngv@!>9(IAiK*@cHG>rc>2%nLW$vb|+w>!R2h=9i2n``}2SAE!|V zZSs&f3X)?PG=;7M1>#}kQZ9!_;6&Lh6v6PYv!?!JMg7N5wl5U>3>o!^M~Y`6&@&jr zH_HJ%o{nagP=~zXo_Fm4o5wfHG4SJ+3j>RgKl^?PBO;Bo+!TeH#%@1?`lWZ_H+W`1{hMpArN^G;?%D#%WtJ zbFtvS*d@PL?QlNUTWW)>Zcl9o)_jLm_r%`kUyCEN|V$+jvQ=Z?J7av4!XJ3z77{@;New@wFvLR zafn}aE6&_>H*H@xb;kO_qn|qVCCegMIKv@;v{!1&0X}?=EWAYb1PC5Wo3%nR_rTT1 zahv%*!yw4mHHV#Ei})Gu5qIA+k6&n^YcNJ|upxmcL|BRg~8#u#KAEyuH zss2tR9o5Zig34`{a=ZUWT3l>glcrpjCifA;D3prh^q_2k;-SL-boU*hRd4_>d(nlk zWrRq4O}Wvm#JtrR+)6t5XanBwgohe-zJ9O1dYqriNOfRd^e(dY6WQ0SYpM!G#b9!nC)7Y)kd0t? zRE5MPSQhfst-YOEcYaGy_~R7=>nZB*UrDNQ-fuP^vTngH!FY#@<{OT%P zcTDYqv(Ug1W9g&O+Q|a11T*2uKEmiBmxg8pw*3O6HG3=?2)&<@oA)l=B9Z(KAIkQo z#}Anq?Ny2bU|2!56-wGAiq>#Y`z$RK&=zS%UVq}dG>TBxcxcCTz||p%h}Bflqj5R< zhTZR7Aa>)m*rG7Q`jEQ|ZtqBab;h#72I_`GyX?N1@jcMveTfk1LxpPxqY2_`so3Qr z%Mg8vw(6Ag_$`I>aiZSwAs1oXXJO7j7YoX;==b@AV5`cR=uCE2q6tyLO7Nl<#mZlj z6}cHN!Bot(O-NLsMq9+(qNQgaUi3$Dt{Iala1rr5_4DvyZVL(K^yPtje9K{1HRa18 zt4ve@?*tY~Ir4e7RMnVv@>u^ou|=Q0BAf_%-_wE0%lpxNl_%z53#;u1kKqD`C$B#& zJDj{9v-&1sO752jBtXJdV3jd)t!a?XyK)d;tzJt`8KVB|{x9Y;1Wx8D$1}{Qy>x+6 zz=a6<;a;E*){-AW{83{7{W9t9&+j&iWm;vpk9P*lyvnzzbZxHYln(?B7^V!WpBw31 zv)>$?tN1mub>FOJJO2116mz@K^`gPY9wQ%%*$rzwoigQ*mHQrRKoi6t`(EsGRdRKe zMq?G!NdGCsQ3ZKDNZ~eIGN)F)+0sva7qFF`oNtzPUUrtJX)%4ns_C5F`0)`v0&leM zq1Vf!m+JYUUjCr1_Hkg^@K6713XEpG6|1W-T7--4%c#3o*_*HHdKl>+o)(#i{qN4H zlHPt%TH5rP&$X{4gx0PLt5EJ_AG0^jX+dk&s}BYWP7}h$Gl%o`u1W804}J8-qb`tB0KPt1XMsg^?qaU}M}`ZqB_96r|YhX;Z9X(71q zq=3Jz{hHyl`zjaahmA$URHdB{D=MM?pBY7|~z0vBkdw zpYKl|Pm(fvD{~HG6@1Vh02o5_+w7GOIWE_G=q}u8OYV2lk!w_m6Ec z-K?iTyclL2f*t%(G?CS1!W2B}pC+N^^jku!Y^#dF+ikkE0-7%G8juz21lRH!eseN_ z#*&UhRKxO(O_ljrTwdW~zEen$ma*OwQv0|L_tj`E2W^(i$JxUVj3`3r1=3iWi2#*D zuQhm|78&-koM8w5jkI4=N{(Vz!$|nG=JMv}D6m)b6(Z-9X*dJQrM;UBEi0 zkQ>TtEf$V>CQTWPpN7B6CD0HaJAs9TZW$kZP|U?wBCF}I%TJhLND22$=T$8Q4wakkAI}U#+;ap_$?4bh zVWM>*njb?R6lHn}YGyPu3Tm;vkvP;Trzy}pkfB@(M?ZX7Kd z=S|A%V#XGM<3_2a#(zMXME~i3=&K9yu%m)NU`PrXB?1_A5z;_L495)_CG!GyM6v5* z^xF>-k|}I4&<2XA{Srr-w71tK{fYU=%j&7A$=G8T%2y9B?+bqLcIMPEpHHc5aS<=E zlcs-2`^S#2P0&{`e zuE_p+w}NN@NUkbavgdy7tr~u}+X&D+ZLo!`s2(maFWE9dGZ+hEm|$FndT{}GsGttbkt&lRKn8XT$)OPNgdJ8CF({E-LID~***liM~U_|LpWLJ zXoaf;Vr%WJERtQJ!>oXRhueOi@;R1Ez}5iT-rWvi+9*n0wrI}KR6i` z5W%A3qt-`bdn-D@(eALbB>$@s|HnX%-HeLw>}P?I{Wu>3nrN~8`bd81h1?B3R&P<^ zU>;~{>vX~kFM2;bUnGuorp{!|fIW$uIajDxsNXN>pH~kDHqGprM!UXMx z9;#w_&#Ohod~{nNS^syKj&vfDa{F-y^TCK3$tgn1G_R59-iy#`d#gES*4&s)hwPup zcKo>NHIg?Ug$^v`Nw{#avmKP6qokQXxYsV!=}Z&?Mak~#3HiPaXGiMIuj+*F%WyJ; z4CA=;sb{|^rKXMM<6`-t3~DzwA@EjSBNr)6W2vy8V7~hd98AxX>`uiY$a{MIuK(nU zP3_4nQW7H;Od2q1D!|=I?-uOjwJ#c-G4hm9`K~6}Nr&b+V|%}oV@P(grQ=BJRPopx zZ^?b%jKd`rLs|rG8-dKVkM*#jOnT((u-Rvku9a}=b?4&aQh{H3Cc4mxOV&$~Cd)}! zxw1paLy<%RP}a;(m`?J0^ydv=`2k}a_jtSQ8VrbGSrZqH(_oOuId=Ql{C=)6xmSew z2Y521-x5r*i7?7q>1pC|1Tnj{`)Ov4>hvWcD!XAF5DJF-6wN2jSy51Vr9etCYdJp% z1Q+R=XA(U`sAVX{K8LMY@neeAt+DVHbv2ZvrCwzNu}$@`r^PkBYB%%WD{XE9L^~{4 zndehAg)(w=PUAoA70tBa%Udc=iyi`U*@zuo=5};mokyQ!{?jS*llB^wFs=^Fn>tVG zCpc^iHu0djtKUjH0pC;xT8;&wIq z{p|1`T05kobN;nI=1RkXdVN)WTY8#{eyUSxyoCknf#=Y~(e z56CMy6U7OkLi(Qi={rs;BynCN2AsxX%Fhl>Z$DiQ^;;#_MK{VQCn-ECEDV)ZT9>0% zdaEO5`yFxqQ-58K#wDf-B1MqajQ^bYh5MEGIG}i^B3D^Ba1&W6jXA&NG#F5+fgN#u z;>D0zS5ge{pFmtHNQEv5zez8vv~Czrka=9#1bCG+-kQ=>8uf)d#zHCmZnWjB%gAP$ zBR}!u4uUXy-pp}syRI5~X#is0WaPh1dm>mdjL>Goa~3Ac$%wz)Y_egwW+5b z{BCt~Zw3t3cQO&XI&Gblk4Moa?Qr3F*Mm==8W(4mJ+wcp_7>f$HND7tmC_w?jj?<- zl?2%NI5qVeRZ6p=|CIC{-{GC^k}{jd@K3yBm@OxpEKhws&&}0)rf)k|>wv>8pg)yf zs@tdW!Cj=!c7c?oN3#QIy*sw^3zh8>xBDNVisdLD(s!_FYswX>IbRyixVIiAO^ok& z>a$*NIfiT)QfR=kf>Wso@KD%jdh@7xaXB{`>sgIPqI3(<`OY5%)5+4%Bo%MQ<_9@^BG< z?{}^$Wd1^5!?^Q#vxKE6byyq84dd*{q2CvmUu(G=f%=uBxiDg8{zr;J?r0Ox{uQ52 zR_=s&kOVp~VCJ#HMG$%M$eNhUs8xxkRM@>$=9K{eRmZo{vqvvcgle73j;BFZ&M%#dNJ4`0S8)Re*3E5Ap;b@jQmX`QI!xJ2i$`Fgr+ve5a7bo-sunLu4@FK%EPKlS@=T{y= zkj^drBf={&jkB(P!=AjMrP7K;D3^<&v(8{0ut}L@Y3i@A)7R?bP?Ysef{QkyrRsCd zV;BBRXqyUe`;6tqCmO;m7UM0cH!Ru3NcL@DFehNn%3CDH!`-Jme3U9R33BuZfEp=k>pF_ir~w90>#>#07zf{u#IV-=j6e zA7a7GK>aV~Z&?uq1k!#50+IYL;Gb)2$PxBGWJHioW}LsRoxge2472#Ff8Y9l=@f*L zMc^;b{}ZwQ2wB4a@C1_mbt;Ah>#v_VEadR3wEvh0Amc3L|KI;{!9ob{LicxpjFs>& zX{oHbGa(!Zl=9C>`ah)q-2UTSE5l!)1QQCxnHBRdaR4hhJUr9?n9(84tT=p5U=WA{ zB*!OUW9{f+FXZm-#HZpbqb>hlQ1-21z*{FxZ%!^xsrPPP{2DS&UOb+%21a842PiI} ARR910 diff --git a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.xci b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.xci index 641bf429..bdb0986f 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.xci +++ b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.xci @@ -56,9 +56,9 @@ - + diff --git a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.xml b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.xml index 5a889746..36741f33 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.xml +++ b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0.xml @@ -183,7 +183,7 @@ GENtimestamp - Tue Dec 21 12:19:25 UTC 2021 + Tue Dec 28 14:41:50 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.v index 92cd449e..eaf45fa6 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:25 2021 +// Date : Tue Dec 28 14:41:50 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.vhdl index 8d6aa5f8..74e9410f 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:25 2021 +-- Date : Tue Dec 28 14:41:50 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.v index b7fc19e7..e4ed5475 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:25 2021 +// Date : Tue Dec 28 14:41:50 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.vhdl index ddc4a450..21cb5ebf 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:25 2021 +-- Date : Tue Dec 28 14:41:50 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_tape_ear_0_0/audio_tape_ear_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0.dcp b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0.dcp index 6aeb087096604e506d5f651854bccc76d68dcbff..f0d81ffb19f6cd655031362b58b83c1c52a56d91 100644 GIT binary patch delta 5493 zcmZ8l1yB^w)?Sv5rBgbkB&EBgk%lFu7bTa@m2L!N)SX4B$WEq zH*enf->QTl>^G;LIIp5n zb3W=U)X#Ru=g)>ZI4d5^I`%vz3)=q4hbPJt#1IB>@~#2J*nOfVXhk&lE?&uYW~Xy3SvKOwa8|KxLkZJHD0GK z_W8CWM3-QZv>7iD#x}_{W*W|ON>Z*7^*qLAusk4@2 ziLiI3KUrKvOzMn1OK}xpl2+{Xak>v;4?`}Zrd-8@2NWf38U(y$(Lur zic<2gAFZ6y07$LPlt_z-(J4mc-M%?pdw_0tZb9EfnZE;_a*}WDkpUbkv&FUh1ZuS5 zoKe}Z7rNyABtGHnx(-HY@p!XRMJ)>EXh%{uUg~cpJb_c$MXlRWAf_YF#~owk%Md&X zF#=#L7bMt%xe(YE>Q>JrV2PI=#8gXk)P?<%LHd$+^PpR=LS!xM>?j>1)60jMB58h7 zbtyt4aD}lc0jXm&Bk?RXCVw4^Uphbg0(TN-2B9Is+i5MKuSiIX8W+JX@G7?FV+|^&qIO!+^0#Wv` z&mF{HD^1mu6bHysq?LP7NfkSqRDBf{v1|wN!<6H5VtDr_xQA8BEwrhO6fwPW5-Q5es z&;CV?l{Ozc`^I1m+u2ZU4)OAg*V}Ks#{4AiJB!W1@^fcV`ZP5)7>2LE%^?oEjYAOx z&YkZHT`1qgj)_8o18&(F?#J5CP2dL@kI^4`Tf><#$s?1B!uQxq6^YEwzWv@jpW0r-J3d#okKR=&a|##2a?$@7^2xE0#*;MZ z`>y~F>~rI@5*b^&t?1lp z#FNok3sg~(>g!6}ZxGM^;H?wgmE*Z(-}@@BMahPh*1$LB;WAgm4Fnclg!9hZZ0Ozu z@*J`qEV?~G^Aa-qOZ9H`sc2F_0T}+m8(d$rK7diL(CmOx*Sc%rY#_LP8DKOJV-oqC zL8QxfyKcUwz4LsVz=EtkNAm6hDn6D&MYy3{C-UI7`8G@K3T@3li(%Dm{5iT9FXG5(1;>_g2KKIznX*Dy*DVOVk?UN}&nPs43{Dc3YeFVgwQ+B2ez83 zr8MaY=tmRJv!$N4$K#aa9GFpLS=a})zFMS-*Lx3QMh z@_pyl2(eY?SoS)bY9!Z6&Kt91mV&k=yC>mu~$jOedeV zT6zG>!jx0Mo76>kP>>u(af^yF{Y9eQWu>AZ5=!aVCtplW!iOIf*Q`WfnUzd!=7@xl zpIq-#R>mK|aCZrNi?h6k>KKN&gwZ!bj`Eh9tq9ak*zs3`{K@LO5)D+F1c#1y?k$we z!R@Yv8fw8|ee#9Bw62sTSr6td+8K#DNib=Y?y`|k47lO6S_MysO#5h=dbmL5!J1_Y zCfNeG|4Rz)KK85(E|NShG@xadSV5}TWw>Agm$n+leX!UCq5lwIRT#u|p^Ag=ANpaZ zN)bj-)Xx&^irhQYKNhbh}ZPIs}cxCw1C**Ngy^q_&yTWaaCG+WM~jIhlKR84fF%3w{1{|c$8?=vuJ|x`hG4s&-MPtO zAmk%%+l_}eD#iOk3RnzLmUf?Yuk%g-GgZ1bDv=7C%Mxbci8&RT(+yfZ7Ip9e0&2=P zOn2!2FsgyE%-#?9F##V2iaVbS&J2S7)9fa);Bm=n9*1+Z!V%v@$IGd@Q5qKUIA!L z07S!4FOxb>q+ia3>%wFz-b2IJ1uAea5~gZU)-Su(Dai0ITv#w0KW7K-8Gv>;gGnXi zm#(FoDU<}s^kN&FD$w>m>{B`-yeJJV*P3zmixO4^ErkV9i836d@hcqbF1uHsTjPMa zKLerz=MVBo4w>yCWDfv!x`Zz8EF5rmbLi=KU+Mu15)>B_fiQ)M>89#_W!GKr%K~)B zdV&K_X_Sn)mD)itRcrUeIh>$v*`?GB+V@beh4DFNqm577^%?{fD{%MO4vcvJap^jq zbet0U(kxa{x|G4ezNl%=7w*m=gfACVXnAaeh&yV>ax4+Dlrm6RFFl+B5AvmYKP|-*(r40|O2*#Rw4l9;`8B~k*_(V#*a9DmHsnkYb*4Qe z_@X+;4UNG`rK+*D@cRT#loPy_NJ~m1kJ_j!6j>~1J|dd6{O}UY^^M_tZ~By+Et*rJ z8~ATbG}fC~)q0rPi5%f@iJHg)kg78F-eWcstjZG``xkHrNPFQujJ zjb$j)WBa@^OONC5+58tR^IRR|Z1S;q9Tty9wUb$0=ghnH8k-FV91!q`X_bYA;T*d7^tKhuGBRaPdmkZk8^o1( z7t!spO3lQ0UwuI6A%c}WV7n%oX4$LoHO9hD=*uwSvTckZMjv(Nn!)9-k#wV)zsh?s-jWqu ztWYaKj8Ynj(_2=@!V#Jr&wLnn4%fYqOKJyoB8D!jc&lY=vA z@{BARGf`WgE^0I4mxNI|ynOdjiI8@}c+bdZf9C^}kQBiAEv-}7RYqOb&6Lxto&3;k z*b2s~il1FSCWxi`{7ESCGS_Zq)~J9l*>CC@cfwbzXb&ghm<^UQ$|oOSJi3OLR9`&K*+o}{8aYLHpRX#ldMoMpRTXIxmAoEW`Jc+h*MOlL*6t3l zq?Whqo*NN(LDGdGqpJuX-3$*Ay~4|y|3pP(Wmz;3w}p7 zgr|HldExSO2=V!fCBnUrb0*GR)qsstgVV|7&L)g(F=7rQMQFS54}!4l4Wi3`fzp;QerD|Z zNUOGa;fliW4?$rVFJ(Ph7c40J0U~U?r%2q&rNfU}!6w!}6p9BYm5z()Jl&4B2mK{P zYUQmPdk5($3i8;OPH_|~XI8`T)`gNb0@ikpeX*vHiccMcS7O`&AsQ?np@8Mqk>T1N zhxGB>4)&BmC3Oz0y?D*4V2dKcvLQj@%cu3=P!5=%S&Zi?&j3fIw5t1*@nn|oxk1WN z1Z9NjYw{H}XCV}KtI-7f>J5up=#1|Q^z1!rK<4(;*Fi;iB5z6nv4TuW1R?#Hn05?) zK-&-92Da8_Q@LBviY}x(ft%z!-Q1@?+w;BN#<8LIO>F!<{!yPUKzJy*MVD9OR{rv0 z_c@HT@{5mbeq*y>y-eBX3VsgtA*u4K?V6^iv6Am_g7K?!5tbs+T_N8kpVsxi3_OiF zUP?JS&a^rI3O$e7wFg^t3LFNz*D$#$W85Acd_VQ~K>F65>KyPj53$Ne(Oi{GXSnX3 zD@J~a55!oxRTsU#U@qrp7qPfSsg%Ily{AOp@N_6upGY@6wR>B>jZ_7^Ntc&V17Eyf z{N)a{c*yCI_v7}5_WD}DKSTyUB2Oay(=PO=QY;Yx0f716ghu;^(8%FM6!eF=Uj5Z^bH1^z>=SqbTWH}~!S;4( zw?$a*13@d#Vw6-6szg5CV}Ac#!d#u~PHhrXLix;i7ZFb2S~A%$8-N@xyGuwty$}^v zx}pmJS1{AmP~4ApL8?8{3lC<%YoA{!$WAdPVfeZcssxcGnRjkSd8tDP^=6T{5jbh2 z?<^hD!Wl1C)_ON07)vpFnZW#H$y3b$rb z97tRu6{6Ev!JW4G0~Z%D8<3ti&~iKqGSEB@NE*vKX|Jq(0WS#ArZC%VVFDi2 zkiT%cJK;LSQK2iH0!+O$`p?j){(;mV4E*o`EpQL(Pp*X1 z(-JfN0sLuFWifxJP!a%u^7ruYzpE|G9s3WE4{C3ttBLkIF8?=L{8Ntk{stm{Z|eUl z{65>lHsL9>5C2!3_0duSlR$s(`$|jn_r8DA_WzXI!q|xa0tM&@|N5#xM+^Kx{#!&2 zC#E3c{r^7v`&<5F2koy9kraQGiYRd5V{`<6Z7uz_^?~ZI7>}OpuZW4B7D!I>SENV( z;Ia1u0Dupm^7y&0kFu$Wp|3NSUAAn&`ekhtfb*#FUoJK GC-Z+JM;#de delta 5542 zcmZWtby(EhvtPQqBqf$ux@!@T6j*6#>6DJ8;Y%!`(hG=!2!ezHg1}OeQqmm)(v9>A z0>b6>-uM0*0Rp84a<=b008WbtrlrEeg$?+6md$56T>zevhpUMl)A^}C!j#-e1r07M&gGB#S0S`woPXF z&oxT3>8xq^xfEw3mRR|D4mk)C0E&o>dH@>BQR%N_tu!9kBZt#cU8~#`=*SJjmY!_% zh{&{T;o=f)FbzkH>LdhgP>2{6Xti4^wvC1j> zbb}HIIhiARL6Ubhuztk*#ODNUGAR2R`1?V5#+9erkr!onQ2E(QX()Wm|7*l>AMBIoCae=ajze7)qGcUM}$84_i_{OWT8dsd=s zJW8J;iLFNnh)n14L~!h2YvuL5$E2p|)LBm=K@4&dY<3bT+C@zz(Md+s^o%a?zIajq zS(_KeXD!M*@{S25oVjSIjcW6QNO65Q`w?(<%pAC5+A$ea0CR1`+MI(h28TW-WQC)a z?jN{{S|1X0_>Pz?*0HasmGf9o(5aP|PE+R8j)<}V)V;2%P#7I2(F<2nxlN_77BEr^ z>v|XO$45)Jwhkk5RM}ev5bs`6emQ#~0zz_C*WP&C9=4Tq<$y?Kg`9&3gmF7{8cPn_ zK>8i0C@nRj)38J5(-n#Z0k*&iV)v2&I}Uz)d=F6UggQmyA|gHCG%U=XQy)fZL-=*T zn{5WrsY;1OM5(g|#VZ=uOY}@xyLAoyvJxd%kWoQ;oN===T!G$R&*+DJyN>dvB>Cp8VJ&m$=cX&Uut!Ty<^bb9o*T8l5Z}!!W^wJE44@m6O0I zsMf&=_QT7)?5J_(KmGjVI=E5exgo!X#Cx(bu9)nTDN zbo14iGv<1csS+TQFm16t$FFo(+j!Kf_tFe;mS~#MLE?DM5Xvdo$GI_eJgUu0Lv|90 zCuHB4)!ikKtCYpepQR_TLz7GpS>+;_WsZGWYAmi$hGd1>-J?upq_Waw@g%J%9gX;TBd?@x4Q& zB(9sjPT06(6}>lQ;?cOedlqy_wP+^b^7mc0P+@VPE+kkx(&}DUBKl2vn@?H2Utn^> zi^ayeB=-J^#0}#om(zvWOLXG^#$R6+d5Rl@|4%GtF;kyFM&f~iIUh!eJKZmb{N8do zkVoo^2|F%DS*(TX%(dpt?IK$SM-9R$jaF#jHfw{)+5RpZ=v z7d1DNl%3GCmzxavnC@32_@!iNR0Mr|6CNx4^fB$X zG?j^;FYR_wxvkOifOFMSP&A<=@m^T6^f2Bs;@Q=~-GaFlRaW(f8>6I0rEQD(63RV^ z7r8$XLHt?H$un8?`Hnk9dZH3^($1@?yDm{sGbZ6T(sC0&LuyeA3Oh_F(mnt3@4K1S zWb)YjSko2J@Nd#zLCjbwPsu9q4G(hR+GN50AIx3t+mb*7KnL12>h+Y6V#Y^e_~A%J zz#Mn2rO^jT#YczBH2JU82P+n&Kh*A&d;FHhnpSZwN@{IpO7hu=`65N(ny7Z;cEd>- z4yr*NU9U@@xS(4P>}xT><0i4<$$AXtA6GG^BgaWw0GTmjZt2(Wu-F^~NlLr?zT?B! zv*GTGqE!{4zz55Py6P#H?mF5L$m+&A>&ezY|DBAkrv6WXEDQ*JBfoh?*UY5W7Fpe? z=0e4n84fs4So+*>xlJ;wi1`Xo)-5B_83?jc!qb^(-Hw@sT57$op|r$5BGX9ROfn|t z$j53)?3&8l6vZySywsF}D^z{@Q@W}7&D&T^{G(QLav83xw`R~ZQr z@XsN{O5LuA(+rQsAI+usu`m1CE`!$nGf5C)Pb{354Mh5GlJ9t>HESjBcmRxJw!0<9QIrnvRMu`I0NLb8X1Or8CsAM?(FK zV)>J9mNc|;1il@g?~wUi4Wl*L!~1;+kgL1D#2Bn$Jg}peBRYPxFg;XyZ?`J~+v-zW zbDY#@W4|;U%xG|>eLXBulL4RmS&HbSJ106V9Y*LBioNw1gkK)zG3up=tR=tXQy12t zNwjZ_n)c0xy#nLgm0kzXxwm)1X}x6aF)uLSI!c179el|sCU$4;^ud`ESsM=Ekt%Zt zU3s+3@UE{=*EPNk#$xhICUi;OCmPb11xE7s13K|hv727MzXm_V+`i9WYKAMImT>G} zojo(JB8BIM&uCznH}pMJ;AUh@F^ldT5l= zen9;3GnxeiPw73$vfOtK+J(c=-pBfyunnnEfnFTOwHR~qo4!y zn-*YiJ3lA>{Es2$LYo|g;d4>?Ju z6dY=-fgBD_28@WiU@B}Kxtdr*FGmFiBscj>8XKJ`M()!!*fl!Zw$X>cz|?gQKhO3Z z4Z2d{h@bez1W(P%a7-!pj&O;Cq?KhVNqoh$c!u|utT7TO4=t!09jO8QCrOzPakw^& zv;z)gB6ckK&FKa9W{;KhH=9b<-7DVMS5^;7zUMp(p;W!hHzH>=a%x&k#7)9q^_0)7 z4Z14(Zk%p{O2L{?qF$j#^Kf8gl%ujkPf~cV_QL#|jorCWiws#$U(%a5w5m1zkWt*f zrkh(W6RjF>3dM~fmUowvh|QU=Zjw&=Zn}Nh{5~;5gGN~;03FL+CJ35((Kid2pZ&XGP>=bs*?36l3#)WXOP0T|LD!&L! zO;Qi)E8tbmOlg4>JqoB5tx8?WUEzZx!%SRCzrp-QzDm48wcGcgwg=^0$ zOnDl<)oXK8o>3&`Lm^*04Kni4C|y}tMGVY9PA9^Z<4 z(0#Pn#JEfZa#E2gyWmMm(WQ;BZc%h%topNxJ{%FQZ|@%^bi*YAE_Pjp>bquNpQWN} z0fsMqv!YXyuP}X?<+hNYt@+W+dl-+mY!|Nj`5w=6WqWrh0uA&{MqAIDAhUtAb^`>a zz8VZFW)snK4*6Z76cmJ5p{c~_cMR?}r-N~=9#+?`kx?97W7zH2%w>Ceo&MiLFmP z4PgZ33HpIC&u72+!YD9OA}Kb?s67+cw)k8Etk6iOP1F51Os11e#b(EfC%_WNGg~*s zD~S(bpb6D6s0JIm!+C*g?2*UXVovestSqVK_plgrJm7&{X0x!zI#<_g0N`Ac^voQ? zB#sv0Co0aShG}6!6sDeZX5Fc$Riy*A+dqjFHxZpqkp*ID`u3DyafQi(HzQ!Y8ToNe z``4XhW=DVn*mbZmN0Tm2N^diE)6OQeFSPAAwZxW5ZTol2>rgz|7g)ft&MN1)6WB^l z2(Ky+=wnw8+NIA3VAV?2NOL3c=^Cq+ujdpKMUiWVU+h)PrNvdQ@)4PaEv{cd<2Pa_ z>f!aZ6&E26O3_qI8j_9YU)14t0qQd$#lM>Q7xG$@KO~P>PBTlg^~yO*mL+oQ90b2Z zM6iq+I+j4bbky+BQ3drr&V=K~5ToBe7AZbw2g2NV5DDuB4TauR$-HWLl3m9on12N< zBnC|)!9Nv*@3Q11CGrIg4`Ac@O_)5k^~^XYg-frLKqIeajWOCKdqPh_McCyPJJO>4 zwVfDLBcJ9b3^h)uX*2PQyysx`Y-rOUcUUo54WY$GbUt(tGoW!F#}jerCh>u+(??)C zRBvnj2i|$}ZUt3fB$)!FAQYa@tWS55aNiz;L&2L)L&PkJ*@nWe%M z*BSVvA~rbI7lvd)1tI-;3;UKh;fyPk%aRiJ+le}c;9KaW9%0;Sm+7zvgyI#kcWnt} zp$hoFElapB_oVXoQZEcznQQN7<`~GwdGx#-#q>F51wXKVK#eKxB>Bd2DQL5a3oCUK z;nc1EO_qzES9X(N89z|=+thz)3f1%&#&_z^LNIbXP;M^i4vZ_$i91`>kGPQQ%_bo3G>Y*$ex$NZUCD6DC2v=-fnm5~D>H1%k)mpM`<+ z4me=#awnjJJ3C*9nm#%#4^=^tVeaNH=D3aXSVOND!nd{zTQ^R@Gh< q?yDZ`BgUuVV5BeX GENtimestamp - Tue Dec 21 12:19:21 UTC 2021 + Tue Dec 28 14:41:49 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.v b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.v index fc94c06d..fb42379e 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.v +++ b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:21 2021 +// Date : Tue Dec 28 14:41:49 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.v diff --git a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.vhdl index 8aa74292..bc4046de 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:21 2021 +-- Date : Tue Dec 28 14:41:49 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.v index 6a67aac2..1b65067c 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.v +++ b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:21 2021 +// Date : Tue Dec 28 14:41:49 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.v diff --git a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.vhdl index 27f9894e..4c50a321 100644 --- a/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.vhdl +++ b/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:21 2021 +-- Date : Tue Dec 28 14:41:49 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/audio/ip/audio_tape_mic_0_0/audio_tape_mic_0_0_stub.vhdl diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0.dcp b/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0.dcp deleted file mode 100644 index ee2f39f3cec5cf1fe98591b3393f7819b488f257..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8002 zcma)>1yEc~)9)8|f+a|hMT0H`cXwG_gS#y5?iL&tcY=gK2o^j93j~J{AUFhfce|VK zy|V5SI?Y(Pj{;*ARrO|002|~#57eM%K1)u91Z{=M*;v)V85E1 zIf132&zv_PSx zURx$Bym@zU{za?Q(;_Q~W6%ZkZD=cVtSQ^GbR8^BuVbtV{*iPbwjw#D7e(ZxiqD{QZNK$AcH?EXNr`peiQg+osb&JF zT_486t);%SoWSfV_mT5UnySQ&M*W7i^O!kPibMO$n){u1njEZOQQhxeIs2UMj`AG3 zwIitfYt?Pp!18#o6+eS*1TxsFo4A|XI2yTmI=UK}IodlxEbJ{D+>F?a*gzKMmctnf zuCu&29ZT0toNYZrYa#1^;Gv_jkck!<2(n^Uc6MH$F(eyh#(j zO_4;phWig5wD$GMiJ|Eoi~PLRMgBN?F&%SF-z5Uf`_uFhL{0GoB*jUuMB&&IU)mg^ z?k8rq1rG^?g`eUEQ2!IJe2d53xpkqi^!jDt8L5adFkg?}8m<^?|w z(SC3O6=9_6U2looB&m%$M^cf?uLK<3Sq#J}yv&;OoLIWe zaLzBl6wWJU>^Bqn4-z~0D)MLOiHTO5KlctfL)|F+HFbTu#}EoV117zIm}0K-Og4j`i3zlCYWd z0Ey!?`20)wMlMypb5REI=S({98-10=6tlhOsTvN4v$+n}9hL4g6~+o2=)P&!sfv#l z$&aCCGa4Xx{;7oDJ-D7G`K2!TV24E$j0P5ki849^;_}0#WbBB67Z_rLkn9@GKK|cf zzkBU+-3jou-VL!TO%E}v3`~-wMHCP#J47gz~_%me}`X#uR*5 zNri5$J%c%)!p+UGQ$JB{@ZY0!G_diY%prLi4peJ;(9vu}BjJ=&XkZu0RKys2U}%@JdmN<#>clRE2bp1b*sw$|*_& zf?suRYjkX*jS*NNnjGL|w4$>az-1K00u_0Jx3z+r@q-u!P`l3XG7OP2;sJ`P(m2Sa z+eBLZeN@|5{xet5_=+{NB)7XN2czG(=I(*pz6;?t)(GT#6E5t>9M zJIYwPdvz1CBvkDAf=~lXxFU3ETh+Z_M}3n0k*FfG_lNx6&HPkC{yH)d!>3c>jI6?Z zF>N;I>LW`FSGnemdC|)7 zrL(h@xS=H!I5GVCZfC2?9~athe8X>)>s`o*^Sw3+e9InW_I1e&12eF=x=8}|yTRU& z`Wh|`3LS$^Dh@8o6fw{pv0HfvB};`?k4GZ+6zLA4q3N36=V;~UVVR}W=n9(9R7Sb= zwAkMwd*kmdv~}?7ixu)GEi3^;T<{25moF8Lh(Aq5rRm>o6pU!stQFvVx;xp)o8z0b zE-?-7id#^p3Com7pzbbm<*=Zfv`V0ul&i8{#pvBB8Ry05_A>RWiO_QZw4$#P+B}l- z{um5?nLW8$erMurC7~PtVr56m<~~g$YA+{ol0R#oXkx_MF2@ zDWYE062^(*C`uJu#9ACep!s2cd~OtcJXsl~aIwb^fpl|O)@{4YNTLAl5 zHpW56n-pm=c$}?e2g_b*|s_a~Z@2yj6c5Olg^hnwAc?Ex7+?>Y? z@$Qk=U+#myj31^NKNAKpBTPGny8jRy0g%BZMqfIj{@lv-P`WX_4 zlFJDaOLfHj{Mh4g#@}}ypjCk_s(I9jRj3#FF>gWeW8=$x!&Q2OX>q&K_`oLec$bav zca6Ba!yC(PhtKf>FzXwR7teW5ZLk7%EI-53Oz1>#Ca99Uar_O(6QurJq=kGN{S|L+ z2?C`B2&?>?+akLAdbYdW5_?URU&X?F!ZAd5PsJ>Ufu27NXj*GI--VhIN9UoIPu2N zR3|aG42%S1HGSM7TFwst>fMq}gr9|KcA!#-bSAEDpw#+vvV#h0Sl3W_208=2$v$eN zxB9(9RV=lZkbq5ax!Lh0c9br?>DdCY`->8aQ~tWrti#{uoHj$bZ)lac&plK}x>BWp z82XA$9l8-y1NG%AkR%}u zy%2_qT6T5umIf0@dMZQIuaj08W(=BjxMN79PhLi}X=SWUk3S!-$C(~rL)vt)|YH??&R(!k?OrYu4PNgokCqc!>-O~?=7_evE_0`rpu1HXH zS3s=QqQhaH3*#tPj1w&&p<`mF-PVAYHMOQ#|1j7)$TX`@f@=8#s?CT6sGhc|uVYuQ zV^6b$;IG?W-Dbz65VuVnz2WyT5Nj4>PFT1@7JqvuipP-LxZExiLHR1V#kM6V(&Din z7)W7{nUcO@$SZ_7(tUU6IVQbRU$Gd+28FOY6Y=UtiwovV93!{PB_KHEnGyknMPI%$ z14lEV*A##v8V1J5h(rKy7B}wKn^8U6>MbYqccsQXd zy>!^1xXF%2bm8s~T9zSkmCV_H+bb7gq8p&dp=qyBV$RJpI+cdG=VBELk1WKoKkRgrdA zYjGySVJ~izvD+sBV@qxhDQ80p4>*_IdJyaJcgQ34--cvx=WQ^y( z5Y|I+&Er`%e0z`91>ZiJL3&wUP)in{@lH!v;#m(-Lj)lXQooM@{I&^mxgIi0Z|n)U zBK_CAq-P`q@uj*(!B~k}p~o+13&XJ+*1=~!UM)KrsI(LRqa(KekPRYs8(x&CuOCB3 zN8tLHL7yk3CBp*;PoR3?mECuH+*{!lRt%|hH1;$4y)3rKS;8=sM5XZGtW=ojk341y zi6V7t3=W4|nsquN9F^@Q&ER4q*SywZD9(4sBHu(HcNCT<)x(n`waF~OZ~#pG`(T6O zuTj9&+riBmL#hZd4;zh>qmUi{;?~@)?1wzi!#!2dQ*Yfjpcezdrt(TF_Fx zGCFc9DlLW{qFP2qSZAOn!u0&3OjBjDiR(N6Se1bAz2#Uq%DYpvY8!;3Vk^YGp72B5 zHZ9)UM2Z0hKmWpVC=eHkVnI{4tM!q7p%0>GKq)%l?l7MQj zoO3ycc%jSIbtL3Nw(kpEGB@0i_DrIq5YiOXZ#~gGbA0XI`$a*PepM<)^?X)6Z&7h) z!{`7nq11D1(>gs~mMAC~#?YYY+99rYvMR3idyH)3KF#xAHUS@Gnjzu!)m8}@y17=W zF>~`GGsf8;xj$H3@DyV{VI88=wbE|_62g9?VOtSukHTFGaH{7DwDD^1SD-gmeL)o ze)PJ&G(;(^lMui(9u>~tpF$IP+-%KI#ks0C7UhtDvfNCC6b0IyDKL{w$K{Kus&O*z z_EVHS*m?zQuOfIm?Hu^5oNsT%c3SLaDtSZY$*~fN*VaX%okRdenR%=fAtO2^;Ssvu8%=!JXpepVsy-lFZlLnxariXNN4_XT$yv= zh`h6L9I#fi!%9s)t+>w~B+Q67Z6r5~iiwrVXY|coh-O2}g=n@yqhsD;a=Xk4UgJki zC{RZOdaV7C&OlJvtApxFd0CU(ak%g6&kzBTjQhjsF9+@17FT!ifF+w5Lmp3Q{4S8A zS(>C$SQKV&EKZH$XkNR4{unw!2u2op8W7p$Wq{i1P#LcHI9EO=tEs}tm*nwjitd&q z8PDxF)QVNE7N;dj+5`mJ6EERF`oR^l>z;hk+~a znVwVVrsD>}i@IamtYHy(s*8}>JBr~FsU(;nUJM%I8t)W#P+!$HdTL{R+r>{YLRb-^ zdrdfIFn%A-CPJ3e4Q~z)R>wu!WWXB&qcv0D5s7y)SmZYrZ!-3{Qyc+Y?dG;uE#D`- znS1Ba@LnK3UO3M2eTzokb+-Up;1GO~cs5`5JleP4bqKELnG#{IJ&Jm9$+Hi*qYSyG zqzEzDYmSYgGt7xlGRXbazOSo-$ny+9Z=a3#Uv$h^xtHE(-p0&qUOj_IqeF2-HV$Ox zg`RgQWK^o@_VrR3hA*C2x<5*vwML{h9qhhmbSeYBoru!?@aYg~EaEjjUJhmcJxGD| zl_bU&bdKLQ1QW`!{CcuDdwx25h@&MfB5jky1;Kir9y|tkl_Pp_X?E)dnZ@CSLV4+f z1G7OO0OGFdIgY-qPR%btJO%A75UFWhEoSR+6Dj%EmOS?H2!6#Lrtz$h3`u5AS8E;+ zul8U+{w`UQ@TU*VzjG?>yRmHjiK&2FM%Obj%`r4+u{Zr_srV?l9@g%c?t*gs$WEq9 zb~e!CqGVOvb0#~%s_jLr&t7sz$P%X$Sg-VMbX|PRl&rXtHw)byl!I{mcIjQyiawdv z3!718kaff5lvQI-ARh>9&Z6E?UJq<>w&*JCD32cwz~hceT?I5hJ-XH z_GZ~hHG;Ed4i0&;omi&WUyx5}tabGLg<=+6JuQ}nqeF&g6aMOe#^9cu0|VmdY0`4NGW=y|E>Qy}zecb@|2G*nvT=ab z2_4)lyxc%u=4MP_mS|S`0ajTS#&V4@7Up3^7S$un_)E;F%S+FAPml9sRa7)|cg-j; z3Zo);xSv65Y*;ze3F9P2K4`w}?kc&cr}k&jZ;cEzhD>i*6|AO4|H%o3)d-865OzY@ zMFaq-{%RR4rv=%%Iy%Hb9bpA*oZh8N^_)by;GbbMKzi>!<5@cQk0ewXoJvV@Rm&qa znfr*=-#i`n>O&q1IlYs5Mi0rCa+SS#Lw9T?oAA`Sl>?Z}!JNCAEPGu-+m8sX zs&PTEu3|WJe{=M?fH@RgHvQ}ly9cSu-Ig1P6}6SHkSEA!l}K@{@HWGmvnqnZ3|-SB z0Oux@ZL8fR5Y<9~WaJL~6HeC&d=2koUve+4(&MAi=?AY+6=Sm zm6;W$BW7$_Mq3H9f3CTFN64-!72eOnORQ-=Qe7mUWm-%3!(nHhq0@w@lqg%1vC$Ebr@!-0{T#Q1cb01{98x0_0 zA;3R>Rwe83ac6e7lmcSYxtp44X;D4>BST)1i{;pWi?OMckK1)Xa}W?2Y8FkbJ^X6_ zGXX`q<={ItKmE535r-^+R;FO0SV=CCbRDWtrp;^)XUnqX4EDPdTtA!lqt^%HDOD*Y z*Ta4z7ePYXzM5;UP@sbtD{b;np0&zoYO_b+s7J!TH+(wz@+~2(`H>16z`t%QS2uT4 zkcUB{vK{`Nl4SiH)qq{7tFn!H;IXWD4{Z#L(6#>r<5;TYkwYRUH=BH7c@Y3fQ`p8YTK;(j;MnUspWY#vt>) z4oPy}m^=k)(w%yxeGl!_TK$TUpl4OlZp$xVY70)fu8(XsO|Gm>Kv(A0vl<4gm`Me` zKwpDpv@cHPMq2ar5hS%H^;0P2MB8Sya?iShue?9*K{rl}+L#B5KGlzqAo;IB^m2FtD2OJd7?{xlv!%45;xmtDuR-rb%2%3@!QM7GdzHe(AG zk=isfll z$;+GZ@r-O|+Cb*(TQK);*^G#TP{}&gxAaSBlV8l=3d6h&2lMQI@8H2)4uH8I&=CCR z?f>@ko=$q&0Q&RS|4h1oJ^tDbdWw2l{`?0e0c$A!chsL^=u_6y+T|bCpQ|DG$6r}6 z)qje5nveen^amw}^*6KrCp&)%dzvBtf&Ir_h}eIF!Sdy&sHeHkKX!%V{0-&h@Ram4 zT>oPf=8M0Oo&@iw)Tg2LA8P4essAtVKIJ{t*nfEUM1QmLKZ^U5_EcQ{p{bGl?=+ay zs3;&I|CxjVyM++|fR2{{00mZ diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.vhdl b/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.vhdl deleted file mode 100644 index 9ac247f2..00000000 --- a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.vhdl +++ /dev/null @@ -1,49 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:25 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode funcsim --- v:/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_sim_netlist.vhdl --- Design : audio_twos_complement_0_0 --- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or --- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity audio_twos_complement_0_0 is - port ( - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of audio_twos_complement_0_0 : entity is true; - attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of audio_twos_complement_0_0 : entity is "audio_twos_complement_0_0,twos_complement,{}"; - attribute DowngradeIPIdentifiedWarnings : string; - attribute DowngradeIPIdentifiedWarnings of audio_twos_complement_0_0 : entity is "yes"; - attribute IP_DEFINITION_SOURCE : string; - attribute IP_DEFINITION_SOURCE of audio_twos_complement_0_0 : entity is "module_ref"; - attribute X_CORE_INFO : string; - attribute X_CORE_INFO of audio_twos_complement_0_0 : entity is "twos_complement,Vivado 2021.2"; -end audio_twos_complement_0_0; - -architecture STRUCTURE of audio_twos_complement_0_0 is - signal \^din\ : STD_LOGIC_VECTOR ( 15 downto 0 ); - signal \^dout\ : STD_LOGIC_VECTOR ( 15 to 15 ); -begin - \^din\(15 downto 0) <= din(15 downto 0); - dout(15) <= \^dout\(15); - dout(14 downto 0) <= \^din\(14 downto 0); -\dout[15]_INST_0\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^din\(15), - O => \^dout\(15) - ); -end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.v b/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.v deleted file mode 100644 index c37e9f66..00000000 --- a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.v +++ /dev/null @@ -1,21 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:25 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode synth_stub -// v:/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.v -// Design : audio_twos_complement_0_0 -// Purpose : Stub declaration of top-level module interface -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- - -// This empty module with port declaration file causes synthesis tools to infer a black box for IP. -// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. -// Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "twos_complement,Vivado 2021.2" *) -module audio_twos_complement_0_0(din, dout) -/* synthesis syn_black_box black_box_pad_pin="din[15:0],dout[15:0]" */; - input [15:0]din; - output [15:0]dout; -endmodule diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.vhdl deleted file mode 100644 index f94495a6..00000000 --- a/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.vhdl +++ /dev/null @@ -1,31 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:25 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode synth_stub --- v:/srcs/sources/bd/audio/ip/audio_twos_complement_0_0/audio_twos_complement_0_0_stub.vhdl --- Design : audio_twos_complement_0_0 --- Purpose : Stub declaration of top-level module interface --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity audio_twos_complement_0_0 is - Port ( - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - -end audio_twos_complement_0_0; - -architecture stub of audio_twos_complement_0_0 is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "din[15:0],dout[15:0]"; -attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "twos_complement,Vivado 2021.2"; -begin -end; diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0.dcp b/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0.dcp deleted file mode 100644 index cd0900a479ba3aec5d2e73869e6be7dc0db618be..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7918 zcma)>1yEeewuT4yput^(6C8p|@WC~>>)?aCyTjlTEWrsDTtjdOEk>a!_u36JcYFWnR`50;X!LY4c)C@_ z9#JtXJ3DXC7^d|$Bx!8k{kAKgc6ouGKWW;hC4x}b@aPwq&Y>YWF(jjVRgk~7$PY^| zrhB>Nv!uWIP`W;xm?_RHDGAbRF&NH75}Om`qr~j?0GUBQG17_EoF<N7a{s2JlUt?L`x&pt64v)Q%9JAfGKBCJkxmQZ zG>BAugYTc0pB!gX>4uJREF>eKX1kURNzV6Yw>UF~kDczy9Gsu3L6I($Js!lR%BYJv#WyH6!uI9O-!_c z&K#a_hqzJrLDvE-R^>BRNld&fa>NS8%e3oJ>KK{CJCCT=ja%dPHkB7TGrDF;0AONv z$xP|wcT_!yNxR6M(9118NC6~yOXu#XW_&6XyPOG*>#$Og5N^FeX`4S$HUcnJIby!KgyyqJWHG&UO@Pf zg;Yhg)c`_~W+$K8P^%&?oCjR8e0EP}Od)`gROr^$Kax`uW^Vp0t%iC>@E)nViGvSm z8NtJFxK@_P(~N5j)&8)ibGn0I$nhgRF%bo^7eeJ3Vs{8ju!^+tcL=u zKn0qp8YOP4Ua73Pa;b2!(YS}MX?wME_{tW_^&Fl@bo*`uh9 zx$nau8}vyDZaSGVVXNQ8I|b#AdpxX&Rfeto_^}Z;x`qTJj@!`ZY*qQ?Qu~ch*sXGd z3mH+qClt@8?3c`uE}3Bf1cRrK*#D>x=w;tf$D=`^W6(p*#bcQw&UQ!S_AZ!;wL+^O zoX9&zx({z?y5-v#rTjcJvy=u^K{GXtNp3qm_M7P8RJnz=4sJuSLjJ6UC18{X7EbGm zMB$XEW-c;a|8A#XT)S?o0ITNid_QklVAi_CG^{u7t2%9Hrep$5Uy&=91=Xxo0>!Lc zwe=?2r~Q&CezZPMQ{TF9JrJM`b(6s6ft3HtNDxW(?B=^W6K5+)-FWWoBWv47_;1{ z^T-f8y8P1#+6y4-u;S=Yr98{f=){M5c^3Kc&nya>9NgL*Djaa8l)Z>?s-A>j ztP{}y|6I=75}dD#@Bly|*8ipLF6JhU8AqUHUc7K9r5N_y9tWE=0Vc_vnJ6!Ml!*Nx zuomQ6$v^h3X6a^^0}r0{C9kS3r|j?e(Xg$VRr~Zm#)hQoIeKT0OoPfB= z=1p7E22AuzBJWPBFgqz23RUgUPbSSvv>hpP&YO|k6^$Stg4LeE0*j4(vxe~;p!_ag zsJ-tGu|mC{?e5?ix;XHxTvTqL4$M3`BUr1APSdw`X39>@j_=hhXsLo;HtqBbu4JF# zg4cPxB2MqE!7HVq*{LjtZ$>8>X2Cx<*3AaqZ~hp^Tw~tQ4s49TJeki-nElz2c8Qv5 zZR&D;{bMo9b@8{e>X%SHHD!;<^ z93Y3fdX1eE(`q$(s=_m1ktweXu_Tq3?3Hzu@wa>mwp1YdYQf=`dJNmV;jhn0?VeX! z=rK0aQOHShNDl-u##v~t>O6Pw9&Kz^v?HJYdIT^_b&!6Wt>jgu6OGnX+hCmW;gZ`P z&3NQ`_TKcnG1QU&nr>L9rCt`;8l3QavRq1_kcPl{iFh!01@(F`CWIftZBB@iAxC38 zA1*Q*`=*RoDzs|8nq}FWz%p?)`&9Xb+2%QQiS%jiwAt7%{Dqin7nhihld;JgelLgz zC&}%pflwX`vCo5Q41LFsXDX$E3Ncdn#e^Ta_2cKF>A{-`Z*3m3=%dE`J*4qI4=`d0 zN9nZk4iV~M3Icp8@9%?mG=2L+VD{|TsWb{3>Q&Ud`s**F1@ScO&!(Bvk)oplZ~HAe z*{w=-m}$sjlCoque;E(24ok>M!zG|9M=%vAqMZ1@XN-Vee8_x$IecxYMSHOk^4!9= zu}DRnJ2-3$r#wX$Gi~t=`1q?p^qOcfxOt(VcNjg`L;^uua0Y<>-ng|$C0gluWPeb+ zN@;s#NBibjRk*RGl5@#iAmE`k_EH<)wkeK|c}_eN_1E^sPBHOG@m+e8frkc&Z$y$k zLt3`)3Qv=>6OH_)fdchCq^u3CMF(tj^nB-+q0Az!jq(~vA>-oK zIjvI|Z`dur**6yNFLAK4c_!9?PO-%gg~l@}H?o|VeRacAg4~oi)47XO2)5cn#$MNj zNj1-&{X&+I-hzC*i{?6U@Mq|p-68Ogyc>t0rcEe+C~bIOP-8OTS23kL`KE*^*-*~}BHBejUXJ~oUv z_31Mfdhup=IN*t#2UE9q%fJEvvX80d&!xJDx#d^gDbO+py6?%nPP&}@ZT6@1z>Z2W zw8NhsGHr4%ixtNF<2u=euWB(>HC+oaWN|cA1j-EvB?Z})qb23dHteGqRI7BAV#4upJxvT!%4V z-hX50{z@JlrR?F1{qmxjI9J&{@x$rR7GQh#VAKPBK);`|H%|htCv%FmvT2>Ayfw@! zX;PekiZDA0j{WmXu0ja^+14ut-WmhE$=C^m{g~PHSRHICiMC0AahQuK$qrKtbk)Y8`ZX&ziT>2^KAm*G5NHgmK*X@ zF=Wk%pdwCFlJ5tH&B6?kUjxj1@(fDJZ*nFI68oSXpC%PN!5(X7Zd%|D?F+uL*I<_! zrBPTBoNO90X^$YgArt6=FX=T{-FaN^{UmGmW+gIDQ#@BENug8J*yJv+0OFcKYzV-L z6eYlgySl-oof#>#hL`EVIUOE*2PRPU@)&4_Hj$Gk^R0O>YC`90{Sw*h8xjbi4DQ!p zYQ-y>Cb^O6mG7xZKG3KS`}6E;yAvW7Z3;&zKPwMJOYbcvO?sBPsrLE)`SQr*8XPD+ zHjA>4lk|@-k0%I57NPl8$KF%xCBL);>S>?r+8EwCd>ZGbk^z9Q>a2!1T}5vor0m&E zeXYE%N$xl{*fkUUN)&Q`GT(9B$!l?a7Y|soSv2JHc#Yf3=4h5Kr4$;8{wWr#PH`fy z(?EX`6)qSpi#(kY(T2odZF95?TVjeQpPSuO;k+YxYM!F6HA%)}FAlk4lc&{bjfyS- zj_%x3q(OjdpKfm3^yI1}VzW5C;9Y{3VWkk|vS3i7r>peduQ{rngA^RCN-m6#%HJ0& zz0i9bI~XDrOsR5D2@8Tsk?ouH(8L0L_8RDM(t`D|G_A)dH_DlxQ|M-32f&KCKeO4w zAoNfdC3A2T$0k%sFoC}uF~m0BFYKndu5b3x#uzxjO)-Mo5T<`gFljJ#AI2d{meU7o z4hvMrMu0Nni~>j*5{xxbUmgvs0?27R7UIj>{n(p8yYQwPA zb4&M!*FW0A(_4-Y%9)(XD5Iw%b>G*VAWVk8#Kp;>%D-n*U}um*>p{+v?OU z2;nGb@3N7aeyB%pJ8K~&?`qBCoC+6I>}Q_J3Wi9raJyRbvGHq<4B;M-wTRTbXZe;> z>ClH^>qkUQxodQ@81pfP7A5v}2qg^{DHm+*e&sGCCy3}|x@KpSdRCOIihaRsCse(+ ziqYsPcZw+aeHw#7?^f5v+f2!dCmCAk22u{h@;!LrnqE|6+8|;^4I%4;$tkOSHjQ}v z3c7@RM|Cs2%iXH0u&+FIdWJ0Y@)!8tZh%@&$fpT6Ma~V44#{n&&QsQPXp(1y>G)&b zYcSfG2X9-ek7n^sUpw1wmJCj5-6EruUy#*(&E6T7vl#-yviO^IC)IH7x@8!|*-j#v zVm~1PrOCF5@=L`m`UW}-3r7&dqXlQj)eeQm?8PpIDDPoUs4g z05Ft|IGBU8=XRrO*3tF3rhsz(%AjgA3r{+*BXnFHB1s`p(=1FLR_rShZp=9~R4uIX z^?`_|xl^jYFJ@B#WIpy!8SWTePSkjmU!%wQ;!iScWCMD1@jz}Co^EWO=4Q-5)+qKD z!|bxGOz$)%Sy{#uSyfNbmp)?wXN6Bql}R*bt-E4|q5pHU$4FE4H z1EcE%yn*#LumbXIZ2@HyKPSF`w;p#83F-c>7eP;x1P!(NB(o$!PsG%^jU3uu3ZvB!kCX*T`9;-|l4bZj)V?9F!R(k0K7U174u z7IV0OORndvLkLdSkru;LHOc-DL_<-h{iP+{)^m}_3?gSGSaw015e|27{gH`9z}-b$XhjE{b*4532T6r zDUdK$ibpgSd0mmdg9E`4%2qY>2=?GuF$v7c=W(9(M&YC z=vk~_LA|s?A+PHX7(6$~h?Xfp>oyvssH&t{f+pYIc(R=lmLnbFY{_z1e=RfnlN=SJ-;!$ma>Wa7>Qi0VO}V@+t+gli)B`BQRg4gNOH zS*Z25|DBt1!vh;ZaZUlP<8q@<58md^9xL3+!+U$`iv@%il!85&EOSW(v z_k{*okD7eBV7J5oE1%3bH7x>9e}l@FS01&aSC_QoflND7ehfOp&>gQ{wHBj%%D;7s6*C3;|cug{jZ7F#P}6IWoS8Me9mIe=YG zM+WO{H*4bSgMPGNVdcrFcUQeGh zqAxJ#tefE+D?c0@ux3HB2E<_izeEK6P+a_+Ghff<0bSkg7EdpxhaY77^9C|q(LmmB zvXJoO5UCHU(J$6eW;@KIMgFzhtN_HvbC#gT5Z+89{I&PE+kTIwGZFv~U~cBb=ILM$ z19*BA*f6+}vk!5!_1Nu2Z&NGKSDvw?g=_^zW`Pc)`mN z__tX;#~C@U?6G;n>ZokABaBvNW0QrK+%|ECG*N5gIh-&}UABH0ER36rIw4EN7dGY& zD`6q+V_&imyJLXnmF|Kaoi=U_5${EoS@3u1)n+f4Yf-aI3(Nz#u<+rp>j0ksVy+8* zq`fdYf>a!ZHh2A%uxpD8g{Jm1on{r*$7XUHj2Rh-F_^+cSPuZTg9oanHZE($QO$K5)%EwH{S7nRt z@K1{AbC#{Zc@{zpWk3HwO_f}h-9_p>2%Q-P1+ z^IspQ(Z8##|IPbP3H5JY3I3mWf2G%_w5OTmZ`v)v|4n<$EB^-mXR-W^`|HU90 X"1" - ) - port map ( - I0 => \^din\(15), - O => \^dout\(15) - ); -end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_stub.v b/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_stub.v deleted file mode 100644 index 11f45870..00000000 --- a/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_stub.v +++ /dev/null @@ -1,21 +0,0 @@ -// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:19:24 2021 -// Host : AW13R3 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode synth_stub -rename_top audio_twos_complement_1_0 -prefix -// audio_twos_complement_1_0_ audio_twos_complement_0_0_stub.v -// Design : audio_twos_complement_0_0 -// Purpose : Stub declaration of top-level module interface -// Device : xc7a100tcsg324-1 -// -------------------------------------------------------------------------------- - -// This empty module with port declaration file causes synthesis tools to infer a black box for IP. -// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. -// Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "twos_complement,Vivado 2021.2" *) -module audio_twos_complement_1_0(din, dout) -/* synthesis syn_black_box black_box_pad_pin="din[15:0],dout[15:0]" */; - input [15:0]din; - output [15:0]dout; -endmodule diff --git a/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_stub.vhdl b/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_stub.vhdl deleted file mode 100644 index fef19c6c..00000000 --- a/srcs/sources/bd/audio/ip/audio_twos_complement_1_0/audio_twos_complement_1_0_stub.vhdl +++ /dev/null @@ -1,31 +0,0 @@ --- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:19:24 2021 --- Host : AW13R3 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode synth_stub -rename_top audio_twos_complement_1_0 -prefix --- audio_twos_complement_1_0_ audio_twos_complement_0_0_stub.vhdl --- Design : audio_twos_complement_0_0 --- Purpose : Stub declaration of top-level module interface --- Device : xc7a100tcsg324-1 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity audio_twos_complement_1_0 is - Port ( - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) - ); - -end audio_twos_complement_1_0; - -architecture stub of audio_twos_complement_1_0 is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "din[15:0],dout[15:0]"; -attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "twos_complement,Vivado 2021.2"; -begin -end; diff --git a/srcs/sources/bd/audio/sim/audio.v b/srcs/sources/bd/audio/sim/audio.v index 7a04b17f..40e23dbc 100644 --- a/srcs/sources/bd/audio/sim/audio.v +++ b/srcs/sources/bd/audio/sim/audio.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 12:12:24 2021 +//Date : Wed Dec 29 09:01:01 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target audio.bd //Design : audio @@ -9,12 +9,12 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CORE_GENERATION_INFO = "audio,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=audio,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=21,numReposBlks=21,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=21,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "audio.hwdef" *) +(* CORE_GENERATION_INFO = "audio,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=audio,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=13,numReposBlks=13,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=13,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "audio.hwdef" *) module audio - (audio_left, + (aud_sd, + audio_left, audio_pwm, audio_right, - audio_sd, clk_audio, clk_peripheral, linein_lrck, @@ -29,12 +29,11 @@ module audio reset, tape_ear, tape_mic, - tape_pwm, - tape_sd); + tape_pwm); + output aud_sd; input [12:0]audio_left; output audio_pwm; input [12:0]audio_right; - output audio_sd; (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_AUDIO CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_AUDIO, CLK_DOMAIN audio_clk_audio, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_audio; (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_PERIPHERAL CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_PERIPHERAL, CLK_DOMAIN audio_clk_peripheral, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_peripheral; output linein_lrck; @@ -50,35 +49,16 @@ module audio output tape_ear; input tape_mic; output tape_pwm; - output tape_sd; - wire [15:0]IIR_filter_0_output_l; - wire [15:0]IIR_filter_0_output_r; - wire [39:0]audio_filter_control_0_cx; - wire [7:0]audio_filter_control_0_cx0; - wire [7:0]audio_filter_control_0_cx1; - wire [7:0]audio_filter_control_0_cx2; - wire [23:0]audio_filter_control_0_cy0; - wire [23:0]audio_filter_control_0_cy1; - wire [23:0]audio_filter_control_0_cy2; - wire audio_filter_control_0_dc_ce; - wire audio_filter_control_0_dc_mute; - wire audio_filter_control_0_dc_sample_rate; - wire audio_filter_control_0_iir_ce; - wire audio_filter_control_0_iir_sample_ce; wire [12:0]audio_left_1; wire [15:0]audio_mono_0_mono_out; wire [15:0]audio_mono_1_mono_out; - wire [15:0]audio_prefilter_0_dout; - wire [15:0]audio_prefilter_1_dout; wire audio_psg_0_psg_en; wire audio_reset_0_rst; wire audio_reset_0_rstn; wire [12:0]audio_right_1; wire [15:0]audio_scaler_0_dout; - wire [15:0]audio_scaler_0_dout1; wire [15:0]audio_scaler_1_dout; - wire [15:0]audio_scaler_1_dout1; wire [12:0]audio_sync_0_dout; wire [12:0]audio_sync_1_dout; wire clk_audio_1; @@ -95,13 +75,11 @@ module audio wire tape_ear_0_ear; wire [15:0]tape_mic_0_dout; wire tape_mic_1; - wire [15:0]twos_complement_0_dout; - wire [15:0]twos_complement_1_dout; + assign aud_sd = audio_reset_0_rstn; assign audio_left_1 = audio_left[12:0]; assign audio_pwm = sigma_delta_dac_0_DACout; assign audio_right_1 = audio_right[12:0]; - assign audio_sd = audio_reset_0_rstn; assign clk_audio_1 = clk_audio; assign clk_peripheral_1 = clk_peripheral; assign linein_lrck = i2s_transceiver_0_ws; @@ -117,52 +95,6 @@ module audio assign tape_ear = tape_ear_0_ear; assign tape_mic_1 = tape_mic; assign tape_pwm = sigma_delta_dac_1_DACout; - assign tape_sd = audio_reset_0_rstn; - audio_DC_blocker_0_0 DC_blocker_0 - (.ce(audio_filter_control_0_dc_ce), - .clk(clk_audio_1), - .din(IIR_filter_0_output_l), - .dout(audio_scaler_0_dout), - .mute(audio_filter_control_0_dc_mute), - .sample_rate(audio_filter_control_0_dc_sample_rate)); - audio_DC_blocker_1_0 DC_blocker_1 - (.ce(audio_filter_control_0_dc_ce), - .clk(clk_audio_1), - .din(IIR_filter_0_output_r), - .dout(audio_scaler_1_dout), - .mute(audio_filter_control_0_dc_mute), - .sample_rate(audio_filter_control_0_dc_sample_rate)); - audio_IIR_filter_0_0 IIR_filter_0 - (.ce(audio_filter_control_0_iir_ce), - .clk(clk_audio_1), - .cx(audio_filter_control_0_cx), - .cx0(audio_filter_control_0_cx0), - .cx1(audio_filter_control_0_cx1), - .cx2(audio_filter_control_0_cx2), - .cy0(audio_filter_control_0_cy0), - .cy1(audio_filter_control_0_cy1), - .cy2(audio_filter_control_0_cy2), - .input_l(twos_complement_0_dout), - .input_r(twos_complement_1_dout), - .output_l(IIR_filter_0_output_l), - .output_r(IIR_filter_0_output_r), - .reset(audio_reset_0_rst), - .sample_ce(audio_filter_control_0_iir_sample_ce)); - audio_audio_filter_control_0_0 audio_filter_control_0 - (.clk(clk_audio_1), - .cx(audio_filter_control_0_cx), - .cx0(audio_filter_control_0_cx0), - .cx1(audio_filter_control_0_cx1), - .cx2(audio_filter_control_0_cx2), - .cy0(audio_filter_control_0_cy0), - .cy1(audio_filter_control_0_cy1), - .cy2(audio_filter_control_0_cy2), - .dc_ce(audio_filter_control_0_dc_ce), - .dc_mute(audio_filter_control_0_dc_mute), - .dc_sample_rate(audio_filter_control_0_dc_sample_rate), - .iir_ce(audio_filter_control_0_iir_ce), - .iir_sample_ce(audio_filter_control_0_iir_sample_ce), - .reset(audio_reset_0_rst)); audio_audio_mono_0_0 audio_mono_0 (.left_in(audio_scaler_0_dout), .mono_out(audio_mono_0_mono_out), @@ -171,12 +103,6 @@ module audio (.left_in(i2s_transceiver_0_l_data_rx), .mono_out(audio_mono_1_mono_out), .right_in(i2s_transceiver_0_r_data_rx)); - audio_audio_prefilter_0_0 audio_prefilter_0 - (.din(audio_scaler_0_dout1), - .dout(audio_prefilter_0_dout)); - audio_audio_prefilter_1_0 audio_prefilter_1 - (.din(audio_scaler_1_dout1), - .dout(audio_prefilter_1_dout)); audio_audio_psg_0_0 audio_psg_0 (.clk_peripheral(clk_peripheral_1), .psg_en(audio_psg_0_psg_en)); @@ -187,15 +113,15 @@ module audio .rstn(audio_reset_0_rstn)); audio_audio_scaler_0_0 audio_scaler_0 (.din(audio_sync_0_dout), - .dout(audio_scaler_0_dout1)); + .dout(audio_scaler_0_dout)); audio_audio_scaler_1_0 audio_scaler_1 (.din(audio_sync_1_dout), - .dout(audio_scaler_1_dout1)); + .dout(audio_scaler_1_dout)); audio_audio_sync_0_0 audio_sync_0 (.clk(clk_audio_1), .din(audio_left_1), .dout(audio_sync_0_dout)); - audio_audio_sync_1_0 audio_sync_1 + audio_audio_sync_0_1 audio_sync_1 (.clk(clk_audio_1), .din(audio_right_1), .dout(audio_sync_1_dout)); @@ -227,10 +153,4 @@ module audio audio_tape_mic_0_0 tape_mic_0 (.din(tape_mic_1), .dout(tape_mic_0_dout)); - audio_twos_complement_0_0 twos_complement_0 - (.din(audio_prefilter_0_dout), - .dout(twos_complement_0_dout)); - audio_twos_complement_1_0 twos_complement_1 - (.din(audio_prefilter_1_dout), - .dout(twos_complement_1_dout)); endmodule diff --git a/srcs/sources/bd/audio/synth/audio.hwdef b/srcs/sources/bd/audio/synth/audio.hwdef index 3253eaef625461a82b109e5b8d12da31933a5f75..7ae4df1fc63fba784acba6c4f7e16160860bff16 100644 GIT binary patch delta 3672 zcmV-e4yW@6aWAK2mm2Sov{sP0S+NZol{ZxB+MfV00913lY#*xe_Y#= z;y4n0udi_UVc&WRm`l&pLQlBC6prS$+*Zc8sh#9*O>(ae>(F9UXt<)2KD-uCoXhUT4HR;)l@p!_AH3G^yZV*d00Eq}^+|%fo4N ze(yRTN8Y5b2VG?h#to?uaHGe}56Q{g(TF ziT~m{LvH}TmQU*qXfgKMpS*kcy*fVp*!anHreojl+)um?JbPDnZ|PwCf6n~L(Cd#! zliBnG3=al~|Lt^wNy`mfr|)-XpgAZmiAUS;E4*+L-1p#*FsakvKA5)Lr)V91CBJB6 z|9lD$(Q=E%`@`vo$k1qCfBGtTS$i}b`t2FaZ~S^P1o6}fhA`VhtS9Y${4-i1cqJYs zo~#I~f;)k*ThWgxbBo(06dS4PJbvG0>TujV?3QR9Zcp&POL#TvcwA-6fA*#2df+k(AN5C{ zGYv-vatM#%35<3)o}yAFQ^YWZh_qtHYWF{NC;mU?{;&<>uQwXM*522^k6ED6h*@p_ zMZ<)v1y8agN;EHHDm6TrZre>1ZX&e9FXk#Lkt#WKwg?CjLm7HdaJPXeyF7wa`xuRV z(>S8jVHq7);ReMQ zbK=MFuzCCz9+q2kPnPGp9Ncix##2aUwv;02_#k9jQ09o;I7^wte?%hEyo`G#L@}EXi6s<) zm79Wo>{>^h%w#E|P9&1M*i~kY4(G}~hQa^!M+#rU42>e(x{OIw3p3{uGD~=v0$x~~ zR~suyP1c}KX0#+?71Bp^I+ud5ge+znDzb`M@LCmS$Go(lp}1)o$CAXbp~uonjGcoP zNvyFvEhXltMMk7*I|!> zAy6t^ukBC4s`w9sQD@%w86iP`IqlKJp8$aqGfS@1f1UUHG)!)3!0z_Z9#3;mCZOQA zPKdB}!Fcv%3^d8up9JHcKk@p|c8dI=_puL;K~s2jyYEebsFB;iQ`%9y76`2VaM5zl z8^|-xgoWDe*H7CJ9m217q8;I(xEpmw?fJkT&amDwH8S=lKuW=U$m9-x7^gqpyc3L2 z2P*l6f7hSmq5dKQ0_IO|KkWtGnP{Bbw!Pmy0<#yL&~Uj6c_*rZPy_mi$Xje(NhuKgw9 zO-vp`CnA>XM|vk&Hu_jFZRB^ZnuK2}p=aH)f1W)4ZRiOLNlce=bCTdBnTnx3X*46= zuZl%8843V9nKw1IYLl?3+?$#o=Timks33}Pbl@@gnZg~I!wBx6-5cDcK%*(#)n6an zCDL%T1wI}~c_>$_1W7_JLp~w?s_>T>A38j*-a>puy{*Av-3}a*OjDs;mE843pkT~i ze_jH?xS1sk1Zj}fT+H~OnVp2s0Huh6R{<$r4dNk`E6#~0iTyvmUgGBf+Tt%#_N5kS z41?k^R18F3Dq=E8qQeW&T2iknV4JR`Hmu^>=H?}0yh^^}K=MNCErf=nHbN`|KR$*l z*mFOzeK=Yi&AiFIkE;$6Caeu9jo1%be;6qtLhOwb;ej{S-5`GK^hftW+v~Sn=5XWQ zm#OkZn~_QCi0Uo=8Jw_M2rZQUidB)w~Ks z@pz8s#u^8w!ISQ>1%Qi=Omke(Uy@8?m-(zx9&;!rG-44gX>H7Ggu9CuCnc`nG&ZY zvtBesnp%;oFf>VN`;jvo4*!YGgX``ea3`r zWzvj1BX>27#g$zK1U+1)UX&S6JY(lTK$!@hFt3?m(S>x{1BY>D*D;_2KN~1G(bbrxQKWi*(Cj7;8 z1Z-j|x@N666xZ3_08SZOEMxD}d*t66q>#;nYqNp;e{gYONU^sa zfFXtFbPyJ%pwnAz;=odf7<~6mnhVp`%s67YNG=ksUW6a#35>=G9KDrB<4vK%c48x zeaIc5mjGiH@*Jv?C=zJV^9Ez7{-V;Z&qEodB%av`SxV!|S(zp?KQ+@D+sV)HO$&1B z8XcA=d@nOT;`WnVgeQOrAJc}bBU+zd@;j~3_s#nBjlbf;DZ1QDe^8r?>m84yJ9Lct zy&|z4<0ZXK$UqdR^fGJ;%aPQpf7LGKX!u`&tgk{nE1L05i7K}a?CHg>%z%D!@M$l& zxNu@IkfhVA6xCNw>fms#D{C`88H&=KGHvh`tRBw}xwNBb1tt{>i1F+si}MKr|;iW?8q(;0QaVE@$* zH`4=pz^fHh^!bwD=h-6>MEe+(DA>EHhSv_Jj5PX8~r zS8fik>2#$Wo+p9v1*@!eE;-t`LzPHT7f+V8Q^dem&g`#o^k&6nY>-f^X6JOXERku- zZ;_N&)Qrc4Ry>2j`8BpO&1_W@AW>Y{x2up{UR|{$qi3^{RcFo}S84`ZC(jgV%&PbR zS8)xWhvL!0e>Rt{PHFrG^R{9BI-B`rVLox0*IqHhFBTV%6(tKysbox1Ufhg`*r|sS#n9bnINHF!$tOqGVZ`AMb?zMBR*6qsce{&+&&&}GIc2`Q*Q^2msp)8Vc zTDq=BBZNw10b(JcRln+mKfaMwl1QeIzxH8yg;GBML1Y)XUvCEDEG5U^EMxzERq6Tj zB(KE4@Z}UZ>y&ftTyA9t;>gEZ^6p!*S6&C=7Nb6V$3sH227KkOBBGI5zSfyC>goi? z%sy1hOXk1Q3a?ha48geQidiWn+&VXDc)XO2z{WR2QYt!n>Vjs-#dRzi=|>7|Mwt8P^_ zl{V6;^q=Go_T)n8P5>kAL}aEGo`r{37Liy5-^cT z%0-co#^iTj$^GKG zhc7l~e>Ry+qnFiVnw6}EJ1(>Vkuj{&e6DTqQD$H)Gp`K;udE1FUYH*>C8#e5;=k+^ zaGH>ZZzRa2N{EmY$$&8`6i~B-`~l6jK&k4Y=Cs48HVRdf=ncx#hbTKxPq5K_BosOTe{0&c?hM$pq{eE`&-Dtpz>uL9jObNx5YgSQB6WAixn zf6dw@$D)j=vD3l!)}J_Cuy0Y8LsJrHwL#m$1N>d5tjXfx`(Qne zTHG%AYLDsdJ(grK8`KZ+{U~gH4S~e=f*@(6W22{cDti`k&O}OoA^7JYhpD)R?evX|dHJTmwGaH}(0Z>Z;0ssIM z0000803k@7lZO;E4k1XLQ&IRN%p(f`0RCB%$rLGXAxNE5%TY8nNCE%=w+H|L2><{9 z000000RR910D#C0003ciWNB|MVq{@ZO9ci10000300RJ}0000>4*&oz05CE_VpLK# qR%S7EL1#umWNukRXjXVed2V@8NH}zHYH~qFLt;)@6aWAK2mp*>m9Y(H0S=5|l~cYWhWk+v007;alY#*xe_hFP z8c79Tr1ygE3mR>JjY zF&WL4i{P@lSXL{)eR_A{x4sO%e-4KJZN+c>{^0iq?OqqxuU9L>_N_k{Hg4}OtHYO| z(hA0vdaY8cpTmFk<4WDD*Q%9=_Cur96BY57Q1{cjca_QoCEV^_^(u{i^X9TTTCJy> z(`w~&uhDN^RwvV^=`2{Rr;G9X@p68?2sYotgVE_h&GXjh&rsSsCrDcUe?z+qi{KqnF7PP~0_|UmDl&c^p0+)jw1#gFD}EUH2O;`0k)qJ*1uS|2g#g-A3oG z*B=f(LHD48_}`UQyMI}2S1TR=Y6!>yxI!Myf=6hu-@d+qA7M}j?d$g7vih=^1drqs zE$naB!D=y@p}Xa39U{^-e_EF|1&uX(-LBsp!uYc0Nf+d|m39|KyNhws>f!gr7(tV` zmw2!i7z(Zg#13UW4(1S-iva8CaGt--6ETF-r}+p?g4r7WKZ2%FtI=G(tYJ1;tC2=Y zU*xw6ga|Q?gV8JjC2@{c)2Ba5PZF(M2BI=cAP6pJDV$(>okqVsfBbq`Z2;3e_^8wS zJ#p%2S5`q7tYO+#;d)VO(ljz05}{UXx|*FYSAG8<_kOnt{jb&QXWpp?oXkay%FKB7 zClwR{3%*H~DOtSCWa$FRvCXE7V7fqa+^~SC$*RKA$s|BXa?)ru(Z6QP@t?s837*N- zcpS6ks%Q$LXci_Ze;c#XU!jR|HQR3Y(c^RmT2ZR7Toox$R&~5wtXIofDH2Q?_*55Y zTvxogDo*PmTCYZna2!m*3d;`XV=Z%%#8~H=r1j`IK*309RqJyuW1)*I2rT&%C$i7M zYWn;~uo}(s%dJwUjPO#Pcn+WBxB^0?P!+SZK**FPIWFQeKUWUCQr1+c=iY0)6r$S8} zW33Q7Z%IJ(fkbK*w_qk{wXx(nAP5%o0#O0^cWn4eDU?TKxNekO2}pvsxQ8UVZ^rMu07OsVNR{FyNrKM>rc|QW zVj>8|`E;DvYhAG*QNGN`^UBi2vduUUibWC*HJ1qoe-Y=DPdMo8^P>jk7l%gb3#aDz zSM4rj#jYAne*lb%f4J?n?mIruDbY`rX0Pw}AqU5jgVoB_eWybu|4@$*Z`2$jL@_d_ zrI%~p4Zq$&rt8k{x9@KJexn0r2gvU>K6l`2m^^5@*=YXae?t<9^X24a7NAw|crMG4RHBfKRvxA3H_L9_HTsZOgz-@GbK*vN6yjo|JtUSCbCpT@f7wK|{eQJ9Q{3ie8blrTBzt<20ro zz@_9|gf`*cb*u`P-U%NcBiE;N!1zU(bX`Glf4wMa3!H>RG^t6ymWfUBnZPwtNiY)$ znOSNh&3poyjL|p{dfq^g3tK#4j*-%Lg>U|{E`yL%vzfn4jHCruD8teG8H??x6j-(P zRxzs$*+XZ`4#zxXB%xyFdV`u?L*Oqz6!Ja_!A7gS+x(mzmQ?WlPGskV4P^%7Q8>7UOrk+2!^%bsytB z1?*<2=F(nyn~oeeRYpU_wJ`UixnmhIe^@w$n`y69E6VM%OeYXFie)zA7sZ8EDRQvP zE-TrEa(wK>F4xdj>@r!Gw>QkIy)(@EgeDtiy_~gSmgLd{W?9Zn!2A*h2)6Q!=Aj65 z(<9>$){KLtC`>l+VD`p;B4PA+89Y9sjVOKk{`AACSNnK&bX+?+Iq|%+;J`akf1R=< z5|FH5vHVe<;@~S4DGs}eSn)h~zRS)W)Zc$R`EYbrJN8cMC&$M%?<`06zRT`G{rbnF zj~|Zfr!Xe*S@1c_v>w*(2<>GfKUSc#lIwdgDr zkM|T=g_jyNZpScDdrM6n5Xj~fe@p>Qr>pJefLH^r5Hd%q98g(#dp;P(E8DS$ObE;c6Bfhf1+dZF&SnXIH@sHmf|| z+E(#uCeIaKFtX+G;yu>XCnA1P?D!kMC_zQMkZ`p;-qfA(bNxs#H1=vre*=cfTLcW$ zT?w!X*oLFQh1(`zcO0z(wqfb-TV-jW?rMf>B3D0M(7by#Td%(@Lj-3WV0~kb7Y{xA zpgBU4i!TYsw*_{ULx#y6ZdBnoB;aSVbBOazZo>gblhG{M1jd++Yn3m>$Sn}}obDFWh0^8^bxK!ej zNx~BFWM$VnM^aWinUYq7f~C6v3xcGTY{12N){r*VPysHPG*VJSN?p*E)GIgZmWn;Z zh_GSa@ispNr-(k(f1Z^dtVAMqqqeK9CUN5w-St_7o1(P~jm$5-(fMau$J=Jq&i8m` z#p=AAqX-?7%M+L5a&DsW#(k^ZLoK)(g6}U#-|dhiq^4u?+WRDv_FeJ)x!H+0MN>IQ zud39z9o$l8Rcv)Cgwa7(Rlz81pbLy5B$26d!c_V8eAC1Zf8#Tn#xCVIG|e`ODOA)8nc&v$x_TKI50n&GRG?3coIdR)hC$&(>uvpqH1Cv}QQMH_8y zE`p2v%sz{H4o^l7k~?=s(EQm%eQ&&*5~A)PWYI(&*oAEyz>$S}i(XRH9l$h2T_WAP zc!gcdmTAxF?QO0sdH9q|u)`-e);mYqgp9*ZvL<3}e}!HClfK?S`^k#hn4|c!3yiR( zFp4{H@LdtgJ%35i^u8dYmV1;&1I5v%W)Nh5wZq^QI2le?Q4s3(nh5bVweUF@!{y0q zew`}4B4yavprzxvX-AySw>1 z(7rJSe*axub}av{^?Sow>x#HlL_?nBU?8H_9IE0n41a-M~yFU;Qba$}*2$^B?W zQ0jAJd)&&*cnbfa?+-vHXeg7`?&3BA#0*kWe{~8d>AZFULM9dw_QYT*p1@LP3{H4Q zrcAwdn@lR)GenheWX9yB+wQoMHUf8#<(jg1&L+8`yd)wiIZZy0atS>FnP+=0mylm% zt#_spu4i4>_Q6I^2T=3jDQUDn+vh?~;h0=Bbo&$e5~=s|rOn4sd37 zrR}6HkTCa87ciBasR>v)S9$=*!ann5$&e>F1- zyYbKP161TO8hqLtKhMeXFCWwdX5^qZe!T`>)H3Rvq*t1tZ_~;857C58SZ9pI?lx4> z+z!c(L#}m)>1b+_X-7m71QOsj31Gfsl!CN-tIVBcz`RG$sw@inut;i~AQMXoHjgp6 z!^K|`1AIC%EilM*|1lYG(&-CRfA*G>&ON+#m$Z~06-DK#J?EoC-ZIlrl^dNX9UJ~3 zPg><4ApHp}w_`-6-bG$VECEArVS9HHI>RaCOpJG%xesks>KYRipS+mOu<}uW?Cqk; zQ5**wVpVD)yv32Wdyw*iHInX32NL-K+5A1*&w6(OV+mGe_(2m=?ND; zr~Gi7<+R2imIo!uAeOaVBNm5CibLMcx@^qugk+yTrm(foZ_rHl`HjMEeE!?PXV89p zq4ii*G7(R|-F*;6|Mu6*a{c!j{VTOrY8KbPv?JeLIDhQDxg`4*?JcWdBPqJka3B+69aVm4TGFPJNE-hj$2 z1rzM~lsI?E+wg2OfB*Ln<(_ZHc_rgd%yXL8s+Mx2;>g?eX~&>=le$4M zk;3U#^dMr-q$j+?qzLWde*DrCQ zU|V7;rVE{r(wOZuirQ#szo~))ZVRO%@=rz|g7 z1$SI%10rX*OY^C=!6%u6vCO;<47{=;RC#88)RdsUAc+65Q^09L9)6HuEmcBnndqVo^PUTK|$pK^K;(9Fk1A<)PYsWX%B=8^Pb4u zDW~K`=__aMlw(nR<$t73G8JgUl%=D$P~QS;{4I;qSC_>t*xQX7#`-;oh4j{*#IgY} z&5DX)sXR0;2cY&FvG>4Pq)r@GNp)J)Mtk67PS5oQ_H6YD%QL6t9hAT0nbYwG%ir+K zX?TO=>-USx@0|vGxSn<|yXXI*7h%gbKF@#YEWAai8=FVjmwyYF9E&ob#!d&@TYuqn z!M;V=8g?6l14dA;SZ)}$G3+6lg|$#lal+&vq~JMhx|Mq4^%bcoYx}icbEQqw(Xpr3 zX!c++>S7qBU?9MDpAhtSHi@G;VB2?qpUdTx%wR{kypggXv*n-OdK}fqws;p5##bpU zUhs_E@IC8+^j3Kc&_oW;X>YrW!{jOlyd*w4A0BUY!nj`7*GYn zT4M>C8Hv)~lE)Xxxbd7k?&erCsnN~2o7wpLKTt~n0ssIM000080E}UklUffn4vb-y zQ@$gH`%w@80NtCDoDV5)jA4~i4DAZ4M*;u<{9000000RR910D$%p003ci zWNB|MVq{@ZO9ci10000300RJ}0001Z6aWA&05CFnM_NlsdRAjsa&t;CVlh^Fa%y%) ac2Gw_R!~D|YBN|&crr_PZDLteGj}}{0i?MA diff --git a/srcs/sources/bd/audio/synth/audio.v b/srcs/sources/bd/audio/synth/audio.v index 7a04b17f..40e23dbc 100644 --- a/srcs/sources/bd/audio/synth/audio.v +++ b/srcs/sources/bd/audio/synth/audio.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 12:12:24 2021 +//Date : Wed Dec 29 09:01:01 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target audio.bd //Design : audio @@ -9,12 +9,12 @@ //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps -(* CORE_GENERATION_INFO = "audio,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=audio,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=21,numReposBlks=21,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=21,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "audio.hwdef" *) +(* CORE_GENERATION_INFO = "audio,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=audio,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=13,numReposBlks=13,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=13,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "audio.hwdef" *) module audio - (audio_left, + (aud_sd, + audio_left, audio_pwm, audio_right, - audio_sd, clk_audio, clk_peripheral, linein_lrck, @@ -29,12 +29,11 @@ module audio reset, tape_ear, tape_mic, - tape_pwm, - tape_sd); + tape_pwm); + output aud_sd; input [12:0]audio_left; output audio_pwm; input [12:0]audio_right; - output audio_sd; (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_AUDIO CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_AUDIO, CLK_DOMAIN audio_clk_audio, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_audio; (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.CLK_PERIPHERAL CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.CLK_PERIPHERAL, CLK_DOMAIN audio_clk_peripheral, FREQ_HZ 28000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input clk_peripheral; output linein_lrck; @@ -50,35 +49,16 @@ module audio output tape_ear; input tape_mic; output tape_pwm; - output tape_sd; - wire [15:0]IIR_filter_0_output_l; - wire [15:0]IIR_filter_0_output_r; - wire [39:0]audio_filter_control_0_cx; - wire [7:0]audio_filter_control_0_cx0; - wire [7:0]audio_filter_control_0_cx1; - wire [7:0]audio_filter_control_0_cx2; - wire [23:0]audio_filter_control_0_cy0; - wire [23:0]audio_filter_control_0_cy1; - wire [23:0]audio_filter_control_0_cy2; - wire audio_filter_control_0_dc_ce; - wire audio_filter_control_0_dc_mute; - wire audio_filter_control_0_dc_sample_rate; - wire audio_filter_control_0_iir_ce; - wire audio_filter_control_0_iir_sample_ce; wire [12:0]audio_left_1; wire [15:0]audio_mono_0_mono_out; wire [15:0]audio_mono_1_mono_out; - wire [15:0]audio_prefilter_0_dout; - wire [15:0]audio_prefilter_1_dout; wire audio_psg_0_psg_en; wire audio_reset_0_rst; wire audio_reset_0_rstn; wire [12:0]audio_right_1; wire [15:0]audio_scaler_0_dout; - wire [15:0]audio_scaler_0_dout1; wire [15:0]audio_scaler_1_dout; - wire [15:0]audio_scaler_1_dout1; wire [12:0]audio_sync_0_dout; wire [12:0]audio_sync_1_dout; wire clk_audio_1; @@ -95,13 +75,11 @@ module audio wire tape_ear_0_ear; wire [15:0]tape_mic_0_dout; wire tape_mic_1; - wire [15:0]twos_complement_0_dout; - wire [15:0]twos_complement_1_dout; + assign aud_sd = audio_reset_0_rstn; assign audio_left_1 = audio_left[12:0]; assign audio_pwm = sigma_delta_dac_0_DACout; assign audio_right_1 = audio_right[12:0]; - assign audio_sd = audio_reset_0_rstn; assign clk_audio_1 = clk_audio; assign clk_peripheral_1 = clk_peripheral; assign linein_lrck = i2s_transceiver_0_ws; @@ -117,52 +95,6 @@ module audio assign tape_ear = tape_ear_0_ear; assign tape_mic_1 = tape_mic; assign tape_pwm = sigma_delta_dac_1_DACout; - assign tape_sd = audio_reset_0_rstn; - audio_DC_blocker_0_0 DC_blocker_0 - (.ce(audio_filter_control_0_dc_ce), - .clk(clk_audio_1), - .din(IIR_filter_0_output_l), - .dout(audio_scaler_0_dout), - .mute(audio_filter_control_0_dc_mute), - .sample_rate(audio_filter_control_0_dc_sample_rate)); - audio_DC_blocker_1_0 DC_blocker_1 - (.ce(audio_filter_control_0_dc_ce), - .clk(clk_audio_1), - .din(IIR_filter_0_output_r), - .dout(audio_scaler_1_dout), - .mute(audio_filter_control_0_dc_mute), - .sample_rate(audio_filter_control_0_dc_sample_rate)); - audio_IIR_filter_0_0 IIR_filter_0 - (.ce(audio_filter_control_0_iir_ce), - .clk(clk_audio_1), - .cx(audio_filter_control_0_cx), - .cx0(audio_filter_control_0_cx0), - .cx1(audio_filter_control_0_cx1), - .cx2(audio_filter_control_0_cx2), - .cy0(audio_filter_control_0_cy0), - .cy1(audio_filter_control_0_cy1), - .cy2(audio_filter_control_0_cy2), - .input_l(twos_complement_0_dout), - .input_r(twos_complement_1_dout), - .output_l(IIR_filter_0_output_l), - .output_r(IIR_filter_0_output_r), - .reset(audio_reset_0_rst), - .sample_ce(audio_filter_control_0_iir_sample_ce)); - audio_audio_filter_control_0_0 audio_filter_control_0 - (.clk(clk_audio_1), - .cx(audio_filter_control_0_cx), - .cx0(audio_filter_control_0_cx0), - .cx1(audio_filter_control_0_cx1), - .cx2(audio_filter_control_0_cx2), - .cy0(audio_filter_control_0_cy0), - .cy1(audio_filter_control_0_cy1), - .cy2(audio_filter_control_0_cy2), - .dc_ce(audio_filter_control_0_dc_ce), - .dc_mute(audio_filter_control_0_dc_mute), - .dc_sample_rate(audio_filter_control_0_dc_sample_rate), - .iir_ce(audio_filter_control_0_iir_ce), - .iir_sample_ce(audio_filter_control_0_iir_sample_ce), - .reset(audio_reset_0_rst)); audio_audio_mono_0_0 audio_mono_0 (.left_in(audio_scaler_0_dout), .mono_out(audio_mono_0_mono_out), @@ -171,12 +103,6 @@ module audio (.left_in(i2s_transceiver_0_l_data_rx), .mono_out(audio_mono_1_mono_out), .right_in(i2s_transceiver_0_r_data_rx)); - audio_audio_prefilter_0_0 audio_prefilter_0 - (.din(audio_scaler_0_dout1), - .dout(audio_prefilter_0_dout)); - audio_audio_prefilter_1_0 audio_prefilter_1 - (.din(audio_scaler_1_dout1), - .dout(audio_prefilter_1_dout)); audio_audio_psg_0_0 audio_psg_0 (.clk_peripheral(clk_peripheral_1), .psg_en(audio_psg_0_psg_en)); @@ -187,15 +113,15 @@ module audio .rstn(audio_reset_0_rstn)); audio_audio_scaler_0_0 audio_scaler_0 (.din(audio_sync_0_dout), - .dout(audio_scaler_0_dout1)); + .dout(audio_scaler_0_dout)); audio_audio_scaler_1_0 audio_scaler_1 (.din(audio_sync_1_dout), - .dout(audio_scaler_1_dout1)); + .dout(audio_scaler_1_dout)); audio_audio_sync_0_0 audio_sync_0 (.clk(clk_audio_1), .din(audio_left_1), .dout(audio_sync_0_dout)); - audio_audio_sync_1_0 audio_sync_1 + audio_audio_sync_0_1 audio_sync_1 (.clk(clk_audio_1), .din(audio_right_1), .dout(audio_sync_1_dout)); @@ -227,10 +153,4 @@ module audio audio_tape_mic_0_0 tape_mic_0 (.din(tape_mic_1), .dout(tape_mic_0_dout)); - audio_twos_complement_0_0 twos_complement_0 - (.din(audio_prefilter_0_dout), - .dout(twos_complement_0_dout)); - audio_twos_complement_1_0 twos_complement_1 - (.din(audio_prefilter_1_dout), - .dout(twos_complement_1_dout)); endmodule diff --git a/srcs/sources/bd/audio/ui/bd_1d055016.ui b/srcs/sources/bd/audio/ui/bd_1d055016.ui index 2296ff0a..7a7f159f 100644 --- a/srcs/sources/bd/audio/ui/bd_1d055016.ui +++ b/srcs/sources/bd/audio/ui/bd_1d055016.ui @@ -1,98 +1,69 @@ { "ActiveEmotionalView":"Default View", - "Default View_ScaleFactor":"2.48257", - "Default View_TopLeft":"-155,451", + "Default View_ScaleFactor":"0.956818", + "Default View_TopLeft":"-293,0", "ExpandedHierarchyInLayout":"", "guistr":"# # String gsaved with Nlview 7.0r6 2020-01-29 bk=1.5227 VDI=41 GEI=36 GUI=JA:10.0 non-TLS # -string -flagsOSRD -preplace port port-id_audio_pwm -pg 1 -lvl 9 -x 2400 -y 450 -defaultsOSRD -preplace port port-id_audio_sd -pg 1 -lvl 9 -x 2400 -y 560 -defaultsOSRD -preplace port port-id_clk_audio -pg 1 -lvl 0 -x 0 -y 760 -defaultsOSRD -preplace port port-id_clk_peripheral -pg 1 -lvl 0 -x 0 -y 990 -defaultsOSRD -preplace port port-id_linein_lrck -pg 1 -lvl 9 -x 2400 -y 90 -defaultsOSRD -preplace port port-id_linein_mclk -pg 1 -lvl 9 -x 2400 -y 500 -defaultsOSRD -preplace port port-id_linein_sclk -pg 1 -lvl 9 -x 2400 -y 30 -defaultsOSRD -preplace port port-id_linein_sdin -pg 1 -lvl 0 -x 0 -y 120 -defaultsOSRD -preplace port port-id_lineout_lrck -pg 1 -lvl 9 -x 2400 -y 120 -defaultsOSRD -preplace port port-id_lineout_mclk -pg 1 -lvl 9 -x 2400 -y 530 -defaultsOSRD -preplace port port-id_lineout_sclk -pg 1 -lvl 9 -x 2400 -y 60 -defaultsOSRD -preplace port port-id_lineout_sdout -pg 1 -lvl 9 -x 2400 -y 150 -defaultsOSRD -preplace port port-id_psg_en -pg 1 -lvl 9 -x 2400 -y 1050 -defaultsOSRD -preplace port port-id_reset -pg 1 -lvl 0 -x 0 -y 670 -defaultsOSRD -preplace port port-id_tape_ear -pg 1 -lvl 9 -x 2400 -y 320 -defaultsOSRD -preplace port port-id_tape_mic -pg 1 -lvl 0 -x 0 -y 810 -defaultsOSRD -preplace port port-id_tape_pwm -pg 1 -lvl 9 -x 2400 -y 830 -defaultsOSRD -preplace port port-id_tape_sd -pg 1 -lvl 9 -x 2400 -y 590 -defaultsOSRD -preplace portBus audio_left -pg 1 -lvl 0 -x 0 -y 590 -defaultsOSRD -preplace portBus audio_right -pg 1 -lvl 0 -x 0 -y 730 -defaultsOSRD -preplace inst DC_blocker_1 -pg 1 -lvl 6 -x 1540 -y 370 -defaultsOSRD -preplace inst audio_mono_1 -pg 1 -lvl 7 -x 1850 -y 80 -defaultsOSRD -preplace inst audio_prefilter_1 -pg 1 -lvl 3 -x 640 -y 740 -defaultsOSRD -preplace inst audio_scaler_1 -pg 1 -lvl 2 -x 390 -y 740 -defaultsOSRD -preplace inst audio_sync_1 -pg 1 -lvl 1 -x 140 -y 740 -defaultsOSRD -preplace inst sigma_delta_dac_1 -pg 1 -lvl 8 -x 2200 -y 830 -defaultsOSRD -preplace inst twos_complement_1 -pg 1 -lvl 4 -x 890 -y 740 -defaultsOSRD -preplace inst DC_blocker_0 -pg 1 -lvl 6 -x 1540 -y 570 -defaultsOSRD -preplace inst IIR_filter_0 -pg 1 -lvl 5 -x 1210 -y 300 -defaultsOSRD -preplace inst audio_filter_control_0 -pg 1 -lvl 4 -x 890 -y 370 -defaultsOSRD -preplace inst audio_mono_0 -pg 1 -lvl 7 -x 1850 -y 400 -defaultsOSRD -preplace inst audio_prefilter_0 -pg 1 -lvl 3 -x 640 -y 600 -defaultsOSRD -preplace inst audio_psg_0 -pg 1 -lvl 8 -x 2200 -y 970 -defaultsOSRD -preplace inst audio_reset_0 -pg 1 -lvl 7 -x 1850 -y 680 -defaultsOSRD -preplace inst audio_scaler_0 -pg 1 -lvl 2 -x 390 -y 600 -defaultsOSRD -preplace inst audio_sync_0 -pg 1 -lvl 1 -x 140 -y 600 -defaultsOSRD -preplace inst i2s_transceiver_0 -pg 1 -lvl 8 -x 2200 -y 150 -defaultsOSRD -preplace inst sigma_delta_dac_0 -pg 1 -lvl 8 -x 2200 -y 450 -defaultsOSRD -preplace inst tape_ear_0 -pg 1 -lvl 8 -x 2200 -y 320 -defaultsOSRD -preplace inst tape_mic_0 -pg 1 -lvl 7 -x 1850 -y 810 -defaultsOSRD -preplace inst twos_complement_0 -pg 1 -lvl 4 -x 890 -y 610 -defaultsOSRD -preplace netloc IIR_filter_0_output_l 1 5 1 1350 290n -preplace netloc IIR_filter_0_output_r 1 5 1 1360 310n -preplace netloc audio_filter_control_0_cx 1 4 1 N 260 -preplace netloc audio_filter_control_0_cx0 1 4 1 N 280 -preplace netloc audio_filter_control_0_cx1 1 4 1 N 300 -preplace netloc audio_filter_control_0_cx2 1 4 1 N 320 -preplace netloc audio_filter_control_0_cy0 1 4 1 N 340 -preplace netloc audio_filter_control_0_cy1 1 4 1 N 360 -preplace netloc audio_filter_control_0_cy2 1 4 1 N 380 -preplace netloc audio_filter_control_0_dc_ce 1 4 2 1040J 480 1380 -preplace netloc audio_filter_control_0_dc_mute 1 4 2 1010J 490 1390 -preplace netloc audio_filter_control_0_dc_sample_rate 1 4 2 1020J 500 1400 -preplace netloc audio_filter_control_0_iir_ce 1 4 1 1010 220n -preplace netloc audio_filter_control_0_iir_sample_ce 1 4 1 1020 240n -preplace netloc audio_left_1 1 0 1 NJ 590 -preplace netloc audio_mono_0_mono_out 1 7 1 2010 400n -preplace netloc audio_mono_1_mono_out 1 7 1 2030 80n -preplace netloc audio_prefilter_0_dout 1 3 1 760J 600n -preplace netloc audio_prefilter_1_dout 1 3 1 NJ 740 -preplace netloc audio_psg_0_psg_en 1 7 2 2010 1050 NJ -preplace netloc audio_reset_0_rst 1 3 5 770 200 1010 110 NJ 110 1680J 160 2000 -preplace netloc audio_reset_0_rstn 1 7 2 2020 560 2350J -preplace netloc audio_right_1 1 0 1 NJ 730 -preplace netloc audio_scaler_0_dout 1 6 2 1680 170 NJ -preplace netloc audio_scaler_0_dout1 1 2 1 NJ 600 -preplace netloc audio_scaler_1_dout 1 6 2 1690 190 NJ -preplace netloc audio_scaler_1_dout1 1 2 1 NJ 740 -preplace netloc audio_sync_0_dout 1 1 1 NJ 600 -preplace netloc audio_sync_1_dout 1 1 1 NJ 740 -preplace netloc clk_audio_1 1 0 9 20 360 NJ 360 NJ 360 760 180 1020 120 1370 470 1670 470 1990 530 2350J -preplace netloc clk_peripheral_1 1 0 8 NJ 990 NJ 990 NJ 990 NJ 990 NJ 990 NJ 990 NJ 990 NJ -preplace netloc i2s_transceiver_0_l_data_rx 1 6 3 1700 10 NJ 10 2340 -preplace netloc i2s_transceiver_0_r_data_rx 1 6 3 1700 250 NJ 250 2340 -preplace netloc i2s_transceiver_0_sclk 1 8 1 2350 30n -preplace netloc i2s_transceiver_0_sd_tx 1 8 1 NJ 150 -preplace netloc i2s_transceiver_0_ws 1 8 1 2360 90n -preplace netloc linein_sdin_1 1 0 8 20J 100 NJ 100 NJ 100 NJ 100 NJ 100 NJ 100 1690J 150 NJ -preplace netloc reset_1 1 0 7 NJ 670 NJ 670 NJ 670 NJ 670 NJ 670 NJ 670 NJ -preplace netloc sigma_delta_dac_0_DACout 1 8 1 NJ 450 -preplace netloc sigma_delta_dac_1_DACout 1 8 1 NJ 830 -preplace netloc tape_ear_0_ear 1 8 1 NJ 320 -preplace netloc tape_mic_0_dout 1 7 1 NJ 810 -preplace netloc tape_mic_1 1 0 7 NJ 810 NJ 810 NJ 810 NJ 810 NJ 810 NJ 810 NJ -preplace netloc twos_complement_0_dout 1 4 1 1030 400n -preplace netloc twos_complement_1_dout 1 4 1 1050 420n -levelinfo -pg 1 0 140 390 640 890 1210 1540 1850 2200 2400 -pagesize -pg 1 -db -bbox -sgen -160 0 2540 1070 +preplace port port-id_audio_pwm -pg 1 -lvl 5 -x 1210 -y 660 -defaultsOSRD +preplace port port-id_aud_sd -pg 1 -lvl 5 -x 1210 -y 390 -defaultsOSRD +preplace port port-id_clk_audio -pg 1 -lvl 0 -x 0 -y 520 -defaultsOSRD +preplace port port-id_clk_peripheral -pg 1 -lvl 0 -x 0 -y 720 -defaultsOSRD +preplace port port-id_linein_lrck -pg 1 -lvl 5 -x 1210 -y 240 -defaultsOSRD +preplace port port-id_linein_mclk -pg 1 -lvl 5 -x 1210 -y 590 -defaultsOSRD +preplace port port-id_linein_sclk -pg 1 -lvl 5 -x 1210 -y 200 -defaultsOSRD +preplace port port-id_linein_sdin -pg 1 -lvl 0 -x 0 -y 280 -defaultsOSRD +preplace port port-id_lineout_lrck -pg 1 -lvl 5 -x 1210 -y 260 -defaultsOSRD +preplace port port-id_lineout_mclk -pg 1 -lvl 5 -x 1210 -y 610 -defaultsOSRD +preplace port port-id_lineout_sclk -pg 1 -lvl 5 -x 1210 -y 220 -defaultsOSRD +preplace port port-id_lineout_sdout -pg 1 -lvl 5 -x 1210 -y 280 -defaultsOSRD +preplace port port-id_psg_en -pg 1 -lvl 5 -x 1210 -y 570 -defaultsOSRD +preplace port port-id_reset -pg 1 -lvl 0 -x 0 -y 440 -defaultsOSRD +preplace port port-id_tape_ear -pg 1 -lvl 5 -x 1210 -y 70 -defaultsOSRD +preplace port port-id_tape_mic -pg 1 -lvl 0 -x 0 -y 780 -defaultsOSRD +preplace port port-id_tape_pwm -pg 1 -lvl 5 -x 1210 -y 800 -defaultsOSRD +preplace portBus audio_left -pg 1 -lvl 0 -x 0 -y 640 -defaultsOSRD +preplace portBus audio_right -pg 1 -lvl 0 -x 0 -y 500 -defaultsOSRD +preplace inst audio_scaler_1 -pg 1 -lvl 2 -x 390 -y 510 -defaultsOSRD +preplace inst sigma_delta_dac_1 -pg 1 -lvl 4 -x 1020 -y 800 -defaultsOSRD +preplace inst audio_psg_0 -pg 1 -lvl 4 -x 1020 -y 490 -defaultsOSRD +preplace inst audio_reset_0 -pg 1 -lvl 3 -x 690 -y 450 -defaultsOSRD +preplace inst audio_scaler_0 -pg 1 -lvl 2 -x 390 -y 650 -defaultsOSRD +preplace inst i2s_transceiver_0 -pg 1 -lvl 4 -x 1020 -y 280 -defaultsOSRD +preplace inst sigma_delta_dac_0 -pg 1 -lvl 4 -x 1020 -y 660 -defaultsOSRD +preplace inst tape_ear_0 -pg 1 -lvl 4 -x 1020 -y 70 -defaultsOSRD +preplace inst tape_mic_0 -pg 1 -lvl 3 -x 690 -y 780 -defaultsOSRD +preplace inst audio_mono_0 -pg 1 -lvl 3 -x 690 -y 640 -defaultsOSRD +preplace inst audio_mono_1 -pg 1 -lvl 3 -x 690 -y 210 -defaultsOSRD +preplace inst audio_sync_0 -pg 1 -lvl 1 -x 140 -y 650 -defaultsOSRD +preplace inst audio_sync_1 -pg 1 -lvl 1 -x 140 -y 510 -defaultsOSRD +preplace netloc audio_left_1 1 0 1 NJ 640 +preplace netloc audio_mono_0_mono_out 1 3 1 N 640 +preplace netloc audio_mono_1_mono_out 1 3 1 840 60n +preplace netloc audio_psg_0_psg_en 1 3 2 870 570 NJ +preplace netloc audio_reset_0_rst 1 3 1 830 440n +preplace netloc audio_reset_0_rstn 1 3 2 840 390 NJ +preplace netloc audio_right_1 1 0 1 NJ 500 +preplace netloc audio_scaler_0_dout 1 2 2 510 300 NJ +preplace netloc audio_scaler_1_dout 1 2 2 540 320 NJ +preplace netloc clk_audio_1 1 0 5 20 580 NJ 580 530 530 850 580 1180J +preplace netloc clk_peripheral_1 1 0 4 NJ 720 260J 450 520J 520 860J +preplace netloc i2s_transceiver_0_l_data_rx 1 2 3 550 140 NJ 140 1170 +preplace netloc i2s_transceiver_0_r_data_rx 1 2 3 550 380 NJ 380 1170 +preplace netloc i2s_transceiver_0_sclk 1 4 1 1180 200n +preplace netloc i2s_transceiver_0_sd_tx 1 4 1 NJ 280 +preplace netloc i2s_transceiver_0_ws 1 4 1 1190 240n +preplace netloc linein_sdin_1 1 0 4 NJ 280 NJ 280 NJ 280 NJ +preplace netloc reset_1 1 0 3 NJ 440 NJ 440 NJ +preplace netloc sigma_delta_dac_0_DACout 1 4 1 NJ 660 +preplace netloc sigma_delta_dac_1_DACout 1 4 1 NJ 800 +preplace netloc tape_ear_0_ear 1 4 1 NJ 70 +preplace netloc tape_mic_0_dout 1 3 1 NJ 780 +preplace netloc tape_mic_1 1 0 3 NJ 780 NJ 780 NJ +preplace netloc audio_sync_0_dout 1 1 1 NJ 650 +preplace netloc audio_sync_1_dout 1 1 1 NJ 510 +levelinfo -pg 1 0 140 390 690 1020 1210 +pagesize -pg 1 -db -bbox -sgen -160 0 1350 880 " } 0 diff --git a/srcs/sources/bd/keyboard/hdl/keyboard_wrapper.v b/srcs/sources/bd/keyboard/hdl/keyboard_wrapper.v index 8b9028de..1b2b9b57 100644 --- a/srcs/sources/bd/keyboard/hdl/keyboard_wrapper.v +++ b/srcs/sources/bd/keyboard/hdl/keyboard_wrapper.v @@ -1,7 +1,7 @@ //Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -//Date : Tue Dec 21 14:11:29 2021 +//Date : Tue Dec 28 18:55:34 2021 //Host : AW13R3 running 64-bit major release (build 9200) //Command : generate_target keyboard_wrapper.bd //Design : keyboard_wrapper @@ -15,6 +15,12 @@ module keyboard_wrapper clk_peripheral_n, column, extended_keys, + joy_io_mode_en, + joy_left, + joy_left_type, + joy_right, + joy_right_type, + joymap_we, keymap_addr, keymap_data, keymap_we, @@ -33,6 +39,12 @@ module keyboard_wrapper input clk_peripheral_n; output [4:0]column; output [15:0]extended_keys; + input joy_io_mode_en; + input [10:0]joy_left; + input [2:0]joy_left_type; + input [10:0]joy_right; + input [2:0]joy_right_type; + input joymap_we; input [8:0]keymap_addr; input [7:0]keymap_data; input keymap_we; @@ -52,6 +64,12 @@ module keyboard_wrapper wire clk_peripheral_n; wire [4:0]column; wire [15:0]extended_keys; + wire joy_io_mode_en; + wire [10:0]joy_left; + wire [2:0]joy_left_type; + wire [10:0]joy_right; + wire [2:0]joy_right_type; + wire joymap_we; wire [8:0]keymap_addr; wire [7:0]keymap_data; wire keymap_we; @@ -72,6 +90,12 @@ module keyboard_wrapper .clk_peripheral_n(clk_peripheral_n), .column(column), .extended_keys(extended_keys), + .joy_io_mode_en(joy_io_mode_en), + .joy_left(joy_left), + .joy_left_type(joy_left_type), + .joy_right(joy_right), + .joy_right_type(joy_right_type), + .joymap_we(joymap_we), .keymap_addr(keymap_addr), .keymap_data(keymap_data), .keymap_we(keymap_we), diff --git a/srcs/sources/bd/keyboard/hw_handoff/keyboard.hwh b/srcs/sources/bd/keyboard/hw_handoff/keyboard.hwh index 76aaf89f..2e684594 100644 --- a/srcs/sources/bd/keyboard/hw_handoff/keyboard.hwh +++ b/srcs/sources/bd/keyboard/hw_handoff/keyboard.hwh @@ -1,5 +1,5 @@  - + @@ -16,6 +16,8 @@ + + @@ -36,11 +38,14 @@ + + + @@ -85,6 +90,7 @@ + @@ -102,6 +108,36 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -269,6 +305,7 @@ + @@ -320,11 +357,12 @@ + - + - + @@ -340,6 +378,103 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -390,7 +525,7 @@ - + @@ -481,6 +616,293 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -494,6 +916,7 @@ + @@ -516,6 +939,78 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0.dcp index efeadb09eea3207a81759c524740b3075138a226..606c406d12a4374b7c9cfdcfc762fd7c6759aa88 100644 GIT binary patch delta 41515 zcmZ^~b95$A`z;vTwrzK8+eyb($98p`bl9#n)zeb*|i_k zvsTrrQ|Eop(>n*&I0ptO%Yj2+fPjF&fJnJys-?UUd98thfH*>efIxq#W~Pozo_4lL z^ZE(tEErJRXD{?@dQI5%pjEDfZc@Tp?id6E`aVe!D2wXy1Ta{ie!UY9ro4o+x|2TH z1d2G4$P2XK*zwqj4RsdB%08*Cl9K@g!|WyUKaX-a<-qAjJpsYRT&@#eoE2S%6EdKq z-jej{IwGpcz`-a@QmNy|>FtGcggxo^jZTn`!tY>a2#!$s@jpqhdRn2U2_F6+>e+1+ijeCU|1=b22{ zQib&^!Zi-8*9Kyrt^Z29F}5$Ca#i)a5C->KP`4b0=a{ek)_aT&UJN;56}iC}h7h3$ zVq&}!B%f1tWUd|KsM4b0J0K9D4V>R``*ANg`WlQI8*4m51WV3?Me*Fw>9~Z8MLVT* z)5h;?@CPcq`p818VOkd|)(YLuuFB3xJ>0p*@HFE!*({SxojzS*}s)zl)55|HY z^Q&I$&uraU7me;}Jnk6#VU9-owij=2Ue14P2Ot0Z`58!-p^1`}FGb&FS#q zo5l5>$phf!kIv}t>+xzTyAhiZcHSbf7aKWza)b2evmd)iCU26Y<`%Kk<>thg%aF;x zGs`!1efZ{6o8df+*`p=5bh@ClV)$f2h2cy=NAYaX16Hdf!Q=M&tt|m$_@=j81+`7*zeF_d2z8^yBSpHxR%S`Xkk0F(E8#7K;NI$a|911>_v(MaeSx=o94vv`kD0zxr_@rJIN#ku@%~UV&RkS{Fhd2952^5HMq#6s|Arkpy5&_ z>bwJ74v{5$#0jq&7C(;f+!817aRcF|U}@^d`Rx9VwT`y3GH+4YS-ZsF7U$lshyfgi zg3W?hR5yl~7LPL>Q<0z9>mO&2a}8TkCH)P((h4b`RkE3WS(3MlG3^B@I0S=!)<0B) z29!cK`h&W_2~t02)ABLO2%eBtT-YV9jQBR+&`7vB-$_=oq;Y0v4wxd zhEf=19zsta)m^c?_LXIULGC#2L1g1)Hir#p@6!5xr|qtP{@^DnQ(AfO5o%)EDl7NX z6QJE`N7_^zF=#X)b^}Y2O#xfA2l!F)@s z8{#_Vs3r}<^NXC%GT<|XE&MZ0p?JnFZ_|uK)w^pFR`$co-S%&afQPjpv|XJ59VEPb zs-A@TYuPW*f_xp>+~6LwBS+yU1P|lBT~eiTGg6kG1)k!fzQ&PJEMPPqLbMg*-C31| zC2Ce1r)}AKJQlttdE%EzPir3|g!uQ50mLy1G@G9tJ~m7t^gi}y>5w?~5&E3)AY#a(UU znQ0gerAL>lWV)4U41sR%gA2y2?)V@xKx3X5s@DI?=78LHZ<7ia4(Qz%igbhdGZ>U%Ak)H(Sh zi8KoKs`_ll90o}ppr&)~PniN08JuMCGM;-28xQ&g=JJgjX6+(q;fxAN z8k{$*d2)x>P1DX7g}zHMi?4Z-@b@GqWuQ0p#Z!%kbM8lp-%rbFyy>nVXPf#p|EMD# zbRHjG+>q@y#1tRBZ_dKb=G~_3j|5csJSxuc&H=y!WvollZ_bVc($D-=*yhGmsAWya zW9`Cz58&;WS#?Jq{3UJtk9g0iBdjl0ibYK=3)!-~``WZAY*#y$$b4wB|KHBXjyrlt z-fl}r#IAPQaolX!$eGdUeh7S#OmTNB&bU8}JOWhcZ*THV$sL>@ZUmg^=@nQN+Oqn^ zh%m+C#v{^`P=)xUbcs44Vx$VSrl?fiv(m4k7Qc3NU+V_~ z)EqrG>`23&Gumuv?^prG@VosNLM8!u4=lLpnGRiFFiA=+LN@rOW*r~aqDQmsiF~aj zaj?@wZ64GhII>d-lHcLHewvB{wSnP@DUB7hjJpPqs9g**TH=_aGaWBiOuIPr&N$2J zlt3d~D|8+R`D>l&SzzewB=$c~Gv9gC`)~p*-lZP9!nSXQ?wR>_58A8 z*99hZkV8Yq89lyhiU$)xEm?*l3|hQRC+UQN=-_h)5X~5vqJ%hv$J(0a{4(_lFV1hw z{@>Cg(}y%amM-|GVLLxFZ zvKTPqT_)hFE!sye&+gABAeGT*cev_654J>GJ#j?g23QlPu3;pMuyZzK|3pXqSM*-pvyRQO%J?FymJcpo zbwP8%PjODlf7Q?B^Q`B;7ZmWz;5<9bPcJlu);D9~&CoOTAA;5`vQK@T@6P}$uIPUj zV=BJXRD#kTPW^li;42pY?C%x$Y}k!_rY{Qy^s;jFV*MlN`Cd7t7%eVS3SOti!miCW z-!_e;r)Z>G0{ z39t?FQy6Gk17Ek*-VH}D4fx!0L*)eY z?|OC(0RgedKCq-ee%UJBE5}<|s_X^_3K_D{^z{aK_5__IlwoGxUjB`~7n4$=Ypb zccbD?_M_wQ=(s(J$+l;>| z5&qJwakkXd&;)2zOU#&W&;XoxyhZoQ<)jLiD6ZvcNh1IIQmV;B&W36-*)#6xc z_i|T)5h9Te%;TQ<*Gp|=gdl^*+OY0H#RY>q=qWpC(br+(QJ90Z zZbHu1XS*4?IuAPEI>JuVWDUGUz!6aZMM2iq1?|6nKaK;eWKA-DFF*9yA?t}Di=(5h z`3^Kg+xu+ed6T3AG0PU@%>s^V;7ils$hAbCwf}ei9!y{vtfAVGk;vLLG;5$;$=J zDch&lqxp}0?!(-nD~vB0{yA0y`_&%gLsURUCTBp3?iww@K(9RwdmlMzB9_a&HMDwU z!rssyx>#D&(5!d+p2r#2L|<&5_}yV)9ljOJ`)lJ~P!bV0h5pOSx2=psu9PZkZw$Ta zvU7yi+V^6?2onnSl1PX^x4~e=X5jZkp)xvr!;^E z(Hc)Dz549$$h&_M`tg!AnoWM*BqeM0l_i+KM(WH-XqZSzVX;kQQ5kJV%T1b1d2@YQ zdcDtbc3H8_SlpMWWwpntu~mT7Fsv#2l;?iIsfna0#>!%WUB=>%N4 zEoI$T9Tlh*9u*Z$s>4HAldBGq>8D0ymGCA<;t5&x=C1dDG2EBHkZYlzE%CpnidMIs zlf!YQMa*((zIkroc`!utWe(kYu9~h_t`N<hZ4XY}|BsWG(0OU4PWHzzn z<;6&e?MUjT$qefxXVmmr`S@B~p1+6)Tpw01`s35fY1_+A4i#rAtjmj!i;FY(Bx;Jw z((^OY?f+qPr5B%Gz^bV|G(}jJm#r4r+l<^4v41FsTJsjce3tw;=FBoAz=T*yHwI zQdLfUjVK7$jct;$^lCa;uy%XLREl{{6|1?u!hT0)6x;Z*RmOH=Kqw~A{q@#lLDO1i zqWw0yXo=yy@sQ3c(4+Ct_I$po`q^e@5^#}UKDoFcR@dl`q?@YNS!|4{=^yH)^JPF4 zUKAT3l7;A?`%aJgq9ie=Bt9T0J0K`EaO(!KBH!m`8AOedbybT_aFx-)Ga)78KJ2B{ zB9L`*LacA_p)!gK5D^k1Gf6G|(L<{C=MfTMYAl<{L}LmJa{TNu<*QTEeNSRKV(hhS z&u$~6FqCrMMDry&X**ddFWP zxcu1iz8s!-*KK()V;udeC8h9mHq-ejP{Cm)xU%bxT!r*3>1k}?&0cnc|D z4q=oYWMMuV21@ZUwVd$Q6E-^OQAx5b-&5w4+eMG2Ya5sl;Q_?%Y-4L~LnV_DL-I0> z^lYl}jR+u3Yt+G3S|?&_f9NgSX4XE*r&$no)DJ} zU>AWqXslj3pzBI~gV1O46T;o}RIH)(P_Z&?O#9Iaxe77Xa*r6ju=U*^>~vNuWhdI< z4bh^s+TXfoQ0(RuWK2weiO6HF@+4RZMf09z(wl7Z)ahDdGu$6;cD!i`%sS9~=|%7D z8WyOl?Y~)k6Tyhfnjw{3%L{K#*Xvx3E?xXoe*gBHw`nxX;egG-_9nD@(s){6+i;uw zp6T2e{FlQGRMMzz@S@gn}h?}jdVVlne zh`EnY4hyAXm%b%X(>_7pZ07Skx|P6>yLy2&j?-zrts>C7&20rdx8tl!IS_A$t7eG2 zO~@v*4m2Ab^S`RA=32OY?_EKPy6uQJ|GFU@&oE@^~RX>_XU4Ls*y+b0=qlvN>!-FRCD_G@&?_BQ&{(M zh*zaUj(PzLtRLq@4S2&u_hD>3^^X{UX{^rmUp3C{Nh<2HcIdFKenp9iTRp^i-=GA} zCaY}AAGwG4nbllBnZzMo<$@5o^~a6$ts2DvVt;MNC=ll*FXb?=nb>+YOb|q-|CO>8WPiUX~ab{ zYM&#OIdb2by5h8gbk2v@cX9{)es>fI!so~*dVK{AiS)(2cK@La7Z-Wbi7YGc#WdzJ zai!ZW#o4<;9yEnm2IiY@`P2$Pu$8yjNGxJ*$#W64f>QeF^xfZCe1P10_ARVfD*1L9 z#5;XUx8_7<%V*}U^42`XQbg$`G_qLgL1zqVdhTt^BR%_00ZSqF{65M1nAWM$8xm7Y zOoGcrJNDO9AJ}|gs;s-&=jt|uRNJzFb!w+~LPcxu<(m5RjQTbHyfP(V<&6048?Bmw zSW@^1=lc4-oEM@-ALWvxO9I0Ir+P$^UjKwbapICPrSg9qtyK-g?gQnjcMiK3{zK{h zOaJ_b&dUBrEhAgF^5^O%ocP3}H2jn9@K4rv*&e)+P)KkD*A3e@qrgG!k_EAqKX=)7$xno$!TbvhE2)TspqP>)G0N$hyg3!^2lt z12SlhSC@#2LGIqY1|7p5;wi~=GTQUbE_1;ejp`A7`Nbd~u+7z@n2Z{I zwWSA##RwHvW$~dBjNiW5X-mi@aWmMowUzbL>6GsqtBg%35X&}2HF^E#YglKi&H1uhKkE)oZENd7vY0+B zbQx?8+doZR*&{jCu0Pj=KGi-ym=EecExFPpTSB}&h%Ii5W($PISCl^&9US{=yiPAe zaO00C-|~|5v}gnTLrQE|Uo>LMi;fjm{;9^-)E+`+>b>)(D-?9BIAa*1V8X#mgs5=s>!&7ie76>zH4A~2ffxTeBw$ie zDrlz1aeOxxon6iE3oaaiONghUSCCGxWck&&WC2<;6|*s=pFUN!iGeLpfwpZ^DrYc) zMH;^%U6(bQ8>m`Zi&3ryx4;O5tkQ?9J_>trY4#q@qLcFf9D4pk#C;0`hg$176o6C9 zD=U2G+nu9kRnJ3mCG`XrT$}|Stoa2f{dNC;uqf$HPA-kq+dbHhG~hjib!5uz0L_}q zUWlx7v_UvU0kxzR_8y}S*lhx1z~2z|dT&v2R%41F49%I~tQHtlcVLT5d^sQz#Tc7w zskV!>&)&AW+FLAGe??m#jWmi9>>F8hTXnEgISpPX+K@waAKV{!A^jhabdkx`m=Nna zBK35?$M!f2Fau_s6hnu+qYz+i<-;l)wTD@!2nYg6bN{#AQUhQHdSaaBULd7mNHnd)cGe6c^h{SDo$>Yg!HfS z?KrM0r=v0C;WvawI#I|V=U8A+B@c0;!p-$jHXJ`RD7j!|d_RG0Rds?KWlMGch9`%gztBOr?_1^HsNH0NuVg-1_y>~Bm{ z3Xr?|T^FEt77FRPUK-kMa4XKr=b*n*sds#(62;QglKNGUSp6c+E3f4zuCLH;;)L~| z^zGFzz5vgcwyF1}^^$^&C3AicY&79S4fb|;rzOhHHSQTXbCe~r3OhMEMhbJsNq}DM zZM0-xVEK0(1X5=)3k`lVK~ZmND?5H#ZeA&)HBW)APf0Zbg@NRCU^Pmo&7#o|b%-bb ztFe?9vAj}Xg(7JtA!_*)VR>}u2hxEUDq?CFd@Jjx*}N)50OW}5MO>!49OuC4+Zr9?jy99n%-|I02nw2} z@!1L+@1o6!yVFUmXAoL09+Q!LDgfHpuZc>F`95Budg~_XTbl+(m-_B;ID!-t%-VzP zR?(`#Je?7mYL&*k++VYbiaowD{-|BS@Az&17h%GXlo;W#O7=k5)! zYbVj;`-KNNUPI3bhmEX`1nsL=2U#p>jorm5zU&rrmWh7k%T3XuS9?yJC>ON`x)YUQ zXU`@HY9u1eWc`m98s5HgI~0IhUWY0Pm+5z}wJFgj3!~Bv22bEb2gkB-MuM?)Mnf-k ziP{V0b`LI)@8MqSD4{VO0^M(?QlcVJS{-O=y+jAcXqFem-gt(m&tCEyt$vs z)R10fD~cj*Z3 z_u#_R;W3ElH2vdY(X zks#hD{Y^?-adeSdUEVVszv%dpiyw zhY2zVy~qPElduU~>NWd0%ott|B!>06!W_!TylOi4mpx5sfn}5vXrg>eCuDXA(3J&I zSH$>Xb_7{Xl!D*X%LCsIlFF?SvExAV|Bm(&5iJcwpd4=;4U$vB(&!5a;$`dW$FAC2 z&x7}sYg9}LoHiSZ6dt~i&^7d~Cer=fQAASW;7T5yUUsAsGd2B%pbx1h^H0{qwEs6u z6e0{6%VC0q5nxMS3=?(XfDv#IiSDGXc)!>EJ;Q|(;!F;WVHH)vXgu@(7u#^lbch;7 zS>+sRNt)%e(i*EUx7=t9&2qR#BUUi{8ArMrkfK7yfnV>Az~DoRz+iVO-E49Z(JZqu z7mCtE#Xd!H-E4qdq|csA+Z_z%$D}G0r7hJDQT?xh<|kTnBIheZ5L&ZF;EgSVK+tg>V`T_ zfP4T(1+AKN{u|_MiIlVzY;mjSvlT~{cE`*kff9x)h?KVHMsW;Fq0#3-hsFTv|)NdV?oHck1~J4 zbkyu;x`2_L_r<$R!iLx4%T4l7lk`{=LRo8^<#J zwFLh)Gtb@o3OL7Cylnfb7(0sPD|b+;l%B-X%zMjV*z{1qH5sFYq_O^uJj`{b4gvN3 zCZl)>m}%XE(v4_Xd@?VGD~?jCL#^okK-52u`PuCoiQPAxoe;ZE*AE>Bn;6-7DST_( zJtRX@;5b5tLrJ>47iTQ3m4u~>y%D0(y~|qrZ0;AIy4}jvY6yaLt~r9lg|fjgby}i# zoj4HaJiCYLbWpmf;VJ)`r}IEhS@fc#h153zRA@Vz(>8EP7rPEZR+?=|uVP&NTieI6 z7Ks!-vP;_ML}{OS8drcyK`MpO!|j@y=$3xfQH2ajiF#esm8 zH*-=26FuRUchu0+&bPFWrMVO9rqux{g9E`|sSz*tHHA;qmUh-xEDyC;Br&4yX;Ur$ zxs}|9#)%u$NecgFM@I)FHc7_*2x+{`!4;`bjfu`{DOthAQ(M8AZ8a4uB1n53=fDdM zEWn_G%83i3t0;>-IMqwe!+hryt;4HFrumjH8KzM{uDJ*6qm`mjAXfp}^3VY%CYF#G zM17&&=iq zAns0bX(?`;m`rd-X!}l6I~smikecZ6h*}iK5*8Mf z&O&R^buOD-M#N@h(;2$G%Np|6pWGA1MP@2l0VyVN3(;mP(4&t zayx*wa~6QcfL9pagIT-mTmunVl`2=eysi~En2bcBZF?XQIEYnE0cbP4#Tgw?@38p& zU3Rg$&zto@3V9cXkmL*edS6GmL89WE!IQBp;))wpehcr>_CN`!`yc@`B@~4iI%H=f zW+swL`)78((9o`S(&eowAk9Lr*1T^ZP(ff?lU-D4RznoNWGv%Gqw8Fgtr1hFHKnRq zs}*baJ?GLy+vqd_4q#Yj4VdUPS~Ln6VZX~#DI8>EGyct4+t%^h$|#(f^Rk&rVPDIo zm5Lf%o*TKjoa!jdb1A>yW5gE+A(Cy9UMWnbxJ@ZWS&!$anMcEAtE{S*?c0p=r0w-6 zWLE!5w}EI%=HhPCSP>TT09Rtp94~hAXr`x zhN;cM1ZLXX3KIpnN5+B`71{H@1%$xsn`HCxTZS<@fvKPylSNW7)59-3d;y9~%oOVj zR9`^nN@GUh(;MoEN{cl&icgE3<2#GDdOVMDV}SK5M#XB~&m5r}PG$ejA#*4{2^ejb z4^tO5_w4c{gfL^O=@!KmU{LhH-4pm2?i=0sRK>(X(xqukLI$A}%iuRrAVC{QEi2oS zOe%Q!a@Y5$pK*gr)Fwi=G$*Yo%K}=%4BL1Qa6M!K?e2dn|8#X^6LhVRD%_9fcY``c&pd~E z>i^S3pKMsek2xrWA&U%Nq30{_O+bpM14%uX2V?C>$DM&BAswXLd*VtXnofk0sT4*Q z9Pu+BHi=zGV@2Jr!L&Si@V@~nKw0F%NSd=j)t2fzd?K?1FS0C2oGHW$iN zy5KKt?**fZ=NOhCNGsiCsl>ZT62>K|VVgpf0A7K4<2bwnL1|7VgbV2;^XLTGa0nB;_*2nfV3=|=F6kNH zD~#|Cj23#}3m2J61y?Rw{3zJd1|+>sDGK&cLgP!__v@f`h^gqb9gG7m^mgWf>#R+K?;t27r~Y<7m)<=z4*u!D>Y_R!12RB)k>IIM=xoA(l*uaE53xk>y1v#l!9NBH-_#%t73NKeg)a>{OVr`sq8|QISXqXRMqodIc2`Q+*fgI;H$365QlC%EHr9< zvM*5ddPe6sLG?6C06oTEWnYHvaIH9A2@~(=Bivua(}bjQn5Jf_fGNWfLLK7%e0|x=FBy`a6?Xf z*v~#o^($F|?p1-Ln2&Sa!%B{`2e|Ti2Rzp_*_*^lzTBN*s;e{cGqiJiIl z{;jYP!O)MyzcdDK-`5p3hutd<{SsYS!0F*dtuaphWiU?NWiSryK|^@{{?;YY{`#tu zc7pNAd~7Cqs|ZG$k-bCj6pa!tXl5hxenjt-jELIxzu|-uKOfKWh@59Y&2I{bgC|oA z1_<~0fq@9eJ7g#ks`X5m98hWUQjy_kl3QyKwFiXdGG@(kiTqTWD5 z`{%*6kgbp$87-M_Xb)3Un^B>bOF>IPW12sX>@GE4r*@ls;dkI`>f^NE@<;15N>1W!4rqH zft{h~HpddMU=E+wiW zfR~3s2~&Chgf<@pasQtHzBX90AW@UlM@kq5OIR2-izd3#(*cnrry1(UC2kwz*Y)@I92=e3!mC0EA-RHz&*EX$QiQ4FwD z3Ju%&GRr;9Y!sLb>G@#!V`&l76e2(YEFz?QF9nqhSM8@+??8povaJyl-jECxCN#ww zqFg#;B-I4i#a!Wc<+bclj-=1V!GVg}bz3?nrm#lX47h3Y1O+b4$Or54(ZW}ykQ;(0 zo5YP+sqcIFQ#3z75>ysjcLhcdt#VYjq<%9YO8iDdQnn~34g*~qrzhv5{FM(J227Vb zV{r2Hsmw=#O7XcXBL>aBdIz*AE6WS5Vx@B6-YN}vZ3&rfnn7~?P#8buO)0k2+_6k9; zUeiIaG6o=uD9e#(NU0>Aw!Q-tG!(u))>L+w5I1bPl=vkkrSX-r>Cyd+a=RRAvfDKA z7KtpgD9RY-FccFXKmJe|RN19-xI|_k827zril+DSS=Lig!rmIfb6wk1%~3?@o(J1& zO5;R}!jNk`8XhQ6(SHX$x$EsbWd~S(k3jTw4os1NFhX*3&Vw2-@T>!+cXLKRL*KD2 ztcwf^#b{J!7;}RW9eRaT;3>7MG4jl6L}b-_plGh6m*E_9w7hMM(d5d>av|oA&9U7)}^0 ziOO~J9!^{j^4N30Eeu&MH=|M3$+ru_p$jZsaCX6&?w@zaghK!)ZBt`bjIEx>8#n=v z07M<iBPbfter`W69KmJgFVpuIoLAlSpAlktFFyW17Zk(JAlxyxa{)sn&MvQk@6a1>^D2j}^fUTTr~iDL?3X7O5hpS}#zLw56OrK# zqvIn(Lqy{(29le(YyHu&;AOsZXf(}zz!^!6jr8sdViM?CkWQP7{qSr4=^i}kTsy1@ z1z&Li)Wis?YUe;&=-F3RjS*O}Tg+&bSI?Ih;_ev@MP=pX4q{mh5ww?6zBo*{VkJnC z^`MOnlFmx*j0YShi>a-nJ&+uW=vCOX{l(8V0*8UW3p^vGy zQdCEd<0$())UagrY6jz=&8Y0b4>1nCeQ*uprtZYTYoI_<_#R)V@#gXO>r&|Wxf-Jf zoNys=myKgOP#O3x$7W+1E9D~vagHpezdSD&n|QGz_677B4jPDzzKbvpC6?I#WUWgB z@F!EPe72`4+VsZa_Uo40=OebZnbk|RyeSh3JXI!_XdAvvL@OoZ6Z-tk$rB{uh4c7IGe;}W`*_S#SWFd)LwRLTYMQDPTI7Exf3 zEshktYaRTua%K&dNI-YMQt0wI;OZnxPiMZiqN_fdA#%W3HL_D|!tpcC!c8}C3$duP zuC=On`aV}`F<V$@BDsfYu4Clc!2 z#v}iFxEQSC8PYjqEU!%SeurzCshexX8uL8guyT|;pADM?eytZ+9MoajeEZ||10>WC z$1)%nev4bExTE4GC)ALMHPEy~F=)h#vLlXpN7^We;ju>+M}42KHBX8EN~6POyX+h} zk{plI%f`WVMEXL=9?qQtT?ib~*reB=jnroPir{h~cI3;qiiynq^+sCcfJnE;Lv8yw zt3Y<@;Y=%UJ2K{fod3K@v$YXt>zEf>IicVl=Mv(;T62TzcFqHhp)Ju~Gn=i8PtGvU zoi*v;%9`c10C%?5py-HChSyiIuG5cIv?dJ5*VDlyt13J&Aye0}oda^!bfh52QZ%F2 z>8;!H@RG26%*SY}OpOxdDnbjA8rPqKWFx!o_Y)U)E)Hhtkh|iOT}Db9mm|f#a-DFu zHPV$d#wU-F<;|L0ZgLm7nc42omPZZC>M#uld9((+X#3%WR zBrg6f?epp7^Z~?G_n!h@(IK#+u^}T$TXoX};~{&-NOU|@Hf0H{DsmS*ROzbl!-E9s z&bITa)TRZ=t1h-2MOZPhJf-_MWkrfZ(u_?{l#mT(5>`n98rp2^Pj_czhl*5XQZfx#0Wdg&B&VXo-+(l~V-y))>Ip%L>*s@Pk z%drPc93Lq)*pz?JezL{|(Rt0_Wbvs|c9bwvBKI7b*X+xguDE!Vn?2r@?nhj8o+t6! zF!=maPdd-`PvY0kO4)GQ?+iN^d5~0fFOqM8jj~67a>wt=2Z>+n+cY(WYMRLhT@0F zi|cBWL^HG#_}f*|J)yXhIf$HMLaH$S4W#d*#?B6~AJ-LLhx;+uj+h6_Czw%^&JDP* z_Qp$Elj&*I)>5Y6uqniAgvz5&g+R&S6-77#6TN-Odj5YoWHd?G6!@`88LShj`tD)i z&z47yie%~`nYBVTJg8?N?Tyjt_g1}+@)WG9n+C8)2L$p^AA=8eiBe^*O$uQYacDQX z^H86I56Fm1BejmzAhaU=M9Cju#|8zJv1_(VV5+g+gC+0KlOz3enGAN6Q1uu;V56S^ zY6k32Vf{|9T(VXj2n;+_Wo;&~z;@3NVF|}392yRj+*&;Z2)Apvz*L52;gp3EnYo($ znvavcg}K-ax}5Hp32)apd}e=Q%-g+_XY#V1uK0AS58kAN+r{9_)Ywqx^cqm_zh%Bo zbks)?usp1v@CO_om!5yi-fX`Vb69|fbqnr*-%c)kN@s&bHglFbL zhzyx*e%!}bjuTMZ)BRGr+c;e+7Y<E@YU!B{I5>8kMiIR=7eMV5Vc42^_A@x zS5LRBk&N&}{^e11X=z)1y^sRah35Xne-~y%8w}J9);~PmuXSS z0l-1m{o{`Hhw$w0y?VpunCj}u*ZzXDUZ?f`8mOhJXOri8H)o!wqvMp%H8|wek*(9w z`{$GGn`4G*l5T9n&ZmQ8n`xU*l+W{=4^vm)kF%L8hRa|Z6^dlL8%OMk;YViElNzX$ zrGjt$k(&4(IFOv7mefaWP=LZe>bXDuKx>+xcT=iwYRskK#`=`KU^kq<6*d1L*>+K~ z-OtpRAv}`2m)*C!V`tBIsk2f*z!#mJ&-YypTz%qegNJ;nDxSW9{$5dXk&Op`PAu_G zk2VgbA!S2e5VoqQP_s*P_vXaE0*@T*Pl2}g6xy9X3)){Br=um-RXmgjyD18)fjk7b z;C8?0;Z^A~a*S>!yg67qK`-|iY404wT_>Vw2Sz-Z9l2ZXZdN@@_M`!MK`ui%j+_cd z2LVil3`(Z|-Ij*!HPT@nzNRYVI$kWgV!}~EF6@Sh_z(qOwedRA@$C6gN@8ev8_Uen zG30vUzIp*p?#=Wje+U)=&_E-jD?{xLthFst@`4MzErQ1wO>>ph>)CIXuYXc8&RQ+oNjiP4*I8)jvq{j#uHkHB ztl;oh*S7q3b@l7k(yXau$|pRI+e0UCAGHnSdav&VUW|=NWQQ~jK)@BI3%Pj|e?M)m zJ$wqSoHS7m)6(~qr4orjfdez`y0-}Ms7kC>SHb_TXk25)Qipu4DEP*sMsn22h@8G>brP7xTIDA>5@ zBb!eu8Doih3ZDM{ah<2WuvKtGSG(-b(d2K+!TXWFeX{QY9x)8G)k^7@nx~g-E@m=L ze$FMes=!nhx!hMlC?QENKK}?tA|lOs0OI>8<{eRQCxG(gAY&xu`l1rExqmwhMW5*6 zlkNCx&kgT6@?UEEc4R56XZ;LBQz2vDu3Q{t z94LiqGB0F(o4Ir6`lMANqtH^iXIoXuF_3W31`2}aO90`AAh*94Kexb(DMsVkAN}`v zd+5{8-9HqxMGhe&gl>baom(e4ALJqfpTvf*&u`z7T4n7mQl|W0?~iuw7nI7UQ?O-- zEHDh8PEZ_v?nvkjja=ELP6KWqYvzBZdw6)P0MOxvnmOd#YdelkoK4BOgZ+1k_IIJZ zXAUN#-zj%EjMHSbNwO{roX^DfIoMklL(xyP)fG)HxLvV6>g!cHOAU+n`tzq1zOT=( zi_8hg9xD7}g3;aLk9;!JD_zm*4={#S3a2|uri5~}cxkkEXD81zTtV~_Wi|;WoAUUF zGRG|D;M0yyULSv?hWo*`Cn|`sW9JSwVm~iy)G6>^Sv1R%84TU$@Q1PLI=}lK2XB00 z9v>O{KJIpWBLBa-s}s6~qLBX6X^$FCqZskkWv`2tK&(vyIGY)FX|37ge?zH?0tvw7 zlUUZny9X24&toLHsAfvG<*+j!an?J-8d02u=uKWNqFD5yA4xj+kezw_kP*tAdJpjz zv!q?ow5`I#b<6H#8DT#nm{exhAdC2Z+qP1c{38b7&+m+znJK>uN)(ftm-%>x5D?6# z7RYg2vyD6lvZ-k=(IeB_%czO-pxq7$HOh>@r`FxW-R5tH)hRxo2{tgPvk4Yc#WDtp zz&^t@ouR5Vn;W&pjW@M@dL;)Qnkut7*}hLe(XCop@uhDkV|mN7Xjx^-_Cc%LMEAc0 zsCb(vvei=ejoLHx?ICyi|6Q8PO1u2Wvs``@kv z9Vd3PDz33zF6inNNOT9Ls-ZHZnwzzLq%HJ2cd0sPJWRm|Nx*`q>~04SekTct&LmM< zPGcrp`87F}%NS-D+njGCithiHk*+bjDr>W0D6rvD&ZD~QN3YN+qSjG_UzG_FXzws&X22-)kv?TlU`X}Rd;0q ztCC~+yPLSMlxfPGFgqARNIN4%QyZETC^ZQ!1BAv$K0i*0qh`H^ndmM!jj5O47=^tf z8NjCPwjBkD?3NhWAE*uC$)GtOdm-!yR^dxqO&!wB`t`VwmpSt9BnA#x`WCN=7_ecxQ+}o;f)ttD9s`S-=y|*Vn zvJkWZbufrw$y>FBgop;BvY{2u96K{kJcM?nJ}lE9(K~cKzzRy9q?fJmCA|T|!sTRGJ ztn-$dyMQ(zjhKt<<5R12ttIC@{1N%*Wz7?>W;0qvrV(j`fb2(8=+$BckVT&|-}2ul znD06B0kRcbgh{ySjQJE%^tu%Zb{F1HyU-}x;d_DVk9YLV5T)PQfF2`o zWcg0)N!<7-X{Q5{P*!hsNbJ&66^hGpL)-#&uuC?*2l;v)G41{bS<(tkbT89Tj*4Kz8CFT>^OSpb}mq`y@?i z%}~s+TS5x5;V0h?svuAK7JJjb__0!FR!m*WlocsUluI+f?vR&fX{r(IJLGJWA~#OZ zmGH=ymUYCX7ypF(UuU-pV!O-!Cn6A#5F-!}0Z`Ih87{!Z(#X*)MH|L7Yb0fLyp{Kx zPU|S)TKBY~n^2H2^)q~kgixlDj#x%K5hZLHO_~_lVViU~G?BkGAQd@(Uhym_WT}*o z@0yBlXGV)sQGe>@z;@0)0B-&UG&6CBu4D^xCP2grVP`4lXE)*Lr;kqSGHKnV&-*ls zhsEP$Hv!-qH23%4PLuQH@zeCvKvq!2p+wNeSIE$aVKt-1x?Vb+J*+qa9>15V*WP!B zVLQb#M)dSFm{K+zKGiIG+_vhr_e3N_+Ek1FmxtbVd2filj#;Z-EuGGq5()Bo!6@b9 z-Rqv;$=B-N&$$u?@~;L-`ouUhsUBeY;#aFjbEBT_xyC^rhg1CF@y|`6=bYUKcl^c6*#7L9hO+t;8brrn`@Gk9_ zS%x13XPVzmtsxy6&lgKSoSDQ>>J_O&iXE#f_ycvhX{u}-Y2o!Yg)bS6C`fnBV97h# z>lA>U2X%k&kp7(1LBpJEjg=HFc$lop9Y3BQ4yiHOW4zXq6eni*kf}%4Osz~z%^42> z=9%W~%3J6KD+fE)NYb$&#b7|pWlX|F=6`%)!CwP3&{d*gBVL!m7*MiUwPm?5n^sXW zze_VJx;d6Mh3+z?XnjhPtl#AyzE6Wz1_#h2>rszl$4?gj^H0RDcQYE8FX~AV$Dn8# z-Ki!P^NQ%5+odb?VJ)^aiK!W+SKmFJor%|03GD4pilmZAoLQlhfIuFx{8XX?r9^Nj z$R|ioC`pIS(Sa)~h7`nG$f5y~lbz2eu?v~((EZg3e6LbuWL|@#m*wdjvR?!2Ix!&P zj@~aKnf>U%2;AdQu5rmKHj}Jd0)m=lD(y}lKk?cf_k1XFS3F)rhU8COrj4{5N)bus z6ls@dUNTcj(~#e8Tor37MNS??E4tsc zqnZhN*c=T}JEBxvpNZDy#H~{l!oQdM5<^R++ut_JA6xSjk~hv|kIP?*cK!h;sD;ILwM>a@Gb(fN6I^peOxtullkn`ip z*^49r&22JvN;sdi6cic}2Y&x~5AJlHepC1R^X`r;OY!9s7D;+tqYWaEmt0e+mMKWH zN0o(g{(1aXE{(n>O~Rsk@0JFjQup~%lw$Q|d`o_!BzC2H&WX6OJ@7VLS|2{XGlXGKwsC>! z%hlG&eCOYYpCQ$G_uIgglTTNoe`8I|s&Jyrh&|4HHyDRV!R-0;KZXXt!O4a;>hr6h zFIV~&lLn`p7)qU~3>G9ZmIn_}K7}Uihc@Q_7n=;0WY|iY4(38;#AtJeQvw;n#Ly>o z6uC7e5IHDFfV`)Rj(We4h^W3J5V`~TZ&dqz%#4K%()SZZ6C-#qsIn^#&`4ZS^}wJ6 z{hF2Za<8C9+7dU08TkbuauMeDgHbUlsVIxvlSbZz{x$meBS|7T4F1!%w8HYz46Ji z!&OA*Lf_4?Y@kov$cnMtBUKh^zd^0I@n(j%-FsU#EX%{1d$>L_Fm5E^&;3QAVl$SH zDHf(rCcsDkDo z9-8W#HhmPe)}aRUko<)>IkDmW+;!mn@~g_?czSU8d7e))h>5bl$0El!KY2w+Z_kT} zi;~EAV@IJGUXry%<98xJiDPo&YUllY>zT{!*~#t-hS3$mVAGsmv253a@pEy}2+#9{ z8QY}v8y?OY-zBL3#vp-nvw$wR#=nwH|yO&Ihov z@a`IPf0!y!RPxK^GHKmu2e~!s0=D}Oz81&*dR@041a%{Lc{cn&2{$j<|acjH|HLhZD)vGR=Q z5S@G}Nh+STYF5h{e3Ek;Yvwj?bpWyNduvUbGwek@I#JhPJ+^(Uews!+PL=rVdB2awaaCJ1On?i8=X$!PoP3SzE<>&ziXTk`ow94N zoQ9?zvyG)rdBy(LGKX~wX(gf4`_t!or>)%FmfOKg^u67hu$rmgoe{VOuzdA5mbp%% z8`-p84`#jS++(EbmD5PQ+i}e4u(zrxsIANID91U}wCcT;?6evD?ozLIoWo)(%#VqH zR$p8FS1Eog*>7h`%=Pvf-Ffr)=>{Y#RUk4;lf$lk`$b+sABZbcZJ z?d3~s&Nb1LY=yRFa1CIq)&6$V8zo!-)Mm!aewqPm*%iTRxfE z1RKuA{GqKe5k0w)y)bz$0{F2Fr6dbfsZ%8!;}(%Z+Jmn!8Qij2I$KMzQWB{|J4JE2 z`gfkq?>vCLHe_`}4&}~y_@bRcQ~#DPek50p_>gTJrI`nXv;(*{o{v~O!ke{Yq3WOc z=mzovw|;C>{KyU*rOAt9P;(~=X{=DiX+Bo$6YSrGSZ6>S93C(nY$&8T7@78WWG(-? z>L^aige#8{Z-FaSo+6e=$NBV6&PFWu$iaFRp#UN|+EGf{kZMSKGyfaWNJJ^o##O3Z zoLF`4q@m;sfEpQNDtNLg}*=M8@4Vv~%g)H&t@tbYXWg7`oj$h6PfkCtfWeB*Fm-m-6*jy81p~23G z@k$Idg}DLT>Oj)gPv;Lnol+7N_^FAaQn0C16%%DJsG9QJ(!2G-abZ%3>c|vi(wZe& z^|S$mQm#HmE#^d4F{?(CK`q)6i`;wyvOe|tbHFM%*%C)eAR&(_$M@%nQ0$iIiS&dK zi%7cVU&G5F7z_U;BN~!OC6gZ*^MRCtw+D;olL7;>p8iXRFQ5po5|siLHkAqi3yw&N zggaaDGj0sz#|~JecuI;@27--`UHpyO$3tUgl*~?UH-eE$Mn6z~h@RwXk0zC_bL_|k zEyZsao&&DqT9*^$=EH%UL+t80G;r@w}6&-EXd8pv3T@`-|=*$2NbtEXv`&B25)nln89T!-X>cH3fmRZ zsSJXuwAisLM3}FuZIuF~9oMzC%pIkh`@_(kxLL_y$JfY}*=y>@p(`f5jU?={dZ_>h zK@*$o2UH6XTQ*y;vQPrLUE{;33I3PpOv)dd@C5p05;(K5e-ZF#Zv)XN)fu^dlg&< zDF!Sh^zO$KJ_iPKLC4p@^Ja4w*SC9>%q6JVgj8aBGc^4w=Vyed|Kbes4<_U z36-Z(B@NT&?Iq8k%P`}MhFd3uPyRr-J=BRoOn_K*a8mhgv$oo=#}?WT2O9-wxeIAb zO4(UCstfwSP&|YcHs6AMB74ae{UJM;~mj!oEnuh2--YWJyfn-^j?Fpc}~bHQ8Oy1$&Tb6V!KU zXAFNe-(9%LDrnDRDks>U0pSba$#v*%A4Gbm2?M|KCUAF$|IOYFXJ!cjpfe;V#|os- zpa#Ji@lv^?{!hOFt5=T?`Y97`@NtE`RKqr)PW4q4@}cDJZcw7#Ko>$lmv->q$ltpe zY?hTEU0?<7Xj%?#yKDb-XoI?eVv}oWPj{vwekU|u{UOOQAuvBhU#BMk87Ej-<*onj z2<0aZjak5D94f!^c8S9tX7{lop^fJ7LEe;%y*ii6`=tf+NBtaQw$sxBq$8NVBjwXw z(H#SP2>bw8xAGClGL8$|XZt^}XWrI|5Yw>EY4N&Ja>-Qa-q4%lr`ib=QTNWV9Pr zCS;F?h@!9!4vNI+F9^o)AC!vsS$+!q?>iKdG`-6teGN81JasOLE#Y;&I`()Hn6Vn+ z?6eF$bnW!goP3S!qynvU1Og@d!!(T)owSUsd<;i8$Gps(%mV*8(#1iA_w1?99aIiu zOw#EP+w6NT*ZUn6iikf42?{8v{iBdSQg|C%pr+^s`u@x$#KPz}B5d(de<%>p|MM!4 zzjyGlh?m_-Seh(=^+Yl4e_5-o{yo+G5LX`_5*AWQ#p~4nIa!hd(`I!ZO(08A^|j^o z_4a*H9&sSaH6A3HQn_caNvE=@<*<%Z;%pM5tyM*HA84h}(Q)s~2d)5KsgM``dpqVt z^9cjq8}lM$9*9ys#C#_7!;Ai*d=Y%X9YXqqR_T8C1bZz6NKwG@?D9?^93mVGn4a8z zHViu+zbPEgjoni`5HP=b_;Fc(sv*7_r?rH6E0r-cc*K3u@ju0U#o)U}eb;<`9Ri{t zznBGKy!UYDZ-nY-|HttDkCFW!qx(O`c7A_g%*TIh!XG36kt%7xu%{3l@e`fRGUhK0 zVAKj&3H;Ss!LqO4-Q*i&@NSKyKNPJ$g+!!ZSl5$ZcqMSaD}v!1?W>Y12DVsOeSiVj z!%^aJG;_8I;>Q&!W}X(wrO`Wj8}=Ul(g<(AK3kP*3BPT6{Y{ zs(M$KhG`#)iySf13Pq#U+2?Xo4tVAbz+3cDywO3tDiS_#t_qyK@W&L#HA5`f!p)Hp zSRBfe21btt8_GJivD*emi-^WxKJ;h>Oq zJ6Gmlf>}q|!#@92k9D+TUEkM<1KyLTp4F0>afP{CrzynIN#pBHnD>0CQ9Ng7<2KNX z4g#{kb?S3boo%kiniOt`oZ=g%z45owsRA7b0RpasdlP~ZQe@&mFFxyo7gX!SV5)C`gBy05V{}c2* zD6c0;qSB!P#S%P8Qq#!*46s+)xz}#M(G~rSd$9=DCFbEdezdP7WKk~bVsOI?v}A=6@EvYs~H`xzhvTkE8op!EreqEbOz++2hQ9H=?!v1cAeNzX*GFga^7l{|ZlZ7wj8 zlf)Y)wdL&L`niqJJZr)NA*2z%S5aup?T<>jE^@L@MHtaP!rwE{Nw}Zhb{t-akuyA#3A|Uw z(DZ4-xGjVMoe|q+%)_%tQr1fW`o}CyOBsn&)>iov&&-R=a50Est^{`L%Rk@f7I6pm zOMdHQSj85KjGf!BSf`^z4k^@~&NI8jlo9&w&v)oMoInYYB$CJoCXcg^1>U#Vcf{(h zcb}LEYbT4~CQS{T^p-(2MM#A;ZpL?JNJ~pP%{aG!i<67o%|3JZkPRcSmn*q<$-+0-aEGz zJP>8;+1xx|hDL|wPKv`btEG^A7sVl#rz3a*luv`nR|uOI$Yw;o=cYdc@w+EM#BQ6A zl*exXeh1z$=QPA>Y~BS!XE=>*c{o?Ims?z3!AS$tMc;fR!A!qAd&Q?5Hs;v@GE<@6 zo=83Xo&-$$9qMTs@-o~!hSgU^`(b0~LlAJKf8bXrZUk=ueUX`Me{OJ$oEhZ^r+b@x z%RejH%3^TeY_Iw%AVu7%`Sd{~K)bIR3c1z+MGSRQt7i}g0p9CMv;~(69kh$!32=;K zpik#o6B`abB@zXpdjC=Gb_M$LcSJMz!?*ni;aRa->pqv_!P8wJT(!Oxgb7BqEz>RO z>5;^;My@8=dTN;S#ISY*X7KRFum~SR2}&LmF`hbGh5$FRpt5ggtu-JELWh;gF1XzS zD#=A^`Be}5Q~C|uy<4h{cki3?csH%t5z&)kxVy?!>3EKN>;m`9Bbl=E)iR{znnj`h zvJc|CfSx?u3n9SB>T^rdNR^;G!9DRzPN)szj6Mb@yEHsx7=3HDD};(6H0spfu`5bX zPCy|79tXq6^aAjeJ$sHPB#Ot;Sz3t!rY?bz4FkF+jM_Xag}uC~ecbnH%xFb7od;*> zxE|6$dx+67J98zfA5CpR;T{X_KrY7!k$uEsmf=;r@>KR94W!=Bx%6=gUC^@K{VhyP zK+OcOT-*{MLc;O7_dX;NCg&!QJYi55>H2=rqE+XCC8WQ&a!e<^4qQ%fNF0!qMRb-_1HKqi zgnR8-Ue7@(OXU@CabD<_@O|uG}d}3&K zAG2;%D&gkMB8#NC6SA&+#D>IuTI>uHvdTQ3Z-YWnmc-5?x%!s~3=0l`!O?_DnXef;B`Id5xhQ&>J}tgGd8|0mrzb}IoYQz7qN zU$muio%9F1@!&dEZ%#ldLRxdZXS3MD9m8Y^Eh;4LlsA^it7J>M*_V$-S#>DI$<@J% zd#GScuJ|XW%i}|}0&EO0fhiAE+i(2f&SEzz6C-g87TxT*-)%cE$)c_-t$4+xtQY_8 zOd}U6kX!s#B(jURX{bP&C7FbQ7fu@1W4`)ztQJ$-w1;S6KX+aY`r>3dsYWeMCO-;{oR?m2JZHL6FY9IMzfkjuO$;~+`bWnGmJlU|9RA0FG@SX+$%}jO^3Iqhd?A&INjocTD z8^*T^6$+1n#5127Z_I7USSeXm`q~R$tHtTl*_5SyFpmIXVI;PF)(P&+ZRfo?eldFh z;Xo$4>M10@<p6g97f_Oz zxqxAyHR=rTzw*ZQPhQqYcwvAiFiuC7Nhp%4eU3H@SOU|Wv#M7l0u{F(($(yO-#JrF z)p+CNjoP0*nY#d^pA^H21VXYzITR++q_XrkJP-S7@m;1tqGv`RkRy>SNbt|iaJ)1Eif7E)I;Mb0>2stW3Cwoh$tU)08<@>PlG)EvV zlq>)Xu!FV!x?@#p~_Pd3>W7_Wp27=E$c zMz@^kpm}ij6<4Cg$xE5I;2mvvOh(nZ6SA(CVw|!gliM?g^>_2-TeZ{#qpVHj1pFPx zhPg;_RqFdVrt99kpf50`acb!JimriO`Z9pOz6WS+DkQ3FEk7udG?L21N>9Xm!x**_ zx29Z7%(e7osg3R8_nwzGSeI-;9G~!A=@XISuYS;&By-edMV3|18@{=6WR(Z0sG|`T zWZE0q7Q$2inQm=W0Ta!tzMiv5Tig-`sKj6ib9z#0VZ*kKFH1qMx`V50m%*!qFWv#z zu$gqU!MvdR37|=i??yyb>=RhRQ4( zOsPtAGs~p1Rk^GxuRecz9u2i7_ZlEr5%QBGKW`MRED^27>0#q8eFv{1_DJQ;-N#^Mw2<>feI^*Rpv-Vaz%02_obWow1h6 zRsP`A89GR2+@O!a3o?m&j+M~o8or&-{aXkkA^!l-IA|ubR+^S6E>DPKY<9@Xxy?2T=ZDAr-o3d@qJ%uX^Bbp}73 zQVFg~cbx_7L&&v4*`|n`{)FdOCDWWC3rcbn_8zGt<@d1 zeOjnVVgBrNhw+@+S$qg!3!Ii)Hmao~Z?MttVl+wKUn<$RaJwU7A}ZD28C-(g^hQo+ zS9c2L2l#6Dy{Rm6?~-^;_C{`oF!=ZD1}14L-hS@+v_20o#BSUm>oQh zRFFB7Ln^3<%k7!h;TCPf+_EiyNxh{5dZK*jl_6wsAutDPoq9+ee4AQb9q%>=VNo$^ zw&)0!CpTpe#5g1Qg8TbiqyUzvD>hlbncV%nZ~B}6*2Uqe!e%YN#tl%cs+=MKIa3l_ z#;96^JkNu2MrW(nzo<1GAVg=&t0Qp@kv*;itPyu3|JMchugcf932dO-2u}JBu>6mu z6rTi^3*I)c(VO!rfxyy?PZz&|d0iYIt~)t2b1@S?5hpr98=@hQgnWH70Uz5lr8a#| zpN~^y7QU#MV?otuRXJS1{?1o!-3rT8J~szGJUhR+^4)pc(7sZb$AWhGPbsl$TX|UP zDujrvbSenoCD_n6m88lMw$X2i(07`-R+_~x%f6R9 zDXLeu2Lf$JVnb?|Vk2m^qd%~zsJ5}gBt&&?PxmSy+Pc22`1*<>X?@C!Kv@xayJ{r+ zcp|}{t362%`$93frjuYXGJ!u`UjfE^{rRGxE4#t_8N~pFe(hDW6)_tGhi~em6R+Zi z_$C=Fc*l-okwy^y8lQR85P$4?V`#K{I4+}St$(CL@P;nf(YD`#c(;lDRP2b1NC_F4 zTPX%`O0dx8B~dimi%Bgvb71W$V4fv$q{fi0Qbg(#Brha%5SuvWd%_I48`icjVc{3$ z_7AuIFW}(cTc~L0jzj?|Mp+#uBlgSDWf7z=-jb9easY?GR!G4vQSqOqa|L55x>U6= z((M3d_8ePG-qZ4KOHu*EhgIPIVHjiYdsk+_rGpffmRzq~fX>a14jJ&2gTOgZT>TI)cS}1v(7o`^_gBXT8OF%&g*v}964CWkV!3JtEu0UdpOubsWsN`!`WR*vT zn8`N{;>Ie-e|9kHgD9*6;Y8QsteAnyux=O$g0LR+VPqIy&`bp8m!ke3p?k-pp4^ zOrb{%BJkK;AqA+7v8@=ac2j1;NLZ5iIqsmj*F4L9qnU)P6-Iq zD7jZ$N%T`#`{0JA3ioEI6z(9`%P+S_0mv zdpU!%&bRUXCm>4R`N^z7?f5x>k>guG!V`ViN1-$O+zBTA2G2!`fQ*P|n;yiiKcH}w zC3JyI^!Rr)=MpPs%{o4ijo6%7Y6}brUwtCH@f`w8VXmz?g4WsHEdRoyhdC>|`yYGg z<^rWT*`VVF;?445Yt#G0l4m%gZv=o@mTyx)M%E?Ni`21C2s%Ll<<%Ade+19y^HNHs zoY7;&+Qg8iXb``~<5jX+wQLGO{}Uy=k?I)-=kas{y2a7}({r0DLl~OC+n>C@EyPQJ zTJ<59t$sHkv~}(|V`*p%(uSl^V}h3;s7O+oQ{**g7?2@8By$)jzO^mBiUBW?ce31Gv}_*$)ug_n z@+1$}Op4qI_K1Gv-qH5 z8MA_KHU(dsx}UtKd(KgFeUDxCQ3#H8Rp^&9LdW!s#Q5&cm=^pN@}bEce~&A_BRzxv zGR#8dC5u>~jYSsdg5EVBe{h0YDx@2iIvA(vwu=6i?vZ$nfeQ8T#*p;UWm1m^59WTA zL8xGYy>Y4dRr8@KO&^iblll!okFDZ7)C(CA6b_ab;y)8L>7#a&fF#+mlV=$Ae~C?` zjen~Hoy@cE?t2fX+Ah8ueWmS@l0_(dJ#_rW|A)!#6C)uJJLeo2+|kr<&26G-e{)`g z_He&(8YFAGhfTG+x>U|J;5%TvHR>>f$U~KAURSj`JPnn)!hDe6-pHA^qDP+ zFhCBUMQrc5oYL&75U!a=G@22!qAF6nR`9RDfhtmFc})n6t|N(|IH`nYtm`VqMC^Bw z6{RGt8S>rr;Mt(}k5_jmYXMj6xz2GHOZ4%XF*vL%d*btlgvmzrVDDm)!FFhS%LS9K zr5tnyP!Y?beUW;lW1;4_sbT~JR0>TcC!o>L3_8%X+cln*pc&q&I1%&i%SE8$Uogmf z-L1kcT#awDSCv~Io##Ny3O6g{(q5nm_|?Zpvqy}9Zi}o)ktN(e+Qfv5SSS>ti!W>b zAgO>JJ8w|Lu=2nI?a06dkcZ&4f5x$8$lbpHbg1n+{SfRyFqV{Hv3@F;FnwB~sg+@z z#P@HSTtAWN6-l3y*^np4jRri^%vfAmnVW2x7z{HVtD!Y2Q+*+w+TOZ%t=q+tV`Dbs z0*{%mE{CcNR!F7?qD7gCQ3rUX4X!=)*C+jsRH<~~Oeme=+TCQ)j&^wl5<;;tt@)Lq z*D1y`BcE3O-dXQl#{b?{PsgRs#R`o82|h(%FB6&nto8Zl{wX%lOD-esfqASYu$1!v>WMFxTuoJbb_kQ#JFY(04-D4!<=)FVmM z364k3sBTjI6(@@c$}Sb$VKuEmbhD!^KAbM zo%u&)7qSt9Si+x(>U@?Zb*-<7ZIJ?%dWbHx01O(6K)q#UGOo0*ItsLr+xuzy2aJ>* zm&+T*XUG`KhHKesq!_bQegC5rKqc^BK(S#u#G=lqXcY)PeKTzSf9s5!RP!a1@BPNr zq1D9z=(-rw);vdZv4SZFLmaN(Ac0NLpcWGT?$dqggt~Kf(xjCk=D5LO%#}S0*5;8wFm~9}HAI~8%`Eo|M=I;9^b(6l4RZS{K9&rHoa`z{yQTMG%~L ztd2lse)OQ+F3Mu$Ouy`E*bJ_miFap?G9@DG(<%${YE*}po_A{-xu#bEijdzKOQOwH z9m)C|uK}BEfAkU@by%$)BUqbQL*5^JWSX)13Q*e1sQ|6}V+KLAhjwADXd=B>vDR~) zxaA`mgv>^DdVdu?MeYf>n)K<9oV68UviBZ~ zD443XWDMxk#&6F%&bA@AT%+M9_!|9qI1{And_Ot?N`;u4Pa+%9$EM=~eG?;(r<5QR zcQC|mu+KFgnq1CPggpRY=$#hps*1+Qj)x!t0>x-DXY+bU9LX*X#jqQS*5~Y^RI?wy z!Iz`9?vViV$NZN;@6O)?K+Vq%zBke`K<4d1>;FKt;AF#ue6p8CJu{>61UNu22&Hs25dvO z-@=FL`xVy}$46`Wgf?qwcDENXwrGzrLFp|oKfh9)z59t%w<{`{``}a?Zqrp$PUCI> z9v(F$`c$<_2t5foL0zww4UcxS4Ft;uPSA5W{IGE7=2>Npq{VQh5h;OBm!?8nj{ii# z(QGnf|6Y%rt0#>1LZi7dI-b+{nxUNWy3?fb`Yic9cml1Mao zU&&N}%|49G?{p1Bn~XU+wl4oN>QAl!rs4#b73wfDSrf5tyPv|dhf;)Zt{$d}&*oE( zvsm(-XYGpP6){)y)IA^f3bhI!+@Ot+ia;!^#)YB&GpYY1a*kR+$5iUgKN*RJd|b@A zmbnlBOFZ|b$gq#eNQmLP{U{4(-$sbXUbwUtCT22xh-fb}54T4Cu;4JU2sK; zBB&5vxHcm9=ame!|&0tR(Ep$@y%| z9nCU390J#NKLhE+6{R%~4C+BRHuQl!$+u-g&0_HF=hBc!d}*B2{1K2I;9J-5zEr9u zWiAAv?g&*7d@_P=GW_UMG32KJk8v7imoT9nSRP*l#Dy=2sQRVG$Irhz*gmRQa-;e? zlwStD8qVHWuo6bfRmtOEey0$$8M2$hp6!4gQI*KP<`S33{psuqc5+%x)Fsx!)CLj9 zQt=;5PWnO^PLhS7S8|C403YHCm@*s+_PW^#d&MH1D|E^1Da%~*KA^jq=4c@R*mRH% z)B)_TSq5D(r0U(>yuEc}IgRIdug-8?Gx@T`6v8@5W3in#O!&N$n@Z(xk;rbZQndn& zZ0DIAliK9E#PmJPbeFw<7)leVLfDCBSvh)OD2oOjxk}?=+QhjO0I}&1CtYg{DH{kO zw6*Lv)%K$)-)UH1H;iC}O2K<01E$k%sld{Ucj|(Dv}~(N;l#EW zoA`;&%)73IqLRXYHH;t$+@#g?9Wgnuka+W?HAgz?&JmCu2V+aG|K(c~|AThvGfN1) zYOhwKQoO;uICzlJ0X+OlWmMphY4Kw@*N*4>UG~dVeS_+T2+7t>$X`rsMT8R^lHNEt z`sf(xi0;T$bYitrT&A)h2>aCV(nz~)FGqy=qHI@aX_Mt$=w?KwaZkZm46qdaxU6eP zbbmf~75uMLyova{073AU-iiF5(x-1RhO6wEUifoKpG?PTJ-~@rA}EgGz*7nz4M9Ii zYCY28?dz$-TCL)d2QjG5LN3h>1WIjz*4^2a<#mz>emY&706MxF8rqYW*dEQTgf9bM z-D!^)z;(yH^8tP*LqJWR;R4SERXce6Nz7MVsyE_#9x&|qFnqY+R`qa}kN95vttflA z8SmOV{ui2*6QEO{&rwil09!>BJC`jDTY#-kB!1~QKM z>yI{tM5DCQN*gQrH=j&b1Sf@NYP=$7{*m7k@|n11&&?bAkh{>;x%|(txo{(@)wnqg z*9mU*>u6H8_zkBC`AF&8@Y1#ZKS{$$cNJ0$&3y5tRzO*g_(qn*pWee9DvSJ9K${w; zh$sS$Ne9*8FedwcoXUwjY-_6FL9tWth$HWSf#Z(9#u{zUMSLqkO0NhvVL)KWfB``- z`b4M71nm(rz7gyO)9Gmy5iG&Ki`qZSXpfY^39N7JId@h1-GybIw=Dl5ctZmIEIC+) zp8>54QUcEZN>%Rm08Cflmxq>*^>McrrxP*NNSy@0G#_+qddrB9z(w6GEnhA1H6kNW z{KqcPTq=H$_a<%Ew|lB9jjPDn8CEJr2@3w;z#5Z})acBaL_To>d$7vyviA2y80~8~ zZNE0(XDb1}1qQ1%lmTVehrK4`xVFHHv3bq4W&?=iiIl5Y7lg**1*~9is@r%OF+EQ3 z;+UyUjBgQEI=$r?K@ec)3@0_U2@44`m`bpij`ZV9v58WabLk8e9(Dp_nsR5!^bUAm zx{aakahoqRY^C#$@bou{B&h4^yZA1tcEz}Vj&fAAqc&izj&t@{a{rLb0b|~S$~v`Y zhyXHC*xLAGns3hNV~Po?bVpl{>}Tm*x!9i*Qjnu^T%qC$--q|ZTAY<3Hamw28KLY0 zTfb&iV>ey2QqoMwgQQ zqJWdrYEF>cJhTS0SRIjj)dKargc-35a0b|`*Nq(i6fV7?TGu)UnL3YL^8ie)+D{YG z$sw~$ro%Va*QfS$u)IsNzH+0&a#ly<2T_@mno6?C z{yw8P3H9XMC(=5T#lT`u4}-Cpj*oNy;r6)P3-(;tjGrJ20Aa1F4q^`&{2m~~IDn6bPDnHPN6GP7p~Qr{?baKCq1j<%RRA0hA8S3ok-}pi zTip}cM$)nW7O%|4OEf%xB>ifHD+AbUmiW9(e}{iR60O|U;|~&aH(EK`h*1M_e#(v# z=qHnJf>;MG+25xcW^Y}HfkAAo0nqhe6Z z?M1aoxm0=F?r`VdhRX=80NrTeM9=zR*m#X2jEqVR=45u@Xh0GC;E(UgMrW5Xn%v}1^&>G zn4YLMiZ-3vk~}gDs?|eV!m^GY_I7`N2vyCfiq&huU>a{*w>plsE?1&VAvGZ4h}{*&+u+SbAJ#N_V!P-mVvKn}M$ ziYLak_#Q}-pI#Qkb&_X_wKyvu+$TCEsmq5aRqn=m6VoREX)uE@$bfEHr12=UO0CM* zrjCJee$4aRKGm2fZyy$P215HUz54Ou79u~J^i`=&%U9c6ei>s?lnl^BFD{3}9_OZ| zSwTi10t0Pd)*rglyV%I$j#se$A*vXYNS?Te4qjwBuw654+ona~Sr<{QZ_ob{LuReK(z zb84oirl#i9@3aZhSLkRF&J&c^sf*lB+q-DeDqyL{L-jZA?4_-%46?i{-u^V!RHSX? z`J;QAKZsp2+BWBm&X{Mzgy{`aH5K1eqrc14`yr<1jCG_5QTCla9~lVxnrF=sJ}U_h zvI9eplX26+vMP~gO$0VQg5zZYbadz0qQ1M`kAIwtn?{eA$AGM^a8W#icaCUHMRq|` zB18*c8fOqKQGB7?cIw5h`a^`f@)@LZZU;VfX8PqV^ruDg;cxmfxT1)!cnh3flu)^m zxJ+}etsa;)oX=`B?3XGb8K4U(O*t2SG%)bN`$G4}_YCCs>Tf>O&=L6q^}tm}CG+lU zCab_TbZm>LCj3;z;5|93(MVJ2WaeaW0%PK^3jy^e{YTr_)+n5FuWU}t@#hqV{>^P5 zYg^mq7Rk|1?eB%dp>S!(Wa2f)@4jX35(pQVIWVz6qoz-+1{0GqYIIwQ3);>H;lWN< zSgt4M)|qQz7N>}5eHl*TYF^COQ-I>jV-^{5Jha6c?iOY1;*ZL^BWaq$$Arloz3;>O z?>TJL1QYRU*xCB|hcJ+c4t9cGehI=mVK1G;QyMW=YsZ_yb0wGyMw|TG-y5j`zbv2QF=1$oftde68mbHMP#tzFsDc-8^eYirtPhFs;qdji>Upg?@5M2K|uS;3qh&{`4)pzI-ylpZre``$~#8 zLvOJcT8V;bK6*cbp3`aA=D?pa1sPJ9bL)0B(Ra?nb1<(XcyqRj+h4p5Ko90fC+|9!;$}Z! zIr2Ra4(BF(ywRiuOXq!139nnWPsS8h7b-~8w8Bplx=@kuf9^D) z3>IcdD0B*}G{IqCs>-XPSSY#lzG6IoWC`pMO8Q&#lA27brC(v+sr7T2gkARnrqLT| zIp&R3W2SS$&_23CB|m~qu_+|;F(7x5Eu1~ApHoib+ek5bQU`gnd)yEg84ORqC|OtT z>qMF+pW}dajNxmt7_Id!j{2?gD3)EarZ`pnc8`*?xt}Cx@tpaRL?*t(M5N4K5e;yNl4p|(*ndEs&xQLPera+kIluBDZnAVrQ0gQ!g~C9OQ~&iP zLXN?PseZs-Kp~#v<%`eU_RcQ!ZkSc|@)ce!I=b)kL~5IzjkWMFtS*sd(l9Z}FQdK5 zhGZ4EA%r$RF4h6oBZzt<=fmQN8ke8BgL}l)AcDg6XOJ)f;n03SILt7P-m}5TG}Jp~ zT(CoNY&x)GaX!cYbc9;l601{PJe!KNPH95&`sm;Z@f|A9b75Qh6Z?FXt_K0O?E$I> zt1G>t@Y-9%z}b??GUc~*SE|dQ_2+jGMbIH)bUj!9_Ju!~N}Op4OxEk!*@b}??B`Kz z&d!PF4N=o;@8F_~s)5hoFO;c`9rppPUrV_UVm}sKCN)k)7`!cT`^7;9V`o2thQ=`O z$){xz0nwmv56(#MdooQD%$Z@OO4^*_=fMRm8&|typUU10k0Ur9QI_lDET)ZFxdiml z@rvR>ECFC2;ShZ0--Z!CSgTBgeF1;yEm}f3v(yFbj})m?b4#x|`Q$HhTZGr=ruS7E zO7oAG)veA9F@{-}ZcGXNr@KStF=*_&VG@HpY19YKB+&^ z`ySRXFiB=suzAEqWLdR63n~h|6g3l>Ph>g^kTV81eqv(Wcpxl{xyl@Diyrg<5qhnb z4+`}Om>$hF zeFrwv33FUooNB&(lm1Xael$s5R8h`qeg6Xl8e5gP;tF5r-LFov&ygpy@})plfMb-q zD?Dp&Cp;E(tPvv2GHaz&-+wNOa_7wU{n@6{%%;jnlf#PKSEw4J)kfd1t*?aEO4QMa zM|9CsY@36D$~OfF@Zl_&3rSWja-%xKa5_`^(^=j>R; zhGmr}GUgqK-cto8IuQ+Nlfv)Z@!ljqhQsFEXg7cSaz>eBDKYQ!{dsi-_Jy*=Vh~Il z5pseghZQJtyyE-<1S`q-O6y2d;gubH3af6&&8|2seKNq?LwrrmzM8n-QB4GhKW379 zeiJ51c8vbK08ffue!sb?x=(+(D7mf2SNatzz1kUZA zf8B^XXbQKr)|sQ<_sQg%R3sK5S`*yy;Mvj(=W)-h66yKf=ovK}Gvc@H)kk_A8Qdqv zlfo7nzL5(<-b}JLJMJMeStEFIBImtn+`X-1A<7I$l)W1LPcB)c?(6I*^PRKT{gLMe z#?MIllf3uNh1VkmQ7#5-V*L1m0?VS9TY~dWFs%6cAp`S!4*0*-$s#jx=UBk%Tf~bD zvMi^mqMbL0eN~^PWeT)z{Kp~w)#rQ@YSSuFetjyz$u`-~tVy(EM&h55JxOR=zC)Oo}kfGq|KsKbwX4 zmC|~Cq-IB-?Lk75RMTMbAvJKny1v$x-^tP_hfv@l3uK1{7Zm!V-><(3`E%gCA?&*l zyDjofr6W(0=cc+dEo!+ZLgns=WUvM{x(p5cw>TYX_-r$LvxhOuAttErD>*by!oRgu z0TwjZYY^fu&}teN2z_aW2w^@BVWpBM@o6W*x^^JHC2B6RwRg6N zl(5RRvXER@v#wWal5YfD)LA?Ftntk7VgLGa;!3S;{_cI{vz;L2;^}gUx|rL0qgJ)v zKm{rZaVs%P-J3&i<~^`q@V(v$eXDP_IcUb=W=Q2_gA!Tp;0V{2JT3!r{=*=gvFgOVgs&e!bil5n06%H`=K@Bd)917#6#|30F2!)w#&l^Fp*3NS<-P zV;g{=WM$;9=vY-1Ijj<`Y?A6(B4nyi&1ZBS_eD@*M+9$}H|tV54Uw53XVkPh`R;~v zpu7lrtfCcSWt+alxvU2^tGK}9ksd<{KFyVWxa{$;z#Yr=~D8C?tWFvmm+JYY1 z6&prLq@iiVOSugje85d_e$Z{-SWwx_CVDZ?;*QAk?Syb`YXZ?36M-fp*(#27}($?4BB!Z$5d-$<3mJ#QO62I7;-vwn`m=$DivI;lI3{RIEFjHBM*Tks|+6M_6-q8UBqp^4%)z`@^R1q44%< z6dRM)kt*X*)m2{MOP-j`#P)6vTww}1#PW=8NN0dK%r@~C11uc-4Fd$6$rbWv@%do$ z=g}V~&>zvbcq&5g7~uu<2citedfX!4m_jcHR=6<0XSls=lWz><#=)01O`i1HKLuxD zQFTnSgh)3dx%xhqYN~WXf~c8pMvLZ+ivFl~SK}rRuAa+o#u)c`outYRT|6HKv#>o| zv<6(8%$&5d-F(Ut_4MXfmfpa3!(`a714iHfky?8uEK-s!Z|2>SArZOR0nPBiL)a)q(-X|d^&JIsBAFbE<)Oeg5vp$*U_FftZN-f zDaT#%R~%IH3a}2pX`tY*D3KryXuHrYiL&ZH=%;?FFKJ0E)42~Bq_i7NDZBK7tMVZs zN@wU_L9IHLwBPwT%7@B`VQ*B~bslUp$&M=rCNgjPJl<~I7k)>ljxPS>i8<`&PWW3? z^V51X9gT%{Eo?{Cv}n_IFuI)ky{Az97L5*8h>wJMJso%kS?(M*e&)%M zMSv}pWs;N2R4^5KKqog8FTHQ&MB#niVBAa?uDYmhZ{s%`SgbHX@{+`3v{tWG9h>5+ zg|0--WQ}QW|5bC5GygmW1U&JI-g8f8M%lv6?II{y**R{*`%ZBiZkb%NoY|-dX28=-3=Yo@J>@Za}Ip{>r-Og?N32Hj;@Z&z<4=i zkgiNo!6@4Xo2#KvFOME;H4+28T(3khIoACA zgWcGyIWy_}!FtBF*KP4|obeqz_?+U>xy$tnpIu*c6gs~8^pn=U@L~%_H&kN$L;Q={z`ieN=$yC+fKt|-R42*vN|J*aH)=K z#4KGDO-dPxmjk4#>s0QLWRI^y^Me23(={iBCQo|FOE+n5SSfiBt{_4UxZMg;#zgiU z+nRBG(xrc&isyM--D@iK4z2Ll5u?|bTR75~behq@ANeM&y0>PD95$Ib)TfV*iDj0B z#oA@1B1)pll8T`?3aS;&w8p=9hH|9qePxqrj+W~dn?uRH`-bu zweP%s>QLox0 zW5){&hfpmvyl0;0a=KnlxKQj2I1ks>DRHC8<120kWBUWB@%X|8ISVdC4 z0nPMHcZgUzA$UafL7RwytQy$91Ta=LCE@iNjo4G3j&PUGyEzXyvBqb4nV{xxRf`!y zX3D~MUr_PvqwxI%yFy z2&uJLBXbLlZnG$K@~jFqNhfgDXt$lY7W{gX;HF>UJ3P@ZwURgZ2t`5&b)VtlrC|94 zY2bpWmRVh&UmO88+VSI2{Y4wL9v?H4&J+$GIgF|NKLEpU(9?+qA&WXpLR;Lh17#ikm z&%icA16FzPqO-nyAy1!CT8ER3AX{Fk>m5Ykroa+Oen7Zjnn|EYeE=qn>R!&DD1}rw zh`nJGHEW6|umA~y@Gvgd0xZ582&9S*C?}7P4DBYkql!~?2bEAu_~;9T&IA@P?qFNK zHC&{+wlPvN@{p+)Q6#>nCVtevvQR}{7?Wnn2V;A4i2|->eFn;zT+1(|4BJA9L^+qRA#uMiC771@$XMZ?0(<>~d;f%k4FP2g;2TfP)t zwC@kh_1+TZE9zr>C>mJM37Ey8JGnu@iu2gd4ha5(QdTYuVyLI33aya4X|&T8RtulS zC=$2!blaNNfseR=Ue70n#6B7lER|^cf|Y?TCqSEZcq6w{bDG`ygI}nDW3%%o(pjT= za7wrN1MP3#skSe218y@PjJ2c0j74@ibKjJ=&MoW+swIyYzao;YA&jA4f5#K|VL6?0 zz$!*?cp!u{IwiS2Guaj8)GKY^#iVqi?fO0ryN)S+1Q5AZi#4`xKeegSJf-6`dCD_wGM9(U)Eb zUvH`^na(5PPI&nvyty^t!A7y4>?jDQFz`%v(m8iQKm_he%jHZTDcrJnumLaX^dir= zt=tf`ovf$xG=Gd!hjZ%{?qoeqf)i|TWAPAjUHW2k9~1ztK1j5G9%_VduGAD=!%o6G z^TPWQ=4XWX7OTh_N$qE)Nk5&Bk&}OST*JEx>esQC$I?qVA8bOOWY%X2kJL!lL-CA3 z^e7uxpj>UpN$wXj?Q_k|MV&fp{6eq8sq2LFQ6Kb+TXb9+qf*uUoeD zV6%g#y1E%t8|>b8dYo(QfzIYJT@>NI48?@3A-gd2^wW3XBTL)qP>!W_SQf4wp6hv6 zF`F)%3gbeG<)!*Yg?wUUzk=z~2AM@ikQM;iKTEu_nK%W^meEP^xy`wmP6+JbEXdU) zZ-&5#1$m)~-wC3lYSLRtwqNm(t$81+ao>{+h#l~oz_Dw*ywLkY;_FEx_1iAfg|dkG ze*Dqd3#}8@Y92iFsYeYHoI{MJO=|j{>AVS)J3Sx24th*}%`dj~=LGlsKq^;H@&3fY zssZ}4lIL4VFt?Iy1d$B!dXe1?#zV?Q@+UJ~n@J7pi;E?NcW84kmZN0vs?7EiWXw_R z;$yK;qi@+3^ibI7EnrAR4KNelHCZz9`cYnEKBPO7X>Ffiyva(qLLxiyc=dijbQ}(a zr!$;=i`~hyaH*!2N(ii*x#2-FO-yOmmWal2bItAx!V{f#){WL)y24T@>&^zcWxg*4x;p0g<4 zb(8{ow~wly+=SQ8S@7p@J@c7o4~C~|2j=%T?xk~6kq=W)JPYqaR=A{E4&6gHuX zAT2%n`m!`)-~^ORk~xhvUS0$-6@@Qg!p<>rqNL5>p#i`chy%)Zt4p^jU^|B?d zwhhwnV9C)T8&&~lQRK5bOrUMcNR3Q>*U|(1;*Rjy-ViPGjMnYJaZAInXDSe>$og*U z+G1l~%}3!fhv0Pb%cjkGp~JsNhV@ry@xd`Un#T-XWS1EgOBp8*?t9+t4^N8fsk04h zVko717Lut6a^0(A^LXV_lw`^Mc2zM#VlxDtsh&AZ3~2da(EF*V*}1Okq78Zr*N_Um z{zga_7p=jyowI4x`UHI`6z!dii)?IlqHoKBr-fd)yt$S}@z%v875P`Yexbzzl>NqW z5Xh7z4fXD*F!hRb*gROpu6?u4@}yI)YJDcV*SE?8^84@$tA@Hs3R)&> zjup5?=nCcG7#>n+u9b-xjnz83emq41rc7Fx!#joYZn0LK%fQ~yE zCryMF+w>z|OFVA~S$B!uZ?rrIbZ69o;EV|7(5VZrE|ez*D0cVp%GvDFkL@xfRxr>g zo9n>mIu|7{F`ba9=CZ)Vddy0dtyz_bm8j7>vi?#bijgtLZO#0CFaFn@(c4O%EUYw_ z9{ma{_M${yzwLsf>R)H!JTe!H+GFTdq1Q(2(H=a?NDv5a&NoXv=MU2W7jM$zLMWxO zF1*gj6YR8n-)VAGU@d3gZnXVrL=RK4t```823dt>nj^VZ=Hi{!l%c6nRvu_X2Q$Hq zxO!YsVz%t+Pe?qgIPs!4$Yr1Sd?8`;oFV?X`gcMT+#aXzO3s0N3!o%Gy2~Y9b1T-i z^gFHnAT?K@lzFBTBf>2i3Ph7~9r}36yO`tvm=pmy zI#8DM%n)abeSeCT@{)5?x8mbTV5Nh}idxFe8P}Y$g`;ic16it7Fuv<^*Vz1e>y^0Y zoZ&rt2jRq2JCCmqKl@NmE@BGv`BbhqeVWaYkFnW1%!R(iIvldekrD@oA5qp(t-z&{ zgRFR7L>Gf*O4$wbK7r+sB&tlb0ttmP$^=m?DO2?#iT^mhG^Q$#HX-m_|-l z?4Os-m%u}9vYDdb_b~Mx3_Gc;?PH3Pf)4lRRlIdccmB*j-E|k%AK?YUgI{SW%c0vm zrH&rP!ZdVfO=v)P1tg7Se*gC(Srw-irNP^aQEmyzwZ_7c>4$3d|P zSLBn=t`J!S?SNNFYS_ucW{(SK=THC+&-14k9XylXa1tj>8%S;0C!l9OXz+PQE0ZTr z*mx(DfvD6Gxw{@~9_a2q0gp79tN>>_`XBh;5w*NGPac7`_xSjVF;Odb)RmUV>7zEG zPSy%vcHo`UGW%4{iI;`jJm9lfWC=gjn6FJIj3SUnraGDJC~o(u{JfpVgfxBNhn6dM zpvz;xh(&6D%(Ap+B%U<~XAhCKMKB76U6hGf*eBc@!EI6hIj>HwmzFK+U_d&Y)6`pYFdx=IK|q`!K|r9tRSR<`CNBs3 zv{coUY!-~Lg7bzyH%mf)q}<%xmk2=(*F>FAJTKL$#B|hIok?`wpCP%sH9ubVUC z?-;Q9m(iLAH_HGLUTl8Lcu#M5&DEEbxc&$&=}OV(Ikdv%Pd@LXDsp$^QAlJML={Dm zEm3ssQ68^F*}R=5ldXpPp?0;0J*3QFBsux4xy`NM?S=sNB!e^FNOD_nw_sGELm|LF z?#k<;Y6u>>;<_~_;AHTH>M&GS#mN}!qI&Tt|MOm*W&SI-?j+7yPcm0J(O|%%U>g!LZSrl`dScI@9|EAyb&<}Rzem3V9 zYG=Ra*K{Q!{W|0S_bZSzLa^y62m&(o00u&sLMla=!UNU`NPqj;j_Ibi6;e7C@p>`r z_g>X{Z+Z8_kAK7FvfSl@<`44TOw3_t&RT`?yxZFP>+Ahtz*_V*0Pw+Uo43QDKWJJ* z*mp+MV@uo?AlRo={T475=JUMz_SxWhJFD*wcvf#A_yY3b<|D1w>Ab&MycM$ZJ1iMv1W#7LN!6z9N|AQpEB^jZW}YP7W7`?fVSg zz4%{QIunk*nsio?jjtRk1sIQL?Ud_+1JlK3Pm4y`3 zQ;UvMD1M($CLzi#zq*Zzp%R zq_(|8xrj^={)Td!t0{l&?C=<`sbk7MweObJcHi8*+t* zD;>`(s$IYST*2-)JYR>OMc5dL2)CNC0vlv6+RZ|`ojQ}g6Q+{Pi_*KFuxQt8TvWqq!VP5{80J zfu{BC%YGY6c}$q zpwG|VpF!cUe|!3>#IpeVOR)1}<+{SEKS3$NDkLeJ=}SM2=O16(aUG$%G&3tt^uLAW z;(*8kgzgAvUuYhduQE<=ozRk;e+jo=(g&y3ME)rBrf_z$f!bNg-0BWatptEbtm=JL z7Q9w{{@t^U-C5O*ko5&MTD0V+wz~dfKsLjKG!pW*Mc#RxV*4ff(rKnQ(7?*T_`u!3 zAgm;yHe;B6MN|FB{MiyqSitb?h{NB8&5&ViaTSt^9rTF)Wd5I@pQ@6Uq0H5`9dW~_ zzZJu5ua_wTscx1mLqdAi<|7lkJ98B4l#p^p$;Z4kn*Oem$I<0y!CABmz4eg~05-gO zOR+zmM(sM_=EKmJ*nLw+9TNJIdXHoT@grP!WdM?JSgRtUI z*G?gNjhgF2_F3Egn5n-w}~|T4Myq0xA}VmtrJm z84iWbjB)I0_*OTBztq1znSY>svF9k1Y>4%aiQo4~fEKw3NwdiAD}AJ)ad7q|^+&`>avTOG`0ST@`+bc@Flr`auWKJ=D z^I6PJcOBPQcH!+qdiwKX+Iox=Vm)}HNp`Mz>MvZHIgndaW61N zG9GiSNts`pZwR|fB^#gMri0+>WYJDc{*wJ9H%ie4Pq)`J64W&{40!Uc;=evQ`em5a zPslu0LsZl|us>)ZMTwczY8q3=rK8?zGpVar!?WCj)Be{-4J=ZDuMLW=j$RSe0(NCn zpbfwD7*|mq^3SS1fE~B34l3R7cUyKXJ2z8GmMK?RW9j-z=Se;(PMXFlNq^<&&pIU` z$rM`E?9I|Hi#eMfI#2M?^2-}uUoCDs35t}P(VA{ub+t>KDh~Vl>WeY%G)?+U6W=tg zNoLxg+NWgdRIj5)#Kp`@=Dct{??3dvB#Cx3g_zA=M_o1Z{Az^h6vo-#8c4H;aGrU zJK(BqV{6nM5T4RqA^-EATh+_L z1uvOU=NS`Lf8dI`K+*$|uO7rv5^a+U85E|(Qj7>0s{31QZlozBDlM~(wz}T$UN|xz-QtEwy3`WS$34T}tXhXG zja72i08A%jF;J-|^RZ<}#Iii*&0C5KBZyeMDi|E#=x?0auH#bgu&2P;h=(6FtukKl>f_wXbrWX}*$u#|S5eyOz^{+>aUph;rKR4H4+B24SPf2QS zi5el~(C-5#p-+_qCQx#590DmseTdjI-_Y42DELu5R`QkZW$uy0rY`Q|*5G}}<6f}) zc{FA$i>lo734L$h2%kgYq@HR<~;Qt(T>+7I{KhH9SP+&atgSh5^=D%_Ed>f{sdB>F(p_IR?3*PGgAmVPeCKt34n zdF>rc%vVixgpBx44B_76m51DCfDj*_4;__QDy+w~y&@Gy;S=01s-^d3eVJJr8PDZm z{-5A$Q2(+c?b@L`)*6395@G;02wYD;{k%>bNH)riXXhQMUyO|M+m3higAQYZ-Ry*W z?zs{#ZyLsTp)O0{1RrG)n6?;@uX_-eyR7=Qwi|{B92=%tGaS4BJB_X9R#xdA&ep*x z-Z5Zkr+Zw@n6pKY?JRr5uWbTX!KGuL^OjC@+h^Wcf_R5a-im2Tz*ODjv651JLC$m$ z6?JpRx+S=D$o^Md0W?Q>T38EbuN}~#AlGeUi~6sqwFMo#g58-gG&Qs`3$@hnB&T#n z2%J3ZAo@)>RK|H6qjIEYEq5|EM~i`-g|}K-s|*R!*Bm4eGzAwzr!CVTbDa zLEW!+FDHSnE}=H^DoB-H9%g(TjR#Jos8SX zv)GYt?bEb(72hSC>gm7`wAh0EPeM#{!N4E0n+({1JBn6l+}k0*EA(>^hZgqdUR zq!P4`L^G1L$YQk3ga#7Ws`%%5uOtkxXqAK->g_5IASA7~iDXc@-r_4uzH+*=rj}t8 zLpj$dxvn`rz#~~O*n@s9sOovAi3kU(_sSI6KSqBU9J~b{%AQ!`C;QKTyKTsh|4c@N zDxVa)t}5U6|8u*$Q-%Bnee?Jr`Y0509xtc)U$@V^H_w_X(#VEng)*p`?oXlerF=>3cbA3g67{^AL^tWSQ;qQSPG~|F#0JOHOHCnVu*{5`tPhn(5CDhJ(RHg3q$UcXnR;xoI7k^cNp! zIt*Ft94#D%D@iH;KIo|D8Wt&*B*gRN%?$QtA$+=6OBNB4LzH9{fmrhD>K;Fj*nt`j zKiD~cJU0reD+1F=zr}{RQM>BCAMAMfhK4mXc$yZ7porHs*aoqCB4%Z8kwz5*Fycz0j0Ev|6H;yOpV+56zOoz@=(wX(yL66N0w(b zeEvGkq+Yb_Wh-T0<>mf1K!rDF(4QvO%1=ql=g3W=VJlu_Ufjv-k;Go<>*8eh2RGH(=OTln6Ixh{4 ztHPV(jfAmr!GfWA^H1vcWJT#4FDIyd%A!K8=>bGnjgVYr#@Ky z8+SeL_DBEYc0$g-cE`(cz0~iyFv|kVc#m!%bGG|P0R^=SomcjN8#6;et;E{3Z+^32 zD41Py|0CD)1>7>f^Z3jF`GoM(B*ChI_vjw!Q%guWk8!VOn3$>QR!e2++=DAFS!;~5 zni+B%N&ZR#>A9;npMucT4r?JB*usHJpXT_BsiMd&Y^KQ6$`B9h6VFd@c)_VJ|95h~ zlv)jkpkih>Iy;~tb!RoP1+9O){nc}WgtN)iq!cn|P;WTfYMe*jl?zupg_@?^R7ok| zNqKnbw?rG!Pgc=}k(Dut{)TPy*{}TQC;4(4#2&GGMKfIMvP zI>3tc!rP8}P%gDhB$l;I*0Y8F^M%f9bK8+r9c*<_qIpMU7f=vAH5k@PT+30Jefu+8 zDR$9Q)0ri7Xx7)%jT5?T*H!kR6tEwYmBhTcmgtX=dAv~mo0FSeL~^=77OwK(Co@o& z-Bko2xtuSLMl(SBGoFhbUZeC9w=5_>l$RY;EozCqX=J&sv+E|q&krM0DE@BCju**~2Y%CV;JYY~_H zLKeBirek~re?49pF$*wMkp3z(REAkKxUsWo>8xoR;)?#>I=it80Vkz0UxcJ%A#J(= zmJIfezTtbKICnKSaw!qF>q;98B{I~he#v}627DYA)ljShcGC}+a}<{$nf6XT00-^(j!=W_syPLs;GKcyZ@Uy&6P z7*3i%A2|BkjTTe-o{LX)PbQgWgYzE{u@w_8TGJ5=D_%3MIRsC07_y1h&gmcL)c36) z;poD{qwS9yi7*SoAS)qR(=PsewhqF^yEOGKuy{XGX*-APv{x0DRPA$DexD}+4)Giv z)yjLq=}=SbIy%QQ?(nKZd4Ge{p~JaGg?x>=`9!om>GDWzNL<__lWDiB7s-p1NA-l6t0pWG^2R@3Ht8zi zna;iVuSVYvz~7>Tf%~6tcCg`0JB2l~ZsvaehBLP^A3J~n;R0j1ibtMzw|4w$^z)k) zi#}ft!mTs6#sc}HDr+Wu2dAblgpL8mYAre+4$Q|3w;`KK)r>eo-r=Y10FqUftkdQ- zRmJx9v2(VB(e|8vnR!Gpq2*lZ7;9c1fbi!4G>5x)`~g=$G5L7 zCM+zi#bf}5_1+GCF4W~iaIZ(gbtDs`W^!ZY(Q!F!jdc}Tm?R@Nx2BGyd>R9TZD;4{ z5S?CisHy7Yv?8w7yc#89jGm+8dSi4towLr8i>rEsW{bx7aB+H0j!>#CuHF5AcH0Sl zI2wzaZ%%+Or>~pFPQ`M`w9KPCNB1-hiwX_B5CGr3BH~P`_smhdmAzzIAE@~xaLvRV zp5O3&x=m+k%KdBXuO*gU`Tkcs;IrcM!+65{Yu27E&Jq6cN@(g>zDydB`K#!&Zf7^t z_xibWV~L-C z9YD_Q@vQ!EYPk6_Hmr3LCV`TSdA&g6(gR<@Lx+JC4Oe3Sr<;Y=rgbQ}&n87uPp zLPf72mtD(2YSTOvTG}cZ1}mF_Nkyrsm7U0;Yl>mhFb!r@K-gW-%~7D=OUvY=ML7Ue z$>>RD6r=c+WX7K+3R$LNN~=+UQLPULXw`;my$-swuMM6qqZac5iMs~~Ivt~8keS@Z zg0q^qrw0Cg^%Jg`vv3vJO}K%CQRIh)spEr&LG0@I*hDAcIM_e7Rvrjq-c^ALN_No)kc?~u z$0i+OvadJw6%XB7H86Y(hv{x^>Y@}F$lv9zz*nIhSR`e zG^B^=XbrZ|B+D4HlcEPJImktbd&I-VI~QT&SkADpuGJr((?L!tYMP-EH~&xyuLrAN zGD^#?QM1#ut(9}otg34KBMmVMC?>}yfd#{mmB661IrRzEg!U)YiviNYffVCb?zSzeRRs zyN&EK{3pG;;p3|d;9KkI{MLfSLDCuRnjvgPY{_6Ax8KwS1Vo1eQ&#p;1?G?zXXgo# zE?KCMTS9DREvrp8=b=H(rc05b4s&EJ##U1^ftr&#DfN}IR9$ik$%r%rcN6oenvEva zmblZLnHV;z?syuyzRj|9CDgc0K-|W(xD|r@rr3Oo7J!yjqZXG|4Xp+_c`F}yYqN8< zdF_AP%7D0~X>s!eCAPSN<1lqIAc!%uJc5MzeX;q6Fh(aJMjr1T2y#CjmV7Hv1Rqg* zAOA+x^||`+ae1cAs}tA9{H>Z@uaj10P#rj}f~>8rKr^kzf`7!aefT^}lQYofqH{rb zq2bv9G_m5kqrzlrW;XmI!(qW^LB@76{~WI@g8_q2PFpACy-c@_1irRw>J2p+gcrvY zfG3ku)DA8G`r%d!Ouqb+8B>b8H{{alp>f@md|7F1pVnS7Gr{vFIC0iJ?vjS;BwX7z;*a@#4m~Rb*X~b)hZ(#=+yax2U1Z}q!3r<+%&U`(W2ecQFii$d zJNOVT_r|70EZ9JBpuxhXI}+BW&LAQtps^EC2{k4B(gdi~ErF!i1_MoHM+L<&bx43R zb4Wp@a)L94=zIYqofYWZ7ADQlvy88*#>fJUMDzGQH(!#br15yPqB^|LqfELAl}r(E zjXOLq;H^n)LDU(jU*bA*#nIUKi)^7`jh{>cQjQJYSzd@uT-7#^jz;KOgD3X=d?sa% zoe@o*voTDhDl8fSjoP;}XCK#=$S?FA*U4;9!LrWsQc#G))afQ~b*H(yISEmi~Nv#uSaTC;z*<$lOpyj4 zBBY@~n9EaUr6~O!b6aSCMfxGw=A{DUp=>uukN7L(2cFgF=peY9_&4va*nDQhjIcGG zF4%JtKAx8+=3WY7hn|_|*rG1n=8w+PWK4Xg5Au$h5uS|fmT0a-v|cAv-+ z2CTUz>@OZgf)!3`Er}Gla%0rb33|;%JSGxwiKMg;L4o=xbke!**%)a#G?gwNKMsNJ zE~3`0g-Ym9%^Ix&-zA6sV43MhQ4PzGR$5Jv;XHzTYkP*YqNY8C@X=8W+%C9|oN_OR8=`J$9zhvCm)|NS;UW;+k!*h6@cCf`F}?fqsc6|>jAe8pBc2Bxcl=d|cM{7DW zFVgFlN{t*;qH_!Bo+V{iyHfIugK^($3WX(LmClC^=VU#Di=qRS#>A9{-BB6%5E?4DIClldgxdZ8^R_t;ub5SpV6Xxg1nvvf#QIE{wa8ShJKq21kT zA7@<%#tNF*pc}U%aVxpa%0XZvR6*@TvZ#-Wmvb&QYZc_~SwGHLJ4Ae5?*X680^_AqLy_^lglC(odjHUFigVYd*C!io zlB*U4=sHgg({wA-=g5G67S}O zu>&G&P|3j3BLk_53&SrCEaECrn{7kQI4y`(93|qAYNVuVN70|^nQEjmw7?xNJu$<> zsc4~<*BhR6;GS#?L1Hdl;PM-luYO@%tcZXDun0~t1WW-}O&AZ~#vHsOjM^RSLt;-+ zf4$GJjIO-w^VoJg4pBtDl~SyjW5S%NQv702&a>QbzFNFI*MHgWbsQMV3Z6owLR^Me zqH562Ueby2PAz<>)(}~!s-6&Qk$B&%>VH^e`}9)f#u`^K6rQN1?Gmb}86ZZW57mMk zqY%|XN|X?lqD}4?UzAG>lyBD(V~KU!Y%;cQ*x}n8;_z)YXKYhC=U-Zu6}{bno}vCt zERq=4QqM9-CMK}NH+p%dP$=#&DzPQ*KyLfZ%BB9l7@;_0cHljs9sV8&p&dIC;0(uZ zo}m2NWCI5JuSt%x%9u&aQJWw1h7^qm^hRtjACx`=?_d7aH&v4~)M;r}+UqNFIZ{(3 zWL!>DwJD#qGy!!%i-9FC#K94BxTFuM8TxKq9=5U5>v1TgeF&XK>fdTix7g)H zF!Ss8!)1OC zn9eH4^V%J8r`u03Xsrq(+QGqPS!vudNaoIFLoab#_j1SL-?pWHuB(+L%REn2hiIz} zuz5KOEG#P{zt&N&!nAmA6 z3n3$cbhfSW)fy}JiUr@tTAxG{t#bSEULP&qQ6oWl-Ekv9l!oEtGnKYOBf%O1^M?Gg zT9XE%jb@>pk;Z`~YD^tKqd8bk$YAXtSc=tO{t}rOeTyM7I}=w=^7#Q2W7};9#q2)~ z$4-h$P?=6d_L_<_$hY;95!cDDEI3#{a<%i3QWRVZ2#PvfW*l6rkNed1Lw-0GALXv? zr@`|@{POP&W#X+HvCEKWB#LyP5w37&VgQo5kK;PrN<{8sAJlaN_LWEZDO+otYff{$ z2Gr>rO$;DLgPl=v;727*7;vzIn*O%{ad5;qnR%j{uIZBxeKb-l9zHttjf-y}NQ93v zd_&)l=D|>gy%YFZwllLPUE~On(?ew&DP0NS-FFN z9?b-08Hb<|S1Nd8y7D$TQfXS5K+H4Vr@lXfhtGP1#PAwyHM#ImqzWlqwz33BJ@Ji2 zt0K8McP~yBK~>AnQ2UV0CM*|4jn%0!$azIPs=cM!y!dO%SIP4{s{IkW`+KB<4zXw$ zEzAHB-M7#R1WLS|3`i%<+R2ELh}&EM+N3C|H^s&oXc5Tiu7%%Utpu5IO7Am-IclCY z#G43!oi;_SHp>mFgg*cD0uNyC6q&ugr@*W z5(XVq5}nQ}pt`wg-D6QnNZr=RZ{xU65pG#Cu9r^c0V)^Vw)K+^LWvMe3zh|NXWdhy zUgCqj4hlP`T31watE~?(!LptX&`ggZ1!ofhd)u&PdvR};uG=ZO7+3!@@{54h%%lK= z5Ld>eG+)!rnj|R6M|-|02tw-2R1z8*4QFZ+Or0d*FU8az>Gy~6$O^$$AB=pTlnE~i zC5s|r8(&Ou63a(IU%@mAqjV2|5YJ+Di-cxB_{~?%DOyR*BY=sM?aq~t4+%k+u5!%5 z{Yz_*V`8v62wSGkP{qG_NA-^EQY;g%*p&8{Y}=Ac>d*^FgDP2x7n2^utu84`F1$sk zeA*L^l0z9K2gXTKG)eEBoXy`=tZ8eRKQuVTvnCN-;7z>H8$yN!ZD)xD7VtDDm<=?|!u(?Xc3^C44} z^0(9nM6Hg2RaU4Us`c6cn#7_PodMJa68Gre4|uce7{Z18V(D~dK8UBdausZ}tU=7R zbmcsZHiZwPigF6ldJWN;`-o9c)nn1H!AfD4#XQ)h(UyWgr~j@ZXR-<^1V=!E(~G3) z&@fFqvcFZ}8HKPC#$%MCWwt}< zak3~n+?I-boES5UAv&^mO+>q`u*0dcRZHfjp?QP0o(1*V7nm}4@Nd#$(o6N4nD6l{ zlOwn96?Z2!fg*zdwwl0u$kX??iUGblESWCw<)~1nT7K9)oiVhb`K4HdcuN}#Ck|8c zkdjV(Tmtz6n7y<)V0N8ejiz0Tt%FwGcy%jI7f>{Gv`w%>zyS-%#F3qY6;0dM8TL*` ziI&w0qq}SWap-zT`4VHXAw#tumCj*Ytm5w)gmCKwtTZyD3Qdw~&&#iloSuYBt?7R>rzTKZSfL9vOCR5xsmo-VsVy)#*F^Gmk;Y7a z=EQxJC!T8u!53{<%Hp)Y)LvmYK~fGsBp$A)z2eYtM?Mbi5F%Hzgs-uxbjc*_z)7(s za$&ys{&+_M(D(ilBLpjS@S+NVCrYV=#N&$|FXqCqMIB417!r)4LPBUkx5G$r3I7!g zhV0+Gi)n}NhZjz%Pj;lZC@AQ~MVzgNZh+3HTb|k2u6ZgLv3tcD!Z!LrnIjv6y&6~g zbW^}IRwSOWp{p)1n2v$4JJ5g5M<8B8y~av_f{{uMfWa>->H=E<*QTaJp#b!5%c{V@ z!cC%wLQ>2HL!5Q-mgTa`CHn16%c?-5Ezv5YVEKw#>1LDIGKj$@_v0K>RKmlarBlP? zOshk9BT_HT#h^iG!TQ0jhd^AWQN!pr7ItM~i)xexp&fqhcZ$vlQCRm2h^}VG>g%(~ zk>zp##AxMEbk1)nE1_Y}{uAKgf-V6S_M7Ee9z|yc4aH*0R#OES6+(AVtgHcPbz4Vx z0Ee$V_?b~ME&?l=G!O0+&Kr$KOgf>nj(&_aSPZi8r}1Fbls8RzZ$3(q%-Y1HQI{T9 z7fm7Ca!@j*G05SE44n>#B&0+JJ9?39uoM6t4=yuURw3Em~jI! z5$_F=%n&b{44k?X0i3=X@LO>X@#?q24$CZn)Uk-dl+SkO7XyL<<U^wMB+8h_9B7vmpsGMFf z0LE-z6UIyr3_rLqU8Fj`m z>{e|e3tkwCRP|N=M2>=1GuXmuh&Ryc(}XMqHq<++Komp|&dIhCyhq2SLltmZF$jYA zk9lfAu2&{PrMOsM1QvfgIH(v)uF-&2Y}_a$wK5PeIkZKa88wx;s8JXjwRV9Tg{LMXD8HUDy8KVLwOral_eCVWhbE$|K z2tlX#x91!)vIB1JHu4;aI9-I>CT;)42X@;BlEc5W=161n5iVy3%udbLhM#b)nRn*hluRT zW3wiD`oximrgsyY>XgDM_c39v6!rgQ5~ymZPdTGlb=}%C7aFSe9-;v#a;HYxGI^xF zo$*y^b>HNAt^zK-QZ?Z#sovcYHO%qB{QceYG>8i|h@CXh9Ze*$+RWtU46G^ECaMsZ zZ9511`qppv6>X}4Rm(H{@AJ#QcSltec@nZKN4K4x9)aJp&&|2&q2v+Bb0S4plSrtH zvVT~GG4?d1-}oJyl*fivPkUyTQ@}nU;8ACP07w}P%;Q9}zt2^j33a5$-FR0A%n|x3)U?n$OSKC&6U=GBmr-Y3A)A4jni4~5due{lVUc%%ZnIzO9 zU}4sL+d(l2>y|_Hf_FErQxr*ggt+=c<7begHi6-M zZY2?kjoR0A&5YTdJ*2f7mu?U@+%Uq)7L0b#_e{UojU{CxNnE$q?O=6bFf}4t@0L#xfwe* zAeVLiX`o2fr8Av${Kvcn^8h(a5bZuvIG1FU;0tl-9s|`ZGpMi0qP#&&@|06c@-fM| zT_)web(d=7GKNl3sq9C>rxRAnNs~xQvkgPNtSqAUSPUqyIn73X522i%XG#7lp~0%nJESYj#S{;D*cWTN~|(`vEWc!ZV0 zNXZ)f!`gmE{DI#R#hD3NW*VTn#PMS##gz9on9Ux~Lo&-LBDg&1k)YNFhGUC^%;jT6 zn|MFaj!n~bcG&Bx>g$MoZ7I^iqYBWxDC?Bz5Ma&Fc8=k9BnD2PuGG{vUTRLs$UP}i zHsayHSLQwib+}M1<3Y)Y-Br9`)=iYRzy~JT*+M6wCp$SJQQ5LuE()kAEl zUT_iTq+@)VNLSYz8=%S1LX@Vr>AZzb!}2{Jr>z^_m@LyI@}XorNmjLK!j8yY;9GEZ zvC&krp=8XE6e}Cq?sb+s|Fin1OcOUDt;HZ7{5#Npgtm@6gET{n@wlP%DE<07l3V0E zQb>V3>FM)+|D6%iRv0_}VM@Iz zXd<38w0>tcW|j+}1TiOp*1RibR7>X|5}f?Dn*_}dg6$w#e%ogm?8{iFmMIb}QmOI>V=3dL z70gZP!?>ELyxmGTZV{XqYy|cR;Pq)Yqd3ROiOQm^=FDXeQ2*(yCQrg-QH{%ql}DYJ z1yjZ?NOaVjn94J;T4YnyAY@VH!y~41$f56L146~O-s3t z56#U~4t4Qi4lYZh*~yp~_ZAXUn%WWN?d^5bi^|?Ab8&Iy7gMsAgFdqVH_>STs!ine zs7#F96HM_t8PwLi4m&-G zLL5bv<)+O3@)W9PG>3Q_kJxn2SiS~3=Tm96vw4C(`W<9^8+m-`H+rL#mSG!}Knn~2q6>Qtlgh=K-dI1z{jsii6`y=!7cb69*H(nmx zJi-q?>r1Be`|$^HyAv~1q>4%F&j3I4OPnz5#{Po*4+<4OekR-SKPi z@$}}5FNGVG*1IvSDlu`js{>AYtlA->Zfj#)pi5WDa&h_QV!xfBuHd)@k-xY1BLqzP zm01Po-Bf+QQ=e0N?jz{_c+h$4gQLM&7bT(z#Cz%P{GzdR{Ql2`$RMBK5xOL%WU`}X z^b0WIdwxB<_#RYBKK7&Ep3u-R?>RzxCFGzZ!Wgk$|9<4|$N8bl&Fnn$S34$AOG-a5 z?fiam@MvDwK-7=9&+B$_9#{zcB>h|y{uulF^1f2Cr@IXcES6!|INW2(PPjH6T`)#u zYLNaJj$Oxf#R6xGFsn400 z+yG%EjN=p$dmMQ^ojCp`$^|2`1F+tK4l9?Cd&_A~F8`L6uW^0V(tw-(ag z+xDT$=8`w~-)(73cgdcMDD7nde=`-snn$daqyx|B@Gfw%^}3%^JnwJcRYm(|j){#; zJmO9lE?YLBr5+4kvZf&6Q~^jt57Qg>(Vq)CW0+n6%Wj7j_J+R?6e^`^wJu{JV_ z@L58X8EWKykfv*L1$P(_C-T@dsqCk*9f9KxSr)e?Lwv33!}P%CAFb6^VL+-TCL=o+ zeJz{!%Ep<^y*GUn4Zr(^CQbX5EszNXUDF(!-&-paiwH~cuRWVm{?vT;Ai4N_1e2;;_wY?}^y|NOH z7F_==6ZQ%}WMcGr3SM~H5OUSz$Yna_3bi-_eb`Q6Qp|a;PQka0=G*qOg~YLIwQ2jpna)G6yZ>Y+=?LRV4t7}X zL(nsxfwo>bA6x6}y3^G{*4aO~y-^J?n@2AHoj)lo?alX!Kv&J6fP=nvX~*^CPxAiT zp^|CYXFk7lI>rh$DIERd^CoXHI`*W?r_fn}@le>qz8qj-k~@X@U_+PQK4K7=v`b+9 z>&M)8&5heCajqev)C#}=;ckD9vL-`H^6QP;s4JOO)}P1_d3H9iW8v-#Pmze}_}9iP z_hbP=ol!Oa&*IZd`%m?J37Pu*vmY%5LL-g7?rp#S9p33~9t-1^os%8MjN8Ad--z?S zdIGo-m`VW5=>Do1-1z}70-q=!50*~60)l`%gPv@^iTW?TcYmk%J1-}nhcO!Kc0l)A z)fUpy*9bsXO>PG+h5szt*}H#H_(M84@=Lhy>HhU6opaje6jQ;+)A{W1c};;v5i@hL zz!Xj2%_8YG$Z$+YY|7pmOVQWad;4TSQIM<492g+p%D95~_+Z1@i?t`Nd~*DstlK}p z=)H}>02%Wst6rg`34PjewcVZYnJ{zTMjZaFx`DRc37Ze`b7hBqYrR$7d3PmH`}OJm zxz3o1_^Q||-5<|C>dG@&so595>H@WIE_-RXVT>=`gp*DE@5K3Vq$i7O zYF@^40;+It|Lz@-5FY_KkfSZkL{u@^P5iZETqVQxWLm4nsM~i@&K=Ka=Kbqs4z~M? zer~q^Yf7p z8`|s$(K1U7p;XNw$-0-Qgr+kXJ@%H$C2i3b!6`bbw* zU(qzK)>XP^Yh4Z+b!|sl`5-CBh?RI+tmPfA3aXQ`Q_1*N2znc8Xpb z{#Gkn5ZdOI9#RfkrrPerv*N0IQhw~v3QXn1BAqtln5m2L8~CxSF`!&8mAwzK?97*_ zwiZUq2`HH1uc|j=|4E?Fu%c-{az5r^z#l!L1BxW;lqNZo@DXUB#G5&7nlOCrF3MSn{$!LpM)uloRv+ zQ1wm0x$tb)wRi1y*S6bT+qP}n?OofpZQHhO+jhU_;6M1^lT0QnGs%^iBr_|y)~YkT z&#;BWENuSh>olLBZvT(7nGS~TOtaF8uLfNQfcSc{uF*6!;=ua`AVbj21fsB+<%6*~ zMsb^(@x%_OI{SvKNq|lVm(50RU=|#1K8+7-2nJvm?=gzQNJz^5=Z!zGuhLK#7w z3tWw?q?D^moiO&A1ydb?KqZfk2<9KiCJ`PP-h4a7i?r}M&}Mejq=8v_r}1+|&~vb` zr(Vg6k1|C~XE~Y|2;-UUsO4oJUd#&vQmzs-Lbeix82|l>rwkB z7KmNfNO1y_CvaLy2Vgi}YH)dARJ#aU=i@xE<~k>fs9%JjYPNR0 zFQ69TaT`^WWshXZF*&E@?T`tQp+S?%mc|%i`IigmJj|I=IFw(|9fReDTSZ$Vtxr)k zP$`4`|N6x+7%O-xEpp%V7XYYSCE9W15Tirxy3@Ttv-@w$%{po3`ucScXeqd{Ut z+oiJO4jX~8#6@|geR>RHF9sLVIP)a`ogSga%A~#waTl#(=Ab2uM))%U&|zVm(`S+~ z?xgb}GGNt^4V?Ddp7L0cg;Ttum3uQTn_GX401AbW99iqR*o-~91uWa6C%PBv&{Fj>HONsKws-KqOo<~q_@d7*wRpVby zWBaMnpilex(uLlzKDlqc=S=^MEL3AoyOSrwj;8?zt&)?qeBWR)9yf8af_QB)w>)W@ zI9yrLFUbEnxs?bAb!rij60t?80e|c_hm%+JT8_;$8dfXUJZ4Ifk)aU7NC89p!~{|e zv_w*(2`G>kFyxHk^tQ-`LK1v8(td1z^zws1)NJVtIE#-@G-sRpv|%kugld_CcZ$1T zJk`!*O4QmcyjD;0l3qSsJ^^;czhc%JslXPycDp|>*PE@MI~zU3XZK$ZfT%4_&VQ^P zdpGu`2Z8%vJ@-N=`$KP2Z#DPl;nDkV{X$~!ayQ%TC^4?W9Ru~{hDLS$uT4wH{-# z49Q}Bwv0UWH%a0eoJ$8+?i6XlyXoEd2uqSkRX9I;KVUE2)$U9Nk&Lf zKv;Xh(mxK)GA!PtPPk>*=-r(rUfSF(xl_|dhjj6r8q8*5Za)oso_!&A3;`8F475%e z!~hhWG!N`qXNroSzZ32(r$19nT0b4`jLo8{&+!<>rrS{3B(P49Elo>Q5w=SCdjm`m ztV%HpUcVV`jWvS+K=XZk{K`6r%?$BG)!-vjr|PmfB;`Cb2L*jOb$vm-!;~gNE)HwZ ztv%3P{^P4921VCQBqGC;6iJ@B&lJN!E43yc1NA3(&+&TDe}Hz}oJ}{ZSjaxux<&Xw z2C;^P$AAj{l%3u`T2bAVtYEz-dr)eh3d>O#`S3rbiX`}Bz>hC@>mb?sg=%R|@f@Mh z%0vWd7aCE+a5##O@;nz*!~`oc=dvcVWjgBLdqKKw2pvT-0HWOfB%zPyuUsSC^XC9)kUZzrDBB8?*$7M^%Nz32a-+(KW;`y0tA&^{F6uSNV2aZd7|1!gw9qVYAd9n z2pA;oCrP7&ChM1$IGg_5mpvyopa62S&~|{_3nY1RM!MfN5kSJHgjQhv5@P8pwJJm6 zrMYZh#|es+*Fg+_sn{2ID&m-6}Dr;}xW`Yva z01)W_zhXDUBW%ml$b+5{(tpw=rlaAeWJ zqZt*JcRkosbKB;>BU9}uS=Jd+T~HAN$zeA5k~GpLZpYsj><2gVx<93-?pQqu0%XXi zcwJ9k0ojPx2fRYuW@)*-%tI1F-s;}#h_l#+#qQ&XS|ak?rePRx4u3tp?XTvUPt584 z#N6jlUhD^k6|NP@cUvd%336?)zg-`p0cy|%zwg{_wI8zYnvJG=F6xAm7 zoQmeM4O0qv%O}hjQV;&~GzWn_GK^zhR(D^vci;b->$Q&C(SmmZ$Z2~GE=A^bzmSTk zLNfi`UvJHoPBWN;I&HHlGvCxNGkEsxY3?2{N5gfnWcr0D96YP;p65C%4~5Njt;^SHy2`rDjA>UC{h z%6qDx`RNvUJ@9S`Si88gcFJ|*yo0tHcZyXz?DOQ>d_T=@$!@mhx^K3$eq39h>Arf} z?EX(grLmXu`FB00Zg7klexuQDjp&Yj8S~u9`eqf^8bi0$<_4fTsCrpFwA#Ys z(}UfTH`t=bxn{aspB=2U{8l|(4{OI&_zK(7*jL{QrPWuz;Fi_$JS#5{KZ_N%4tq6}pi-CI24!pk7Tc0^6Uao8AB074NZDDRn_N~Wm5fuyi z>i3ZCHLK6|Y{c%Rb=b0NeEs+G)XLVfNV>UH0eH~>G+q~Td{H)AK>@NinoYfKPM2y5 z-QPg(-^`OyhGLwZTL^;_ta&cjd0h4!koq zrh{_x>jT{Jh`NF|O3P`ba-cu1XDuEOR&OWxtdanhlZ=4aK|ZI~H6njvS0p=nm!U5O z$jx;CzWNUD{h{Sr(IGe9e{0`3{6%vbAhMRiWzBWoblsU^bNc?G8&o}RsPvcD{RGW3 zBzox*WD-`i{7Lcqxns$(P+N*YQUxMkTcu5bP67J(AX%PHb(>;!D@u`d22Rl+h?NfA%`mRE~5Z8dDc_W_94=wNWGls2p#qbG?aX8DMrcW zM4V&KF!`D!%;Jf$=!eQsv%*)zO)mP0R_uK5-FmuhJKH2?y8Nuj_1+aKYYH{^DSlS) zLS>;gg%}ONe;MhkhIRQ_rRn}m9FK}4u7%pL^=@U72kT{2j)hrhSjFDsjS9Zbh2DUl zb*2uiHHZ#qI>GZ6gCr*M&s3&joZ~Eh3NzT2sD?nCW0*jN3Z6Bl3f3)(Rh=%Fim^3_ zioPw1-K406zY~obm38^r?GM(ZeO=Gr zP6gitMN~YU8&l)S&)1D>&PvN=Wtzmmr+uh=FKF zn|;ytiT_}`|6uO_V1oZ(r2pXX|6&=AcC2SR^C2RLyrG4;c<{RC3n z1=fl_$L`mrkhze+rLN4a=d+Gjk}`sE{RpciEI?B!#&MP?fwYp9QI;^+TPVxf$`Fw0 zR1|gOOUZ;u-va<0SADK4M+;!7^+UsubgMqf&U-L9{)vP&OU!jm5oUYD5tZ$+i-;lZ zvGhj&CEq%Y2UsM%_PO2Xah2yNr(uv3$YyNG1t*T#`c z8Lk#o8<(b$OVzKS7x5e%N2a;)ODV3FndOlOXfqNMxO5BuX>_E}9x6iC8p+T#-g&MZ zS;4nnyq^v9<0L8LFNH(e8Kd`9FqYWlANl*xI3x^mNM+G5^dpnAN;6H;6vJJu`q2ET zbB>Ty!ZikjPqIl{$WY1Oli9EqV{VnOo*xXbXiZZhhBjp2j&e(7fz|arI#l$>Gwi}CpY6Mz932I5wZ+zQgej0#|c9@3#qbLiK!Z!5di{ z=6ih~`ktmrzT!bUjTSEkzbcDlAY~Z&n0wp|JKWt3Z>!@OpDleh%VgV)q#{zJh_*r?Z9uESg>DdX;-{sn5ThAbPBkrf3k5CpkQ_tHBee~LPs=~tIy{2Eeb=^-{E+Mw&SO4&K)S_db8+IcnzpcrdhQdLBlv zdOvb^5$)R-ccVFTZU5-$ISER~aP!*|_hzj{?O-WJj9WMH>LI!I%et-c3qUY-Euu8~ z&kyS(_4$;jHTxa{b(QL-H*pT-u1hOE-mhPeY#~v|uA_@N#?yW~OcUqY;oED?{D-ah z9-k^^HLcu%noePJ;K%)D4Lpw_&~Le5pVcJfy%(&VKYToD57RI04z2BgT?g4Jxg$N8LPX-V6%J0t$u0=N(J4s5*)F*G1^QnirTK(CBvC<)6`-!l z!Meu3VNlHyM-Dwy!Jn0$s*S3hUYeV&nVyuZo`j4qZ+(=mmY|uGl9q*ShiI3Vnv+`K zvp~EwsPvjO`@V<5X@E^W8)}vH$m8^|uS6CCWG6}n0kL%w2tzCo0yq|LeZKfl!Gw| zFimF1;W)AcW$$WkZ%^+x#UQ&1iq1V!cBG!EREA5uWueGlXA!OD1JC(>QSQo)32R_C zFxB7fQgcD#gTzJkyFb9Yqn>%31F)19;;x9j;HP{MJPACd?qI$BsCK-6`*||g0AU8B zndI*RU-4g|Q(w`1$*8fozwux19KM9Vgie6N)xwcoR0n!i4X?IT>n~)l$Fbhg(3W~Y zDdcp)ukMfQJs=kHnyC-(rRAS~FJyH?pD%*uvHv$-(*)Qjk9jiei`N_#&eh$X5pSQ7 zL!LN+u%rWKm0A-}z^*mCO}Y0lK;0Bk#h(5r=!Mx@?S!5ns22~IjSi>=7YhXaVBitB z!W3>r$}?W=sj`NJF^)ctH;Hr#Lv_lkTd7gwAD_u zA`~`fs|=hr@1c+5oGzj~d$E@XGy>&L4cn>8g1GcIxf+(jF@Cl56_zL{cC?VKHCO4DT9QZe4BCflSP=FF{J&eSgzd~Y5m$>66rX=DQCuq>z?V8>z9IsR*~pQPVS`{M zaoxi=$utBp3v31%CS9U)LG2<9O#n9%B17SiWEX<@p)6uw9v|r1YdI>7VAn|_W^in9 z3ECwI5ivCRp6s?>V(es-%l$WVs0+sO;iRHppd7}Ej?(HvpY&~emou<83@K4HxK>%| zcp|e7!%UKTd1hf5Y zNvz@_XFpIV!Vx+dABe^~(gkY7p(G9Gp}P~3Tz7|eY&wkX%!FJZ<{NM6Z}LlpZep}; zd($FPJ?qv;lT6V#YFTDx$V}kWzDJ;B$W+hHPB}sin6u;0_$i2dHVKb^bhey_0HY^# zXr84KOMLGnnc2q5SECwEvIpWmD+=ZJr5aMOrENVzkCDRCb_sV)jS?Uh=NOPjn^>Ij z;8*YdXZELL!7dZM&5q5NUa}$&>}(lgYpc4@;>nR_+~m^Kty643Cg2bHb>1%Ur2s!|I z~!12_r){p?LXQP8dgDACvrhIED+BM$IeYP5U zv{G8)v$gg1-H(s?4jS#T1HvTnPNjLiX{G6KL09~1bK2;eY|LhTj+5T>>-3i29p?-- zBf_eVfIsY;ufnX5WUk_H`ht{_Vv4Z`;BH&Co-3793dMpqG^!|Xg?+n#$=O0$YD;Ed zFWHeUikh74SgQwRK+jS=aEPLU z|MYG5!|RBAdLutaGa8zP2R?!>wR%Ju;L(I8DclC4V%~s+S(^4z&3vld%{SZ_0U)ik z7&qRx>(}i2L`oQ8L@7(_QOS-j!o<8R(6&~Xvrg2zeN6qYE!Y`eGDqCiy{Ya~5IuL* z%L7oClu@vQ!RfDE)CL6XPXR3Z<38t}W@o}s*)~vgs>A}}=j)74aYtQlaa)z zc`zF$S_?d}dn!Y9z#lWeGPjoW%9F&l2JlONOmuy2y)eI$L?3@#JrG#uUkcUPC<8%@ zvMt@^JmVuHN2_wss{?%*fNK{-iPcUJ`vt-PX>X%ZWO7f;2_6z~%oai;M`otb021*? z%tACXyw?#>IeD?#DBpo9l&h^8PNWsgaCXV`K)vt+*S9mKG(l~mUI?By0$PT33?iF)|_$J>s!ZT zMC431vgPZa?3DlofPsu0>o#;!6&t1A*{1F{ZcwZgOcDWn6{LY5+>e}e!`wJWGQmA8 zk!n;wLyAAb)U*p00+9@4@aw*VP-#hUFe>}s7(uP*eLyT4#Y#pBLj8;uwTp2h{2!vV z3OK_)|G}={;w8gQmp0O7pO@uuwFo+Owc=}7s=|yYMUV>;0Er&h5$a@i5$#Y#Yw>uL zY!iYfZBT8ypY5i1F@x}eeKwt5zr7wvfd|4pm;XIm(5%Fg}waL;>^NLu?x7?Ygk@nO}gatu4BT^1%R2UYUX)QRif7 z3>Q9?09e|Y?vfdhbqHoyD9Moht{hVnN>s&2}kxx>EYCNFAUY_a{?F5{jZhFm{=W`!%i5mO6Nca$kGb1 zfF59|b`0NoIBHh!A%&|2x}nF%VUGeA;ZB0}Pk_3zAcTvmHw*@dlhcvV5<@%5`(t*z zaU;nufFyy0&L2_u z^cn@sS&gz36@8CzxA-9m4Rq+w&;m^LB*!NyfS#2=8<`yCheYjbB(Zip0))9NXTjdD zE*SXiqt9oirX~(?iRt;5yCZEo;@9WNS$HB4mv+{TVKV!d8vAv%b&Vzkt=^6wmaYZi z8I+~YQ2}Zp2zcUx7(JAcn?KQ9|ETE>b)#C6V%#s92Rb?Xop5KCPq2rdQWkHp@OoMf zFt?Z@hb4S?f5bfo?y=>aZA6HGd1I?UDMFC|?yX$G3|U*L63x(Tq=B?u>EaV7MlRv; ziYe>K1`)V=^o0OAmc&_44jf@X+G(u+EM)K)ieJ2W3{`Ra};w{NrUn>7n6VE+%)el>WKV zRw+!(Gxs*J!&F&f{d|Ya+5A(2&eBC<3zk)6ontH3WDJ=n5fF1I?YorNG0;tze)~Ha z!#RaH_+5n4#n7XC=F6RVgos$Jf}*(wn`m^A8Po9R((tBfFyyJliR(Ct-%gDxz^5%7 z*j}{8Lpe$@kO-3~W_zTMJYa3jw~r!WfvMf1m3REWWc(zSmz_R&5j|hJXby%1Q{t=K z7$84hHt_g?J)T($VcluK%5}18QuNL@@Pj#ndaxE88kx3wMdH8Houf&5(A5awYa&$7 z&@bE#1YO_YSWB;5%#+)!h|pmMD4htv&8G(rV-tu|A?rW=(IwaZ;a!yOm30yuOq+jL zWuSQiH~O}|Q#-7Yx@G~hy#rU)PNap-z&?rl^Ac+Mld?BOqN1t-RPp8?v|`=q!{<#V zZM+$6PigQA63W2$qTb5;qW`-`>q!0tZk;D2eE+&6*6Qr+Y|M2+kLzy&cu;4n%5i;6 zTzx3dPXh{wo6L8H9kb<)>EFog?IGJtmzk3RhsJi`2G6X#F#T!Cvd0ir&Yn`Bi}}j~ z?*FYRJO2xw#qPgZ5pui&E-Rh~qp?D`U=i4NsI*wuMkCTNfAl1x<4o~k-0j;%;oGIz zZ~aLDCGV8inNZw%XoYJ8=(NeEpsChZ-a1Z~H`ud&ulo9`AzQeXLY~>mOW0lgEqUtm zmPNI=Kyg*bqT;VdmmR7x{X>^SlFHxF2$5E37g z^_+jO`UaMj4-{1bNMVm2(=&S&2h73oT?;<=ovVQrT%=NwZ_Rfq69n_X+|g|&?_1@K8s;|DWbx=_QS8_}4yq4Ro~!dG|zo^}hVCjtvI zGmB(gKXY4TuwUw~H8asOc$rtcSudd%i|3G%2X)4AjVi0!O}5M{(}Mv1=$wGRMZ zf4(K?%bE(>;*m4zGb1S3%Fx&=JPWoy@uM(h zcrl@0O@ncEY2IJE=*`=}t+XnUd&^n|+i^e^2`|c_b0nNtdM1GdhqOdT3Xxf+4%RYJ+JCMFD+x3kR}Q`SZ94asACAw zC^Q6J9SSS2if=ITw8jpwQUz4XdTFciF;wx7z*LnF(T^(pThiQn4_q^^(Tr#Vt(Ue|G0u z7VBKccRoeWqx9e%o z^!*6YPN=Ju=4li)e`3yNKFw|@!Y;xADq2MJrpOJ?xfDlQ3t-z?mU9WD?8Q^0^2Kp_ zrif%|d^_c11i&cvB9ZR0dqQxG-qDyA8}luzy@dv#tPjkvM1z zsZ_!UfmZpJ8D1YuMXuHogb9<-ZU7?<{BD7Oq^M6{UF zArfahGAQ80#U)^j0k8gFSx!S}`afe(5QNy;Ce6)H=H;l1#eQCTDNC@Kc#C`%zAn4F z1daIwrL+?{NEKbA`+q7u!8Ky3=c=tzHDm8sWl2@rq1u~*P7OV$>C2mMUOsUefdG6GpK}90NIi0j3GoX~){`YN@&eCkg+E0_WDN&R{=J55);{e1;{^ zmBP`_+vIA6N+n#RR{}&-Wo0#4MIcGyCiFs7Ma3?~ zXl90=^F@#VswEv2evtb-@F#oYzC5rdrOi`e8+)#yp7X5%S&ky3N6~=3C1%C4$k>tk zbiyT^b%YbDI;)BtUAejlEq5~}K*e0fiY(?o8zL^e;hmwh#^_go^TIi;$q4&KeQ^U+ zSvteg>wi}u^)>*nH8Ez6Zd)I#dqe6YB@B|E+2f>+$BOfEqgZKEoGpxN30Ld)5hvr_ zU>I17sDFO=2uF2f=|69T5r$ajazsIRT$Rqs70k!CFdjR`hf1$68HUT>Pq(Wh^|6GS zIgk8Yj*=n(B5piDtdFuYU(rr-ny6gvjecn}jIjSz-t&BAds@eGwaBymrIOF%^TTw(MUvd{@LVI@ z(X24CMWx#xb;UxdH&=@#?mx!Gje>&3>L;E1LU1If0J<7b)uq6}jR&mB>k&WhI=C=3 zSO{wWbBq2*+jZR;UM(e~v&(O-^}`L%bj88#cT|MgNPdY79< z#sku&jopXr5a~elPsXL}sAPGu&wN33wrOE^DQ?;HjC!_QCG>?Og;or)gMs@sxR(qK z&Yb)Bak(1+-*VOjdJEZ{D0kWrXyo}?6^--nj=kY2!f#=jc!9Mlp4}AgoiQZ0$ckQM z5p5w$%gdMK5p$w6*(K*P=MwI;!viQvqp6dm41Q9FfMlnMUrKr7P9L&4ddFj_`;969 z;v^*q=-}vzdfdcqUK&z%`H%}}GfCf%aw@~RF^L5*6qTB_+@>aQ%TG!Aon1{!``9|b z9%L$70ztM4`Yz$oUBiT#a&6i=)Yy#*e~X{2!TzCX{Y~a}oE0>;0qHEsm75(IB+oS) zi^J2qG?Tm*AYJ$jcau-fv+TUT?XXPgYP$GJX%MTh2fiyylv>-TGy!%)ObSNL87SL1 zavBE^1M@FhWPr&7%h0>hJ$!u;j!1}T^HDhVHR~~#;%fG+Xvt1aQ<;NGPn0`}pP#il z^T5b=_U`S6VdiJ0ywbX+g?XBgN<~bDKl6Pw&6al;No3ty*@A0@V9ssUKGzLAY3dUv z-|MLE&qI}mQaCz+R;x-vqjX*cf?jdpZ+`|Jc+_uG8Jx+?&8|3_v+9q3FDVL@PA?I$9uj}pX|GUk{9rGJ7=u3}6nbSC(isk=o2G(--_g{Evn+Mw+wxEAdTJEr6C}75C^Iuy zaT+5mF3+}B`hNx~Hb!p-KtE1YbucBhi15S1OnMV7ZQG|%Pn?SVoz$ii*&$gCrFKn~ zf9~jWzLpc3@{!ptcFBDwI;&$zu+9Mb&I3FjPBqZ0^xBE2YD>s&222PYrzPtML&K-WlcBm+jj)sd*p_ofbXVs=XnM> zHp6~B(zY^v={hMahWnR!#@vAuj#=finSfQ?sg2Snl$DX&ib&KLH;FUUeqVsUnqf;B zb!M>7a9gRwj)1DjrxBRACc~7+r}zmu*s#$;yRLr$cMgrt{dR--PIc;Jp6qQxQnw=k zsRELe=xy0H$(XTTUCE{vL!FY{mR?;ebu^VPWwRq?Qm2&Pa*3o-Gm=W8|A#0?AQyvO zY+}rz-oF#MjLm)K=Q-y0Kw|Ht&9|J__~lA# zjr;P8SRib93S$ex=u6v03IlV=qL+O6pB@sYF13aVn_qUYE zAh(sPluD|NxBeW64%pMeuA-6u*L8tOZw}t8hC`h^w?C7>A`#_|0vk^LSX@?hdK9mb z%A|OFZQFMm>VG>;5*R#bd%S>4~yk|AZ##h~O1cnxJ0h z_u%#{$WR(5pTPi+(Z;_9H_TU`rm>N6RvyOF1zC z@%1hFw(UzL)gBE75_-?C!S@`i&#YOh zpfx8VN*K1HPRQ#WmO?l9?&LaLa>ZD$Ga4!T^=C>u<`Ocd)9(Iak7F2(Khyi82G(N< zwA?F<>$y&YCbk%V>sgO4rGlia&pRoJg~%f|2GpjPgi3|XL5<=x)I5rC>_e#@x>cr- z6XXD|D7q4S@kR-DD2N&1X}LH9%fAUIk^~oC9L2-8YcR@vneJo*WO1#|g!DlJvt$Fh z_pL$@OIT79RvLmI27l>BuE%wAj+T7L;5o<7jIh1J1kX>hfv+EroRD1&(1aY_e&v6a0iOZLpi|=EJQZ7=x zUSs_NWn>=EEVAV&BRmsyZ4at8&Vy;{Ez|@-34z&tyLUAh0LX-0Axr96eBNL~SWyA6 zrMHp$Z}wLj8*3&#LHiU-i%s!_z>m?Bp>F~sO_eqWkA8k5)k=yXl{ECaIAq^ISMZ!zDt>fxN{vMhPsR7xPKwmJN2(rUt`1n*=trQ z{Yfd^@y;|%s--(EMK!PWL#r!q(CGja`d~IiaYdMrQV(mGk6P^=&?lOJmF-`b zZ2)8k3gSZx4;#bGE7F)(kHbM@?T&_D%o--SKBe}n-!%U$X;Gi!Ea20UztQ-!TnA@9 zcQf~(sd3brlMXC()!_jBmMILu4N#v)CcvTZsAx+~nvDo+K#2w&2dh)xVtQl|cNYZq z;VZT7kXhz?e;rvAHrxoZO>Y2IA$50P7S2$P7B2HQbry|jP5BJ&EJWrO(0^J-t5E@p zevC&eM&`kKSfrbEwUTh$7Irm)oRHWKBFE zBOU+5F)-f)cFi}>_iC906X#nnk^FnJrEVfl+0VfYodQR0HVWFssGI<{>^r|yJdB&6 z0}GK%Ub0!an~4Gx(t|V@BHw<0*l<(EXhD;<<97(rU6jZp;=ho!`nlW`UzU*o^ym3!Tok)kGDSM%GOn&X0|M@9JMff#BE6zLTkCft* zbp3|LZ^OH0h3rVY!p_KV(^rRfI)E~fz zv{#h3-02$X=J_QHgNiW_l7l|;wgoLS#o9TRgk@GGRAxp*C}PsVV9TV+;xc}e2C-T* z4%jny%?i%yC#V2=rGwX7g_Mr43u&I^A=ji(qWUpoD-%jdnnASQJ4M0mqS>;GU zI?ql>njs+^csi7&&pX@vYGlMg1qX3SQMvFpup@tzWNRRYdE`8aikG1}!s%|CjyNBWc*Hj{s3f`m51y*fO`tl)c)# z6Gi=*@ENsXjAfUevfpR!#wTVg#GHq;9LCezzvKrnq@^v)%0#KA;D0-qK|YXh0^>F( zs%>gqqx-a;_k~H|xFxeYGCL75>ra_T#}s!`Cj$Wzr6oJ=Z5oL<>pfiqm@U8@!*#sJ z^#X@bCwaQQPr2w3>hCU!3DXPGM~mz`RdF zf5ENz@z`M9?dXT5T&2Kv{)Xeo8=EK2kw=wR=KW`t6?VX|?cVC!^`ZJyn$}Ef=7*EA z9?S_CH8WqURJi7q-=E;Hu-JlRiv}~qJIS!Rs`lWJ$0_j(e;f?Vs8_%jEf!>MI{Te$ z7K2QE&SQTM??&~vwN@bfHF9w9P5{l&uIl^NAUGEhcw{6U2G~m?Il+1AQmH#&-%AH? z(D8kr48So^cC^z@6INXve82pQ{kFzJW~v4J;(sI)Fz+9%`7<2<=lYD<;WY+++qY?zco89eAkom?g#fq>#CxV;=2t3K03{0Om472`VFt*qkWI^BHNj6< zVtslo#kKxIb;SuEW@y5Z;hua)LU29Hu8q_Z6El_2Fne}+IKHqOsVuv;$j`3Nd!)_ z!hX*|h+NjkiVZsWp)t^AF^h*S-#&fQAiCKw8lBPs?F@a0Zr~R`A>r z@nHg9A>1Sr*J6l-?*}g}fq)=?9iVjys_V8PMwI_;}dj>4n4CHv*mcf;v_d#se)9e&*B3PeV zRb|%76za0FW-*=UxUu9@ZrNk`Aj4!S@OBj6@86T$Mc;qcl~>{|DjMzUF__}a`cK*Q zx9vK-)7&=Zy$MT{?_Kf&GOVrN2LD%-tCHigDRcVYv~pvAW!$XUpX)gQx6aT41!Aj3 zZJn00w~mtR=d%u*Q1c6EG`QI`5{H9?@H3RGtBxef2#N8ukt06d8oQuA%F=gw<3VRm zN*UYjLIRhI|1fXVA1Im9ZBB3iW&p@!!U>OKbl407Aw>=DsFzK{FXa4|yrDR_cM{64 zrmSwR+hGF+Om8oh>B9>Em^2&#vn$I17F*?n($VE)1lJqLmjsM~|AdgDXce(mss{YY zHyLi}eT^ocy>5ggW<8qr&`u-Y8RWpma4j~N0`psK`5@(^|W!4hx?b+7^dcm~UMz9pi{tIymf03t> zIhwo9Vn;K8(EJMsg$Rxl5KnCsq81Y@E<*{ccHh#I#1^YcHTsQdhT@A?5mRtO5-ysh z52mfEWy@Jw`LO$PwnM8x?qs){GM2sP@@njN0h1E`@3G_R&`CpIPQ88Yef4*4E#Q>c zKk=Fk*9$ou{gTcv%F(0S80YnXQ(7#5z*QgIKh0d3`AtvA7(PMS z%>YG80f&OJf?}i7yHdEZVaa*E(`*~`RTKryj(J5qkQctrD2meA=Q}>ABLE) zN@*%IWl~+SL6#^IdGpr4avoEfLlwC4|H`_`ptzP`y^Fg$EbdNlCundF7Tn!k7IzEo z?oMzEAq4jT!C`TC3lezT-1q0ccdGhSP1iR)UB_z9O!fD<6je6T#P@;=d<38)8?tXO z9c4~UOY~Y}*v=QQf$%ukGKoV+CcjIC-7PJkRWbYg<1k`$W9(O_CWg+X*e3@j?#qR$ zMU6fWNKW=)UZZeb-1WA~r7qMlY8tu$4?HEZP++`uXNS>S4zn={s$_K!&^kT`OYSC%OH;gn>em-=BzZtxTca8g)b9AS) zPq5UbrsjJ|e8sIPd_tsXy$!`(5xqns4+=X}By}l7O3r@~Y*DM)FvdsJ=wqLGDL%@( z1K$l%PWU7dk zSb4l=X)Q?d?UIFs)>E<)+ejTkkzX~l55bHZE!--acP_cODvIKEm0)K|JnrxNvNXF4B* zXE8w&PhO>)c=j6bJJ3D^9*`4)!uhuSBvb0rcSf0GfMYVm<>m?HPDIVZrYg{)C`MT^ zvy4Co2DR1N3e_|pax??VeJEI__XLYAH>;}_Aqj0x^Ehe-eW*j1JXqdRy+4p<+m&%i ztqVoa;-B@T4W;aI45mY-DB^_lalU}#*|yNMIGphEGv0 z2zK9$Sv&2HiroSoO8|{!566Msg7@Xb4cAFgO9HDwaR2^7jX&?mHeU{b;53co5l8QE zC=wuy%&q|rOdd}AwvRc+ny!Y5ca`xQ18J62+p`bfD|MMuET@DuYNZZZ_KqiZH(Pyv z{m|5@GrZ%%7tN$dwpF|(CGL?ZxoxAZrY7)?Cer~$TIb?M8CIYh@V-Fu#Qgzg^-wP7 zVMDQchi)A2f+uzzD8-0=W-_`8vkqh5RjZQhzby-Qnc{vwH> z%)|;=gJ;Bx*C<1MO-gtJWFkXPDUNHx&M&9v>#jaAzu#B zo;?Maw0>^lSVfeqynd_U+c*z!Rx#iHxRYN7O+bOQfME;v4$g`6H~+<1<9-U#=k3a- z*Sv+zy%F;)OCU81{n}VoRR%)+*b5&>O_!$tJ<@?r@$t~gbeCKc+3;LdUzkO=x_R=^-BM_MTB#FC@T9OxKSrY!2--H#VYod%~L) zl%9W<{NAQ1w2o1Xs{OV}@bKn~M9K-yXi7FG#r80tyH3c}Z z<8Q6CY#L5=V_b9?{9WbS!bPix>92)R1sF+mJ`pas?>SG{sjrnYa{A=%I1w)6CEQP> z>Av8kE0r6xv956;V$*@*w5Y}uLnT@{@DBX1DHbf6A3aeAcB8K6Q57jp8}!~ghB`22 zs6wzM@KOzIpB1=vZAI~UNb|>jHok4zbRvFn<3_7sVywTOUHVhWqr_Rk`{Ceo7Uw%N zxr3_Gb(Gv@pg9$Nwfm98zIhWxj!7%}=b4^Tp^9fr(Ci=%J(4xGz{O zRnQ+DKu!F({Dfa_PA$*Ze%!3rou#nKhbZH*di3rsNd7dJmNa0I%S1wiedL4lWyO#r zD>QFjl&L&uiyXDZCa6J~i&SNF^9E<+Q>QM@IK9p%UpJFg3jb17X!T}2Fs}{s^nBA| z-5lulCxW^7b>n6)rg}>+mqADu>TRhoL8{#KAsjZ!pvC-4VYWtPHb>OB<@+n&BA^D0 z1f@`a8_78d0tgkm-#tBA?&fP}-z+gPRQK9ivR!~kTSygviW`vt2*nui=>zzNB;eZN z8!MBIAL?m%-baRK9u+6e{&0!)+o?WT&}8}23KTB+%!Qma`n73 z#;#udri&HE*V4;!K3w9}t-UCSnPQ*M#qjfIMd$R#U$Re`{5gF}E@h5Pb_Xk}+}FI) z(R5Cwk+h+~`ftA$bcDwJY~JZakQ{OIM?V?Hty1A&^PEMy?v{}~(Hj?V^2x>^Zk)Gk z*hnu;a(o>#%{Gq*3s&(@+Ql0ZXTc}sFosKeiKL95DJ+XWbWaOOkMt(pa}J}bHJP=+ zlHb~z!gd6IaAPKxTEqVG@RLK9`#mH=wdHMi;}g@@d^r|QAMoXT?yDvci-?JS{*S?N zF@_00{eY8-nRTzSbD=prIMOlKnLLMeE)P4V6JU*_%>+~dy&}~~Q)Cu5!Khgepv-d@ z@&poA^OPvF!?KYdc}%`5=s&e5D8Bu~Wiz7hPlTnc3c4jd;@?$rQ5c{Bkh^0QPAFID z;V05C^;2(YU-^Jx%f9x-@uKm{7h?(cDrLwWVTLk3>&<6kE;_4%ed1W@kGVBBNwhPf zqS7ge2EdKVfdOj$X2EOkYl53wS>)`s1)x?Upuho#%`XpP#wB{B_lSkkz@Sf%Z@y|@ zL~R8P6Nv*E)1cx^HW6CM1@><*>KrNWebRGn7ZSLvKDaFJ;f`I2S5!ElZB8Jz!U_kV z7njZz9xG#Jj<;xo-Rpx@c3qw{-xCse_tj$8hUPPj5U1tc_8Op)<#N53Rk0!F@M821$pb|S`iP>aPZ7k!VXc^71;s79#O&O0GRFk@?pU>ZPZne9w{Vi3V zbbTG1E{L^1;m04!xd&oM$iHO$&i5Q7lP(haupj6lz@%Gk&F|`coHQXDlnUargn_=W z_JjVFNnSq(&OJ>d%KO|!z$%MYyUl~@R{#9 zdm%^-nrLa^j)!G(xxm3$w*9jl{RSx8dARaz$`s{!fQiYjdr4?u7&qq;39{fl8$5m` zyWJ{R?|pjw?By?`xt8W1BbGD}v|T9t<9o`2k#$@>nCwa1232y`eU-#=<3UrwbRg|^ zE9Xb@h5XjT+(bSAXO9VnD6zqwgdCvxwKKcAMrus-v zOe{JYcp|3C5Ptz`AEBgXMkHB?>R^|gp7&0@n;m8>hx-rNT;R1fC*_Urqv)W>9((Ed z#!E;?dpkc!F0j?3cIr=6Q3E|2dgV~Tr~)B}wsQqO!gNv!9rcj}WO~^qnB4ZzyNcOj zHowKIdPEb##oxxaIYt!gO%Kl9ofutA>N2ziL&UQ=3|4>16bOr6=(7&h9K?F9hhNA~ z0>goxHz_t!arz{m9#t<^?MdGs)*s%$`l4KJPF^vQsj zq4iZQ9=a*-&n^e}-YpF^k?yF{P(w0sVSM1NDZPf^aAaHfj1-UPNO?ohh{kF?PU4(V zVNY8h?YL$&GWUy`OY%Zdc9;NWKseAL^+o?J7~Y7^NgwYmkEdgC4<#9IPX24v0liLcsz9EE=7wl2SD4JD$%F zRx=x44Av0l{iTFw-o( zIR~f+n3xr4QOX5;x=af{Vw}jYQx6QA{ykA(ifvyzFL;c#I1Kj<7mf$a4Pz$_j)q)P zvCHki@CYr_f44Ce>3>xmho45nNxx^qu$J-bVQ`5XLwMY8_ke*phI1D07IG6Whk08^ zYI;fROAZsj5(+6cHysHd%kbVsB-W7wHkIew>Ai8~z-WH!x_}1O<>eYh&p&A!#h$h+ zcA*iTv~nal7}^&+KoNnz`1PQ}ei>#wj;|LCU<0Y{Tw|O+?91RHF7|*SNdRic$uz~J zu7w&+7gL19ak($jG;JT9mEmoLTL%*9>q?HqqR9exC^^4vWtbWyNJ3H<%BJ^j;K!$1 zwu4=u{I@rD3EMy_-M6KkM$l5y-;2QSgjt4G_Xps#pzl+$LYl!giMl7SZkF1H1CmciR|=3q-H<^X}91+tRAF8G~fcJSG|RyY(26-M75e zE1-sJnL+F7l8W`39(BCp1E{&Kl z+C4{x<&JX#*(@CjLR8hgn+ZW;a(}h930j@ghGo4K`vQM zFc%H#A8l_3Q8t>%gUa_Ji%3<|*L9S`NzXpO+9a4SVyz5hLERJPeJQgiVXe3Ymmat& zJ(wZR+2PN^yWa-QJepw!lEP6w%q3gmcNm)ib(JkjHA zz6931lg&jzO&+H{=&4RISJxh4RDz4ga$GI#{u#d(G|cko40YIje*{62KIFrJ{jR~` z4b@hD{08~PU$~3N={>fp)ELCA@NsPC=s7T(u3She$ctR^@X@YTiYI&HCNmozvuA;v zSKlR2o9-4f*1}P13P0({LUf*Syny!hp8+ce7}s|Sio5hB%oFhG2Cific7#X*^8CA< z87D#`X($pg;=!RW?p4-42e`=`sRZEb)0B+e=>u$m;JHSG(gb`<1?-1gkgXJ!GDp1< z{FxQFNr@1(cYpR=q(_*GNzZyLcrCN&eec*IoKykHtwe)BZoxJe-{|AiN);p)%>)5i zuna4#I*|l;DwXAld%|+Ex|Jjjp*0vQelLI2M$BXg-MC_7RkU9WwaNH#wF|spWX0lV z5e%D=BYb@UBa^tk7u8HbsKvyG=5d~nX)Hd2cM_;U^W#Oh>9hq`tOXpdi^WV~EW^9? z((G|=m(fSpo2FYgv{3_s2hHurE|puEl+pG8PSW`^^wQpO`~glY8E0g|l|zkbxcIP( zA>`La%N(STdcD}wp1l82odX`?02$kv7d$3ZSt;Fq+EyMyZ1*%G$!w#R$4^g3OCwuZ z8f&eXWoG^qa;(O9&xFvU!0GNYShXd77GXJRk~B3$wYXRknKB(aha%E^#NAqg3r3~!Y>53M%1m%)v_wZ@}2cfk=pg$%KG)& z2+AUE!1P8?-?8U>z!R9t^-Dl64Bpx;|8^R0!ovx9=t;aLh2l_=8J-~V_Z99L&7^sp z;Q*zdJzZUq;vb!Kgfr3Y&twTc1h=X0LiuAiq$i-|g>S|RCrB!IgBtZ1LcJ-YX&fyi z{7%&V2+ZB97-@GTP`1ovkzB9NCX=lqO~hF;uCHN^v7Ti5O6t7|xAFfe_R znX_y+%Xxpcm_<`^nI%C-j2cthK2~Zy^Zq^$KZn6L<+^hT^AHo}h!>Sbk-4;9alWHQ zN60b94N~n4;Ip+z!MM5dTcsomkMqoC#W`%_Aem0P~vs$EMF5L>t|$*nAiHW z!cZ{H?S$i5U+D*?;wbl0hYdKMM<%aJH%MKo%qb=K^SATO@Y=8`ReL#o?+ipV=x}#)mPZ zu4+ryH_7Gq<-1Tn7z3JtyfL#s1k)!oEn@9yTorUtG>jUrj6O)-lf&EQuV z0`{-51U*fKDjGAQ13~=Y!uvj=rrtd@zRxM*Jxh8o?2&WoXyg(}_%7L7YY+SN@59o|8 zi*;}l;SGpa9G}PA!rnhqY@-5iRb8!%4B_Q%k84yIT}>6dk$ zU@9fD6%B&~)Nh>f5Z9YFIuPLYA%S5d>Wo( zHOb4Na_;9&Tt_f)T>;DV3mb}CZ0*D*juGOMx$0&dNKxO&d$wXk)2%<-|F_q1(brC5 zu*wEFlJDq@Fuz0ZcBu)+m2r60eRK_2E$~5M-X$L5d44z8wP2(np44>|v#d+~qXfNN zcZG6a(qve3@KXk!guusN)B1ZE`h?hhXxz+2C1`hB0@7d{^Dh=ia}oW5RLP?Y}*dz^x^UG zZ1iI*#<{8&dT}oCeeywdm&bTos43Z;MGRBjeWv7T7FUSdKe_O#euqSgvXqmYP}`W(9>Ou69!v@)-M?QHN>~RE!UHxA zkiwGRnm=G;;z)n34g0>bmNYrMMSufNpsj9iV#(-ksQjKN!KN$7P&C-8}m&}AAq0SuYVgcy(CX}o| zXmIgFKTMn#IxG81k4y5+THn#I$WKXnTZsZb9D}Z*?qa)YT)iUYc-!wlAC?ix!r@N` zG~~Typn_6u?z3ys3FVY4XEfoIZhTUyWs2fX8wstDoM8vsWuTedT>8N*quM{-#7RJeK)NAvDFT!`;OfsFJIl;T$rW$Ur&+)gB@~| zf0)R)(#^?@+KWQY%Qn6_jE4)JFx*2nZEs~1Jw61Kl|*a3tEa5eoWtA>;jz0V%8nz# zL|gaV>zum^OdOU3>`NFE-O>D8r zqM3M~)R_&{p+549TyC5#^{F8iG~nxFdth|*Bq*|_eZ&c*A>0d*6I%eFDsZ^#J>-7}cJKAkby=%aA+nC?)ck_{IE)=~o zo7cV)arMjgeX*H)wvc(lhEBIC5{U7T%K`)bjr;E zLn}^2;uh+NSIcATD1y?9^Q11+UWVlhLCD_UVMeJZ6-k3Dl`pr~_798}6g=W+37sT! zG;Ab_(7UC!TyFsLY#kcVM4vMTS_!2eii|qJj*o26-*D0-tq`79;_@3Guh!7QLf$H`CY(80jch=^Q)L2V&IJcfgr=Et}y2h z@*Fodtu6ZaWQHE%&>G8s+?C2M29CQe3>c`KrI_@zYH9T|hBK?OSB-uAV>n|() zW!0$`J`@0O2?GF7CR0e0fDPYh=~-=D9UbCQY#o=`al(7=wMZxwp(+tOROB88jg}eR z-B2+VvS`hcpxfQ;Ea(`Tw|b{MblEWbPI*rad?ZyC6Kz4z65n$g*%!B4*N{nd3JL<)uyb^nOX0$55>Sli7?xN&3HMWt}2c`!Llze8;J{LC9ZJKKh z&hI0}?5iNk8GJpdD+x0xIy3t4&Q|l*ATEHd6t-S#Pl+j{Ck;-+Bxx3FL$f$|pR3r~p}k7fy1w*x1D6hI%2vOc+pMqSlOQ(d_X4&Xmd@{3gebX=lf#)LA*Q1j zV=@=1g(CZ15*!Jg@o9UO*ZeEOcNOOi!xr@K$0-cus4InM3Ocp+mR^LHUjECI-Q-v_ z2!wuQ`Bqjk`djeE14{8-BTxAl2|WYsg7tqd3H6`1_FjD*$P%tA#T`1aJ{ z00004z&|}yhq#N?-&*l+M*3#|9Kbhg4QfnIEMp=6e+mkJ5&xcAgT%!CiJSaThW;1$ zFL5^j@SYt2Ao~ySRq8KLvK*7_Z=P?&Q~_^*p*Q<4P$9Xm9K_-3?ciqhe^dR3L2CXj zc{PSNA&38s{%@-A+JB?3m;al_KO8)ak^lhto00q{4Sc=7K!ysmf391yKm{?BZ~mK$ zIJq<*o%#O`_@8(5KLZjJ{OvScf%`WO GENtimestamp - Tue Dec 21 14:13:49 UTC 2021 + Tue Dec 28 14:26:14 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.v index 15e3b3d5..d076dbbd 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:48 2021 +// Date : Tue Dec 28 14:26:14 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.vhdl index f56f51fc..26723853 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:48 2021 +-- Date : Tue Dec 28 14:26:14 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.v index 07e00a71..38e40c67 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:48 2021 +// Date : Tue Dec 28 14:26:14 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.vhdl index caa94730..71db8f57 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:48 2021 +-- Date : Tue Dec 28 14:26:14 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/keyboard/ip/keyboard_Ps2Interface_0_0/keyboard_Ps2Interface_0_0_stub.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..91a3f836b360f565e9dad7eb02b2f826dd0c7f15 GIT binary patch literal 25317 zcmagFQ>-vduq?W4+qP}nwr$(CZQI|nZQHhOult|3yZ6I6lT;=%nYZbry1S|sq=7+D z0000W0Ep9bl$QaKfHwgF031L80KosdH8FOe^RTr^UDk~+Vn6}gzj{$;(YetZ2f)f? zyc7`-CxZfWG<&V8Y_?LjfJH(7`w3dwh__*LvFE(=^x`0m!K)2bPPRVtOt4BH5 zJ&{ScG){?!RL;vOO5#Nnb0UHGZR);Xr9|@1Gk@&hankDpi}-hDCfqcxA13dBY>!)O zYoHO~RIY!SeZo=J77XS)#CGFtec#r7pY}I`=U7G7=SlYrd>$sq;~l-_Icf0N%iA*; zd59@kU9B!Nvq@8SrOB<1vyfR4$vl_e77TK?!olxQ>{j=C?aWI5Sazcmmzee14GCN! zG?=yAhpO|SrN!-eRaB7V}zu9v*IsA}+cz(Oq=dyV54WRHpzF+y0o)8xQzhL+e zxc_~>wW+6(y`htdzKNx?i@vR?t-iUboj!v;1D&af86X7y+rEa4hZ-Y?s1U(_%b3}S z@&D|Mre+M3L78H{H!MR0MA;r0dpuHowPd4!Qu5VZF1*~AiA9iI>`l)uQ& zt8QJVKuo+3Y?8F&KrPCWGyRB5@BK9gUr(ZQPP*~`*il$8DR&@%S}%1}%R}-t*F?~H zu(|REg3sHZ`wtHMBM`4ed|_RhbUPC9UMLgF^o=Iz0jo-ueJ0gRUBO!h3v%U4`!F{x zOsq^rBvZHHjK@}mA>3X3F!%W}r0EaPbxb}VECc)ekGS21VHs=77EOiFuG5R-w~w`8 z3VEnB*Y;B#Iqc`$yyCuH0=u*OO7kIRqqX4hVjEoavt8kqB`(FD&e*~?b`4e#T4TP; z#qHkIa)S?WU%=$UBh)I$A3MU%{3(R}Z#JzQ6!tidRkiEw!8BoOA?*=!wL#5qsg|1W zm|*2_43R13BF-SWsh>|)Vf-VNQJTJoToq*M4|uj%@wekxGn12_SWBtJ?Fec$tWG*? z!(QRK8Mg~{m)Ny!JND(MGVs;*2@xLv`QK1)Q2nHFdb=&94rFkR1l+_|GVbh(cu(s{ z;k#)MV&|%@N+U`5T%=hVgARQUnIClQ{d|?Wb04#Jo-$sX^wlEprS>bVm3$uGa-&74 zx%t5q*a*{XTs|=c1-LxW5g{Re$ks`!&0Zf*6-;6!7zptK6Oj)BcwGE{wDuZP<_v0W zn*J5uP8mi9R9mypo(N3?;rjA>J!j@7Hm;eaq@iS4VG>3F9B|R2in>9*V?@!IO47o@ zv$CH}iHFA@!SO{q=_)8rESCTM6~MXIt);}om}Kj}ZKeiGXa5sHGbbE8qnyskqtyB} zw3H9$-%QyGRA=UY`57gN4Ln!fsUK5)!yI1U8ulc+iF;)08nfo?E~n8Cn^|G~10k)r zcUmFvkIDM@LZz?$IzC95>S1U;~%^&-BaFKB9 zBQs8^8I{A}q_EQ0y(Wp|@Ssv&7Rwvu4|B)z6V5n)3-s2G>49`b)ykFd=zQMN z^sSG(i%HutGH%tyfxeS`np8$3YNo@eL6#PqK=QQyytQDI=&4F!4e9? zNY?{fm}EAELuHUw)IXGx9|f7U+v`D%+b!!a!`70^S3U=FDM7iZQpdU*J~Ra;z^HiM z{0!k0iuH-*R-MHup){znABH`OO?qGCEx4g{R%|i144afHHQLQXtm5I0m70&~SFF&r z*O|as)OQAFJdlLVm~Tr>uujf-s=)OeN)8t3cze=7c>z|d%T_~@oM1UxZd5!{z}kIb zwuBD1wff$Ob>m3K(Z;JhUs00{BHpcjRaafmvM{oIAGE-z_WPZL*;Tb>OHSqfL z`Hqt4EDvLDOybbuTI96jtZqf9*?P1ehBW_W4SQCqYwb_|lAsW$79&JS{AhVqkE*1ZGPh@mO(~Q- z7=nSwCZp*X#^}%ipARj1ck$Sn=S0M_1SVW7i)2rtBv`j~EwjcPI*bFzs_K{tnvuMpz6Tf~z2{W?QkA-4f zr5$Mu?d~eQm?nXsq8}bB06S!)_Xp)IrOU~!9GZWlvqR7 zFH{~v;!k~v-@u{!OK}sabgjSXvR||UNcindR<_~wusa&d(-yK2yLApex}8usmS+{N z%e!y9$H!+~^n~h>Z@c@(zW9YJoR7q#T5UsQGQ{eLjP^m!-isvZsAG*+Fa2wTT6pYY zLvMf3qkpugT!PJqj|-~k=_O9_rt38{mFAR{Sd6WGGuY?=--Z$t@C_zkc6Z%n?L1l`Y+cmo>viVN3z&NDxCKEBA0k$1rz5oQ-pFf9=txUg7GlSS;4?0}Iqf>KyS zl}yo!vm2UK=AHvw5^s3{WvK)?#2~lAwD%`2@$>Ewz^kiFIMsfX)3VAbA*~Z4S z`K-jKz-IcRZrh@Pc1G3uoXVGDakCz+>blTcc`~0%w{8bnko*U z_$O6^U<9^5Bc03gB4V|0YDt1Hgwsz`$EBsG z;WcBl>Ou=QOGLplOe$7)Zo_l>?YCZ$hM48A1ZdYMVdxO`8Au_N4N@%zIGRMrW$z*N zuD!hx(N)>?5FcW7RM_p#Z%tALM21B3R%l>GdV`16w{7U%te^97h#wv&X>m}&g(9k>oZfhyH-84bqtBEnPi9T&^C#%2D@i@ zfQ_O)@G+ychYsugp9Y{m3YV2peoKJkCiq}l-JxPY!aS)$!sFw}wVeKSv8;QMS_XfS zr$<-fmfI#X{Cpn)Hw=xkBuSl)U>Y8@nDkaQG7k$^g00SDAt6&BjlATOuT4+^k0&Kf zI=YK^d;_;wXEoWQO@V}yblMcjM1jDDXha{QgCr%6UmYTl0$sc*wVmXf1y=*ujv~iD zhVk8+&zBpUbE<-isF14C12$q`{yw)8BSSJ_WOGG5!I}(U3m2;Bq)>xaNb15HC0O{o zd(k@PS%ut*^-TnNb8^4^BKI(Bs5o=7bB7Xqlmk78ubUp15nq0uZ2sh$gNW~sA&G7Z z0i9D^96~d8?JSQ+8OZA-?K?CQMYgCDZ=u0w0z zQ-22E>xWKnX>eE!&UNME4pildtzMwbuxQj)JN1o9CtJfK*=G$A=qf* zqnPHX>W-Bf{rZ|I1$MMgJFdXD!S^%6q!_9BnY0mRmTQt9`7Y&_w4e;~>Wi-Ec5 z#zj)C`jM}3uW7?kkEYk=v(Fw(f2i9GD-{YK1>5NQrNpd2uxP<#t4L^hn_esmnjweG` z-?-i#nVXmX){g)I(XTX=+rBde^0sKUFCCncq7j@MQj2_*mEabOw~`@(TB-M_dC0LC z5gK}-1F%hDJiCUvZ}TZo(`R9uT>yG9f3c=Nj_##X?(a9j9%|1rqHRKUfH3UycVLYr z`}gimyg|{O3VKO*^K}huL*)jHcZ4x89kZ)Hk$>h>JmtRCXODR2|Exg{omQbPBqhS> z%yh)bF!Z5sNi&Ho8)&lq!IGqYHo3cg;?@-6x&P>uhJ6+)IwQZCbYYJ&7PLYYGc6Rl)*X+J<&qo1F`N<}N{&e#s7#a>GP#sl^mr921Hy&S#+0&tIflnp2VVVa*^*R$&rb~hKu%_BGOwO5Wq8WXo1?2-n|fZGfjo|Y<(8#qD6ca}*MQ+U_4?{2p2Z?w?nEvk)7NS&qlyuC zw&@09fZmcgeK&HRtwN3^~;9$rB}`vz3kfuccVfw015<^%Odw)s`kn`&Q;Pv=xTE$tt?N z0r8IXO8>T)LKg$Wh|mY*S!Gz`xi-giIx-FKfDnF6c+itxsFhcDOR%p1QVxY|hfF~p z_~TTNP4VW;rqwFd5N^b*i8#Vre5>|-G2(Rv#5FlZ#VD6<;bwS)+@2 z=9jQ_1@@xK54l=!jZ}_^vqslk`%MuVZOhwlY^E)*cgI=i#l}=T+`!KF+WaS$j3R+m z-4I#KpHJy>46-juJAeP7pWqu%-i#3^Qs~CiCI*N%)chiETTLhzU6dS!h@}>60(85! z336J@$r(KJ`OG@d=sej>Zr@FV@&K$n|0&JlGx3VlY6v*^>(C9-hTQ)dL!9%j79&=aR7FgQgtdMXO+zTQ3p3)Sd@in+QBhM@?S{=l8+(E zK$OKBqHYkcWJ0fo5c})PRiH+A*Z|KFnlm!)rJ+O|U?E4#Z3pL^&#f+H@fWy(iYL(q z5?NpZk^!9x=EIqNtO0u=G4(C1k#bCIg=Pf=W-~{Jocm{_4ww4!MpJwFivi=3+$Ick zXJ?M3ZE>}Xi>1_M2rG;Dn}kH{LK-^iB@P6!%-eBUw%5l+&^ws!tKshH z@G3QU1WASFe8mGiF6agwq4YsNYTnHpy$ z_VgVh5b5S_Z8E~oZ59gHu}AXc(8at;mAAlCU7(@yDx1F1JNHm{rlR^-G+;D_PFRbV zGnKNa$0=)XTO=)|`*sBPD6R3w`kv0R~f|pAmzoPxX-oF9o6xW+-w|{Eo)KI8M zmj%J@ceNT44lp()?kSQ^r~FA{Z9~NSVaE_T+u^*R36q22<{&Nd9dj>o!(#h*s5$Jc z9V}(-!{go9Sc3c;S+2%B>mtdDgv?iGbeJsym_I46TAW(hVMO-s1`K;1oQvlUJj$f$ zGJ%BL|2AEr@mMaKHn?$F(ILrWLoN}WX;EwtVnw}-^%2Br>Z z&V{%<;3oXypA_!KyZq#HypvYIFFuO96stMV^J~EN4}a}XG`*EGv$zmwFZ(1ll~Ie;Nmhw?WodY z9J~qFkR2i|?@0IYpE+GAo@4NG{jnMtJm7%3iL-^t@o&{+)g1+XiR}v@XdJ@MAGPT9 z=nxK~cxcnLG4Q3`ClEyx*s-DKQ%|t>8{w5h{p;w}qi*IZ#cqH}Fn;3~Wy&^qhh=L- zCu6c?636osZ31i1S>%;`WM3xNL3QK5+ks^?72{^njkAI~iAkWmDFas@W8(k|GIhKLAcni`YswUQ`J7!NGblK32tn{*&+OSi>L zy0~gkgR5NIK5`m8qlt^pr_*(#9#c6oRgp&ue+%!9wbu4cy52XW0CzrgIA@;HpqHAc zF?fz8bGdcRKtcZC+0LOlCaI=E<>#V4Sc(@c3B&$oIbKRkuivU#vcZ`6HJX`VxkCt~ zY>)T#iF36qjO7<9Zjot1CVaoEFnJ+b<#ZLZ5=jODBPHhP8n z@=xzKE+5RxG>P2~2E|-i`8tHQbXOemHAw|^S(e^v+F^jug~0CXYZ@4%op+$ur2Zrx z-x_nQ_GxX_a7VIxg9@Rk#}@|$!J8J!0(@8j{$nw-C)b5V`sDUy^KYn3j2 zLYU-hHmedRf)J%h*v^WfoD}X>iLpgciqDPuL&wIUMOJo8YiG9xs(N%@lKt97+gJ{w z{<3fP-M1(Vw>2KPSATO0?p_D8bz=63L|}2X5jE_y=ooNDL6kp7d3vM@bzsPlviDj< zB*eh8N9U?}?Iq#fJ|&%dIa9Da@KRGa`*J)3 zg8;z~wTVI4`ivZc%|S#XA&dsFENUkZ7_utXOp7o;0f*s2>e9_=^=q#qToLN=g|3%- z00zad7*0-5jSSQK<*Mmp+42-dBnOePP+u8naErH05e5^&XOX=*r5Bxe$m{${10&zp z?3daCUn9kN**hi4S2aY_b6G8oTZt@{Y%biTiG(hNQ)#m+%-0zrBQ>ERMxVq}`_M{v%i^U7>Fb&-bOByrtFpF)k~ z%Z%>!wIyEMdxg(Z8=XYM=P1g_*RX%G;rgDwrpfsAVBn+%1b8QAASDzI_Vd}&>2k}r zQ7t##;H4)~o^tzt*#^DT`Ts~^h6rGOwpvS;8lM+ijsz|LD&d;^+-7i&NE8A$v^YMq zLt2OAOuG|?Zr5Ytn*(9d8O#T%Xf$sCV^ZAHnIc~V#)g2!&Nb~82i$$Iy**C#;@aBk zmQ5BiBqcDamGWzo^*2j(57^2Z<~HtoM zv?LS6DKLA=aR65yr_azhVm%Jmah2`x?nKcbH;ePDB zONYbU($|`|258`a@!%KyLMNpxt9NeO+#-MyaF>SF+_$;}>iN5nJ=CdC#^_D7KYRHp zfmgiaWre>l)5d%Pip29b3CxVSz+W=#s(pt39eoB5R~U`4-8>EW1ZsskTlNlZ)~u)W z_lq?gL~NX*+WKfAP{r`;r5bxF_nY)k`XWsG-?ZsZahbY3A6&{js2#T{-~|V#fcEmn ztCp+^v-0KlL?((BuYo82z(AXv^z*R2Nv2DUuArO6vy6#^scG<188PG9SIgPDN_j+e z>LJLblDaTRJopoLQ9#cbst-LiB&;3gI@Jp%%7AjU~!10^5|a^sZ~VDbUocln%5r=v`ixoDAqv3YfQ` z3vbuojZCnfe>Y`Z3|r*j%mAi1ZkUraK_#+7c})~yOw(~#AtM%KS)W5Te{1#ycXQr zH_du~lCr2*5}TN&&De=hDE_dY)1hTDFtO`*kl1gco>_VB{$gNMi;#>&xb8hNR=m2} zHeJ_Er>ti4aLyhWsT_Ql_-v_;xK{y{A$sv*vK84(c*q6RG83|%L=C(tYTDN@R92Ev zDBad3nc}2wzY~3&C_Tb*e@)3%t>N%vAE>PTaFtv2p#=Wdnp5gquL35tudZzO94?9F z0_mn5+S_QRGB%7<(Qdphip*4|8Za_9k06udsJAm%fs$wXo?RGC)5)byybyl;#;@WNp(M_TT84-whY*)R^onEDBnZa-ZssJ!CDH!Z4hB-|z2@*(9&6pcR(lFh zF)3a|3GEK%H5~N>32%pu6#^-D)ZYoUTwm!4ihZ0>*fZ7;)3<{PKFv?8$N;ku#)-v2 zoyahZ7Hf*j)gNp`gW=cJLV{z)H@@E;LNk{QGW6C^;l945i|5IiM{J$LG4-?E*)g~` z`T1SOruI}(A(|cX>|~xH)S}bc{A^zZDnU2ymCs#gnfkPm-`_GSCfi_n)k7+MEr`vY$5Kh1(stW1X+36$m`Si^CUr@! zOYJZwL_s3{MoN$aRhkj!{js+S1?$ZYP+j;_?pVPHKIG-57}BA4T?0^TVh+7GLtmM9 z)Wvr%x{1k9zDrXBs0TNVTT|lSAt6X$@ulAcHUtbt?LhH^&tpbRCJeiy^ryDMn5ZS* zBe=yDEtwjrsNcEXY-v9Mn?JuV8k}2s{bg)EU_cKTJi_N1ONK>L;9iGWO7l`-AM9y@ zL9XfJfGdk;R~`&ecG5z^3wfu?Nqsr)UEz1*Othvn#9)XRw20|qguqUrJV$*BGZD2n z%AxpyfflW@ilz`4Bc>RkQ1k0w<9D-2P$f|bRre_Y1T7_FFK9j%XCYNnFP7z>TQt3$ za?@PUXs^g!O0(E@c&x0f!+$&#A-X9pQh{erKwo3&MCsCV_*srHb7ZdVfoN}BV$!Wdwfv?1S2f^Yee z_29y&(KC}{Bqkf`E{PtSQ;NC|i{s+Uaj0>H@Rd(`CGgm{Vjy>rf|DU_pe*4Xwr0xW zDwM`8<&Y}9(Yb^5CzRSLr+%tQk!OGrN>r(QkV`GS{0$fhDnDy02_@!C2OpuV0=Rli z$}XrUhU}3wkX7sN&}k5|9H7WfskH^mO}(***!VXZNaxVXj#Qx3nZ2SEwzLH>C|$T0 zcG@|z0Y3xBvk-=Hue^n&eBSKupWEorLD{Y%+tg_|Fo&~2WKm?^yBo^7?U8Ye7hlob ziIiM=p@sad@%j3h4Wt8UhW-+{R_(ypT(TEAkXD-P!GAaL?CrZOpBIO?KCF3mhb(jG zR1Mt{`J!tK)Q*4pr#x z@-gHA%k{5`1QtV5Y#Qq;!iZ%wG5XLwi6ZwnOa3wK@nR@KT-Q;8;x{D77ub@R)tRQH zhxgqE19luM(k8>g+;S04bKA`yR5j8$YJ zkbu$&fnFVf8bc&!y;u!Evwh87{z@PELrUt|O*E<|)N2VSyYuXnUOCYaQ}Jo(qniP6 z2*XMk@%%V?D&#P!H)8#LJ^ubB#fP=2=9wNazbKS+FVL%oliq}c%>DKAU{Gi^HR3NZ zY{9k%glxd!_9f#D99h=XKoC!NzZjDQmfBPM-EkJq>S4!1PYAlUsqB)#*OksYiCzw8 z#X(NcIb;+P30T(tV-$wUS&|0iXRYj?Bl7>$(G|y7ioSLAgm!@S}W@cwnqL8DVl$oBWk)oNHo@;89 zqLG>%r;%1=U{PmKU;s8E7zB$|^uSoONPzxdvc3!xaYovIj*da3|Cg-qWMbH(ab<@s ziZZHLnqa9kJg;O`Y2hCL!hgN_>tk7zrQh{%?Q{0k%X>Dxp;B2?f(Er%mu9W^ZZ$5#XkEyPDi$^t zHZA@4%jtEWl5J7vdV#PqW!>>{2A zTBU!4XRVYk`Hid9K&w-GoiW!j7M%Ez0$+)p#Yt^$?py|~GHtl`B14JejNp*ZMa1I1 zbpm#Q!}50JU7}dmtgYz*b_bjmJH(zK;@s#R7lIF5E8ESDZ_ZUa8*R2oEikzeolwSzb+&5Cw-4p8IT(LRta|{` zmFz-L>IAK9#g@CKq-#C3r=d->*3!E8(vrIzkGy#)P#$3YBv`hPsm9nD)rPM6z``O? zT5{1IrS^#S`}rv#>FF3eiHgozVM(D*%`rN;6HCw(ie%pfWnPboV^$J-{o`JAzK zN>pRnUDpa6v*6{tug`XpI%t+t{}Bq=m0>NOYzwqO`|ZKE&eFJq4d)l4#6~w0lpMF8 z2*hR;!(%3%H`>UW3uiHPH0nSOM<$C27cx|`;X3mqJae3QtvsN!beV@Xqj6?Ewp|ZG zzEck$j)_?Q*v)w+6`l1Y6FYGm+9yI(WjiB94yUAOLD{nJFn|U&v=C}({Zwl=#4T}Ne zL%|j<@GCRguzXrX{5)Cd=a+1e(xCZ4nvMFVK-Ino=*v(Y^54sfb%_Us@GarWT2bXI z)coBHW->O^U+`>z0R5EB*Cb%MtiATuY6{iCJ)OOZVH^Gj%L`f*pQt|WxhsPM3eV8j zYecp@xH(?i69DKkscYiF=2Xy!PvnC`jj=& z(#%N%c#{%70}!3-etCBGO|T*&CSypR)7hVe>46_GCewawTttOp2!m4_<(XRi^|3=} zldqP1Gu(Bhe7;-aOke|Pe;%_ z`7$sl=$HJ#{9HL-I-jb1ZoBcy4QxkkcwaR15Yt^1-)t)ecdkqCy-HA=6)Oa|)ZaVc zb`Zt~DPX4{a^Tz@1&Y*I&(dYBFo{)I7289Pxh8IAVT(_|8e#T{YY^MmKd3(B%UtJW zONL1m-g|q28h*hygOWD_1`_FCI%>i#9LmzvzGy!4LPg7A2DU}Zl=uBU1}X(h=3)gb zpV4i`y9ewpwMniXw+T(q&V|47x$yuIf`c7G=)%5?Q{Ar8hbH|q}}@sl$} z1kO7js<{}L9@C8%Odgg~c%r%r$UJ7uv^*0`jJxu*CdIs@s~OZtPERH!f78=V_XSrX zt9hS;C0kkIu0UHM5Tpj2z1T1K|Kyj(l7FU^|M~N>5dZ-Gvugccd|J*Hh7P8+>e>$2 z>X@sEwijzs)VR1Cvs^5qI$A)@k)--X0JOR{bu@^QSGRRY+zM!L=NZBEoB zC#w#*aPZSEVSxvf32@T@v@p++7s8lf;Cckp0PsBXy)H~c(BEe{y0ynlFz~M$Tz_vr ze;@Q`-{y0dhOB7bAD(W1TK?62zNPE8yjm{oU0ppz8#{M;=Wt$cD6zRa)!skS*!dF>C8HDBXV~YO7nffr3&Pb?LTv8 zcI9^g{^9;1Co(%rzDt%lEt`HTT8f0DN^!f7^mcAvTPP4yE+E%%bZ+ckRKuE+F6yOR z;K(#P_0@huY_(;*d7+YJ5HtpGWk4;~oMk7c1Otn$DUMPt0Fr6gMx1B>8gvQ7bf_Dk z#(*fz$%ew*V3ujAg~U|BDi*8F>R4iUDrI46L6ib)kErkF3C0_aRRAs|x|PNW;!O-K z0Y(y#V}+`CP%^L-6F8xkY}V&>h1qGCG;0d1%tcVj=)!u`<|^E*OS%u2)|ecF z0uI!8K(T;vy|EynoN16OFW}rc9Fza4vow$QTslz%-p}jxs_nt0?`e=J;l>s4N(eBa z+zV$I4_;}QE#pFoltYizoF2e;fdx=qS)uGjULd`c=NOE^1KA&Lsy|PzU6xSPu(w7_ zN?R2xVkn^P+?OaYJ5Dgv;P#2Q=mub8;kbwjpdQxsVbr^x)i&69yEOe<8gxNMwkjUn z`jB?*mw8!X-WaiuEK_c-zAq5lQ&7gaBp;d_1M3-%wPDXVIVQ~DGohyt(@jDunfQ;) z3PvA}dhPVZUyR^xzO;vrnVb zL%xX0Nz*?vBMWCsp}Kgxyt64#_W*kho%YKwZd+EL?ed`W#ZQur z=uLc^6F4mzGZpPDWD7@_T$#D_G%c7%bltVxvgf9QW>PwQiYY_4}uAgeRToC z!|gueRGG8PLnHyW8RhuId4AXX?at4M`e0n{6NkRApCS50{H{_NEL|uD*oEofY;8(*(qcM&9E0wA8j_0_V!IaQ~@E z4}ga(+bNzm#w7TxIb(s5IR}=)?l=w)vTahfkC(05jA_UZfMr{`u3Dv{JeRmof#Rnu zUXbV*A=fR*43SA=&A{C`LHQo!=*O;<)p|?5BV_kSGXmqA1=v`6)*hP~SVK6h(1jPo zWZfXp5UoQBf)3OHK${-y9}o(FdlT-%f&GV9VFgFjcL-^S`N@7_!1!L~`wD=m=)s3n zLV+=LVTI8PeAK0MbZyIb3~8x`qQS8dZ^25PfeR`hBBA$+?-GF%QoUxVcu|PA2W64| zQY3nbP4T<_(vw2#i?HDIg)@jd+Q_m4M<3n9ToP@>5f-1k`Vbxf zm|lS*WR0` zbjWPsConO;n;I0K$a+ceNFEFOYfB@Y}=S~LlT>gB>if|cP_fr?T5w23l+0{>=4Bs z!;fm&zLP6!Safv{uwKjtyK$v=G&wPOzx%YrKzro0>*l%U8|RyLu|ov;{ugsUM{Znj zr66s8&qsHDx&`Xz_z*s6ih0gY_`v~t4v&c6=z?2EAzLr@AM6Dq$ac3MPd;}f0x@H) z8VqPqB&Y|43<-+w9@f`BuxX;;yPA;0aQOigeQ`khA0{Y|t2f2|^k16eKjMOBnj{JWE9CoWXd`X{%;j)vB(=Ct z6NL*wwN;;lR90LQQ_P@LP$)IDXDqc8w}jNn_@-}s2k-{!-`}EjMvww9PK#t8(-i`5 zTPY&V!n6d5)dEt|qz?;(X=G08N&)i5MU+SrHm$7UcOJR}=rsmD{Rwk@)jMUWfjhcl zZ^x&8`P#>C|DQ@$$y*i=I%^gw zZ4VrDFIfs{@#>_5$yy!>J9O^TO%4ijxpdOGWGz#NTrCwuwfS_?$z-jiF+sO~{pPwl zw~9p+kq#S{ib%>!+CI@^c30C>N>Tk*vZtcaNdEx;C(F}oWKaA3$L?eQ;s3$%o^~!4 z(D!C$h=v9R`vwVleB|o_n})S43FMH&RRTGg={hJnndSMpTA3;N8YxKmNt!xH`Po_6 z`FUCB_6YU`>3QizzKg`mL&|SCb07Q2T!vWWbK%xGPrS~L2g+n&0QO>JU|`#)!2rYv z_SPT`5skF{*{QHav5ABj5@Ug|fd8xF94-@V^aKI`5Fz}3Rh;f7W;>cUc3TWE-+ko` zB9SRCY_+z4Urj*R^=Esyxuv3sM!JY3g$Ee*B#+}u;G&d$ZTWq@ec$I7*;T4L_bR%b z{eb@4IOR^e6sI5tDS<)E{WXhuVtz# zQFXeHygUk_AJyw(xf&nzSL(PQ|JM6<*Zl`N*=x0Yz8Ao6`1HE70de5LCqa}vP8rTA zQvib88N=BKL*=2@K*PXn{_P5VfHq>A(;$@f;Q_M!0|0|`?w8Z?$m_RiAd-Jn$uDHj zsqFVt)l%(7ZNCy`e3-SPQ(9QVSvvPI1LuUoCj_Su&o}QiqBtc2LtJISf|!l5Gi(Jl z7hGZGi4KA49QS2rK?bK=H)bkqw>_Lvm$T99qA0-3$6k(}8Ng)37YcDJ1nkG0j5N*2 z&Kwmr0|%!zhzU3=pbH_K7D8s)mOY+5Q0yDR*W!TE{=gwdEK^)ni0-! ztuC6W+rZR^q=wDat>Jkp>G}2G`&om`+$Ms?7rp<;|n);cf=`fYJBRc ziqFB?SW(^`*6r6eaxN*Zuydg0w27M;3)Hu#ip!K{?F9A6K`k6zyok9Iqu4fLX6clT z8&F3-BIw5yAI!&zWwyn*n*=;)ik-ZhO(Gcd1u#hIXHoiC zHZ~iud507LVEsSf{9lu54?DnhQu59J|Lg-Bqbc+MnSEelHD;iU=Bo?k)EeRj<#tbi zZtUQFq|k0np&+ZE$`pmN6j%m2aU63Uq{xlWwSUqEl%V= z`&9z`#29=oA2ZD>6~@5yw*7CYp!etrd}fXAcmY;NR(NxioescTP1Ik-gAHA6$FTP= zL1aRJU-eD@DRr5)c4&rydI37G=L8Jb4qfnB(;pG3JK!wtOyhuZ;1mxR3!h-?KE?a2 z)#exxD!I|YhaS<MvOXD&Fu1{R*k2T;IY=%q%$g8MMt&C0qqsus>H7nlr^ zDScl@0TLt^p&ZFGdCIq2*3bD(KIo_Ml; z*m|boipGrNN&}xhndm(GG4}d;O!^g&`)~FBg?DyLi7j>^KcXXBwy=BTKD?E_OuLk@ z=r0~0QT{8GOtZ;8#kzSJP0-&QOMd`9rrvra`|h$y&QAafV=@{_OF+9(iMs+Hy*v~) zn+9ZiD^Uit@LDI~#;A08K`|U>#|@kb58C^|{?*imo5(Ff&L92xO_9S5V8EBWb2}=z zRLB)ZM`!)um;#_-NKNUEN-jh18-m)QM~3HUtk*Y`8f!UNy?Nk?i^_ZL$L2^f8GK(R z`=9z`T(?-ENKEL5GPlbQ&o~p|c^3s<#FRBm+iOV+D@F~{HJ5C6sR;oczvF3A~R>j>zPgPm4~5=pBZ5Z#iHq$e6~ksF`Y;Y^2z@- zs*~-$k-F2Xho?J%2L&7K2Sz+OYRRZbS`1lsF(PA|3#{*>+&ADjNR5|ic>bX{9bi14 z@>`utG&xptAvK`~saqoM3MIE3tvlSG;b+Iwj3gy##6fRm73J@4#>9dF=o;J|NTI~CVQm2IsSl|9!Y(np?b}dIVfm(%0B77H^|OEiY?g6K5Nq!? z7VaMT4*t_Cwnhj;_mOYbNtFb3Qs+YK@^Bm zW_p+L65F^0`%!{_`&dp3nEB95dn&FeWh6Yy6kqJaXkqeFoPXkfasN3EM&4!16J|c~ zAH=ZIGoLX?&hNTKx^ne%zAa=Zn)5X~8b`PeT=%KM`Hq4 zLF0h$^|ac5ML!-Ve^PT7bz~qDF-&8qw<`v|7c0#aik{oJ^6$L&hAR50e8j{OwCvRn z@gV#a7fjvrte6E5a8dZJaNMZvCkt0msEQSR&1aUmhHwYE9DMT??V<<5DD!F%Fl=hL z=Nle=!*-xLhp#7{gSlIWG5$)JQ2ofC?R?o(oODwHr~w&-1CviBjFAhO!h!6`NVq!i zb;`oodI}aiweAygXV%zSs6qo!JNA|@UOzXFUW{yRZPJXKinO@f3&Ipk+`DMHClo(; zcuQmf6Wap!I?6B=P^%0I(q}pjH2zvc9=5R0Z{;uhtzY#*F;t8dmyKi%2I|> zE8kIz9Jl;;Ws}T7B+x;Bk9>0~<+9k?NI2*s#r{T6Xl3#@#58ebTN(@|mC=l(Y~RID zC^6}&Rq5wS>XRR%QvYBXmVNV{y8;6wAIR3q(Xf$X!50x&$q@6+&O0%{YQshYNxd>C zUN|Nn3fX%IA#SUm7nlVm2q$~CV@1& zQ7DZe%sSd8T3EKB{k-&%Nx-QMVf@6xK};;aYYNG2>z|e+}yw%TgJmw z-4iya$RVIyJ4xW9Dg>_wL6i$fY*2CZ6f`~123aM)s`veTYf1FsJRRx=wMA+$fmYiR zp@opaXjaN~ZLu%479Y-H4+ZbGJ$AjM`c6;|lZXx^j8M8q^k9CMW~7@6CE9mCz+oyV zS%yG-w3xKED`uE$-@=#`8H-9axx?8pH45^Nm4ty%q!8dZAt!d^0A4mWsvE%Q&ol1M zwl0?K?~GW*;@uxa_Q?Q)kJl4G%dx4te*})}7i2RwU4smZ8t011I#m>g>&t|a4~Iff z9dy2-9uPrzBYP?@jF!Jr+FG~&a2$QVOj@G5x>;tVyKL~y$$k^(iv>k%66c@H?-=)P zZRhV|OkWP$FBb3Oq0PdN8E-n1isTIYA^OS@0Mxh#yy28#55P1xEl1NGNB2U zA`Th4uvTsH=eJ#Z0>|w2I|fsZCL62MT|Krg{lH?~)Dkb3uYMjso!%PN?r$WRmBs&e zR;_<`fWIq*mWQ%tcJ7&E(i!&Rd#NDBqzSZ?cLl!KbC-fVq?<+Vt+dZcUG3emPiu|4 z|18gG^ya%(pQIpU7e1@k5d$d4{IVj!z|m;EZ!ECo=t=t29!+;!!Wxv@iD5A4-E}h_ zvKLM&2#pT;7B0^PXWWB(3eU?I)#CqbjBV?maFw_Pz8_Yxg${=jeM%5^!TkHiwesyw zOeE+#Ha!8N#D!*q4dxG=YXJr2>h3R~HG*N?G(8zQiBxRkzfs;0!qA1;G^6SKEyW;I ze*l;qI5k`B<3*V(2A-RTvxVXH>(f;;t^rOIpZ3tBwR+t9p`+XSm=KpKW zw$unPj6+(Ox}pfy7ccUku(yoJ?z<7dCTNuUTh>*6#TD)K_<=f_aFS|qjfx4id`izk z`rhsOo-DXwQ|)fjhm%~6E}-vA4L6PA z`=tdEh`8!^4fu9FwCfb?%k8AEMi!Hf-WQ_@cR8C3&n>cP7&Y0$uXP{Gg;EcRFdKcC z6JG11k{tI>#(MW+aIBThwLTQ z^5k;GR3JxmX|xeQUy%ic_gC(pwBR`Rbu{oD_fCOy(ee>PL5w3;)`;cKc>~8JA&jMP z!=as}2iLXjXNq^{7>oUr`XzZ~F>2YO1zq+cZE(!0QNO;72p49J9JS$M?uw01RGoNRWFFgcxa*r6#vDOTOt^!?)0-muidcYuzLEWFy6?LxT}^Rxk+7( zVvA<5Z4IREx}W3*I1+C}$QyYpmw{e3$dhF*Fy?Y|oE*srSE?(wUY6*cR+y=lVdH=} zlaqzGEv|tib+_jENhqDl8Oy;+COvvos|FU(HMQq>%k`rXSV-ugf%i5MCST0_+E(ZY zS*Z|O*C%hKD2q%?XK=M0mCM@GNiK=UC-k%z7haiZq zc}Hy47u#4ZjaW~Yz9Dp4@B$mkWSp$g zD6(zhh+j4mlJDfWKx_UCWiHjV_74~h_;VP<)RaWXOz-ztOM0}`*Ly(sRxu_|z-=MX zCO^AW%?E~zivF(glY>MO1yPv+tc)75oEsD1rbIsoYS)t$Gs(YQbQ|c_rO6js1yV-r zlOcg1vf)8Ks%td)q2`}L3X);w2%+J`iBtzRWzAr}Qrdz@E^S2mIe5C+0{-$?h1D=f z`~-0Z!J0|^$DhH_{~G7`V*+CZ4x87eunD*Elv=7GKbE-!J*vl zD&1&(H;NF2+;v!&H3$AEO$C>ryS0H{4+zbnb#udSe%#Y|U-px6sbRnC2=*!A_3YUj zd0C5l%!j)6gErlr)}kIAtq|oDYSdH5+PNxxw$9B4A7F7#kkKi4Kdw$Mem)zItdyWF z^U_N##0a@*?euHR4G>uqWrO;OcUd|3x<|lqba}x_4{$J3SFP94E+AeEbixVS{+)`L zIUFP5hlk=cr$RWgZ#;Zjf{I+z1{AGNV|0`V{&YBx0$iS6X}CR14TO(-lv;K@@)(rg zD{PzgdI`8(py<)U{$f)8g&ED<2bN<&+d0#hgaw|q;tkf)&%0u*@i?$lS&$-4X~`-p zb67AAFcX|^N2FO@*>}`t%+js))(pn0=x0A2MOK86=Isk@1Lz7|HnCBT{9-=~+#ky) z^{+`m(C(>;$x0*4+_t4jJiIO&f&X13CQT4cSTw2kc~u@64&<;CmC$3ha}r-`lw3HE zSnV$SG1$n`>!?5vG9+FCGgrkbk@tNzM>Y;n zq8alj74K+i%}u7b)oUcrXwjI8ya{{Q4wM~9=0=nfpD9gAor)CrY&!n*T)cYGBxLDJ zXHz8Xn>bO9sjdL6E7eKfJ)yiq^0W>QyEi=d8ul{ONFdw(XAWxON4Lh|T}vrXo9fPX zgp&!6pY)&|TKTMun_ZBv`-#QK4Kkdp?`l*S8ysW3U4l&n`iu2ILI=c5@kG~FxJHbP z2m`ghzWS2LC+k0m0TPkOQ`}V5Ja0n#bOn)Il4@9bD!M`qtSXo4%t~D6Kpg^^pk}@9huF1LSs{sD z$n}T}#w0=7vQS^zX|hFeyVC>kY~U{jDz~Gum@@@3M41ZGen$SNh!}d9Kjq7gn=v|p z@e@?iJj?c$jT@o1fF!~_9?zZH_ywpt#^m6uZd9{dS4UQ*%?fzUOy=(5;BwjUe4Fr) zR2uqIIZ#0sU6pjqgvM*OQ{%y2soNO~FhhLq`+1Fz94L9(q2muN zl0(QAIK|+cVP*(E2x$b~jwrIu1C(;qJFYO2sJHmNrMeN5`SI{lL~>(t zkx&}LjUFn3rXj5Ov&)s$xMrabj;lZ?S}NU^fnWo3C8(b^`Fa_BTwgW!s$80So1&kR z_3z;klO{xB4NoVpgwQ^A%K7BH$(9*hXsyiwS&kUESqWk!T#~TSoKjiX|(|y7Ae6Vjew2 zG%w`|sAFEV{WYCJaL-^PUq-pV^O8s5)<>ZYfE6zG=JQhl*H#t*Hu|R1X(I zggRF2Fn=h=>*|OVNT*{fOoGLdasAv|_1YlddYj6OjL)tEs;+qmQ;=6N_TZ@_?>#MU zdV;M;9wD1RM@5f7=%{-Fgib&v=xlXbf(Jt-WnjV7>K$)EL~ie4@W{kUl+}JLX%QcS z%}>^1s^10sT#p@nOa!!dWzkw-^CDBl@#py&)rlC;ey8UbDb2?3_NYO+-;6j6?#8%eMNbP|+dtCZ82 zcl;*%V|oZma9g+fSB&76`U_iP8n)*hprgKMAJ!GiGZtW$)#-Tp!sET@Tx!HGcZ2Y< zipRa-=c?6wO+dd{u0rDkR|Du_YbR$SSp?iC!-1Dm+_SdSw~9Qf#JFeK!isvsJC!zC z*EdnMCSwgLHQpCULj>Qdi2j0}ZK|@T*%3;e-s!ZSp^ZkyHRfzc_sc2Z(O>MG+i705 zib4P8t`+{H<+qAyIDMXp_tc}&&a z22isF;?D>n_f9mbr%SyB2Fw-E1FR^n1rfMgLwC)$a!Q^c)6i98H-Go$#OK6Qvei(0F$4%u!wMiH8TxoRnJqp|Iv43=w|Kry#8zm69EX~LR8G3 z%&BcWOUntS2JYb-I*Zg$)_|Q{w3^RNYQ*W_EmGZzM?{T6tC9k^d2uM4S`zaS4b5Vr z$X_RSM^xBb<=t$53>Myp&zeDm#h!q)`f8j}U*})+EP+MWnSh)2)zaBhZk&jiMN>|S zD&)FAw283=k&$U7#8J~oZ`WmSYgnI6%iQrLfY$a?S!P$dUGkrcct?S4)9-=xlGHOp zYVDEx43gE7=c{=>B4k>u=Bi?KALf&vNnjlt*DC%@|mK<0#%Pw?b^dIW!nENBgcfp}0D7=G(37 zvs^NW!dZx@x)3i0*zthj(=e|r;0eib*7`-VZOVpwtcj^9RVU<#uqi|DfI*?QlC)tz zIL2%yaEs$Tw0+`k%XnOwH>g0D-=fTob}aZSr^a!zVdk&~k7OZ^ZUnLz1^} z%*2G{2V7H$&MAMj``&~E!@@Qm4M|Sy!{=0S^AJ_l0~A2=v-BA7+t*Gs4$sh{Aq|oY z+$j#d5JaM0_zN$6V0i^0z<{U~TnR*QKtFnz#1lyeiJrJMTbP@O-kcJrnT?tBgp`$pdK&msmNX-(#v&^LSEMpq4tV{mh z*0xZ?J1M!zbUc49E-ltOpU&9@J2E`U`?^4oSu($qWguqiltE6+LCs@4k86GZKlfl;Nu>IIEVqRu}lV4-5mQiDPXd!u0IOj8U*>#f)kW()1Z~b-{DE7-EJ%fM zv%j2nhkB|7J^3^xb(~HVE*~u|U#N$KRi}!4_RFOCWG~f#X}BZ9NTq~(`@!L^B|h9( zg^}}X)^Z0tT{;+rPSrvUwj((-ybJ>pVLRLV@9D|m((~~1 zvzphjm)n*pc*t)LA0tuqwLwV~CoVFI4sD<5g}K6bZJ;C2H~Zgt=2*Hb?;7Mfb$b<> z%eN9eHk2{cK5)*(?rcrVCCb}*G;ffU8r-C#q~vTF5IeS>#66Vc@FY>;85EG1x*H~@ z7#lJ3EoYaH!jQ?-3W>?KTbbq%88y!S6w4xW1Z$J!?w>t!UCfNTaokawUdWd<%+|9! zIM*zmWujLWZu-&-R_s}3F|Di&iMuR?6LOSq>yZ8h-rpFB~y8b4$4yAr=V*GMdxZSDxPa7AoJG@S6L`Gia z{;G^|>KvlOPhXH_gVJMf!zY~yXKT}y&um97K7r|cQda<7&7=}q#!$pudk!`kl1tFQ zk)phn;sH`WH|XH5BExLw^rY9a+kag&UW#&8PfN~zCe%=vZ^i$`%4AH?`5}U5Gl#1rlp!JhSOxtt|6ue@ z|2e9Jq{LWAgW&Mn;aSS%-Z2S@mpsnqzmd_(w6Lgcmdydes`I$Wlt@n}cqf>DQJ=chnrPV|PM zXlnL`t^izN(hUg)lt&^M^zs!vIl7{&>5o;g4Dfd47p<7~mK4V@+WAS$ zmp3B8+40!cd+|uS2XD_bti%}f#FVHq+Q#R`E}0(sF3NJ%MBa`elwovgW0?1!*+*`_ zxHhGi?Za{FW-D;_lvfQStX|ZnF(l28G_yW^8y)ljpIKJ8mQG<(4Op>SYfd2$FMH!~ zQgA%i^Pv%LA`qbQy&aB0v6OPZ$*Soc!j?by{j1D>rczG>kHAC-P;_sID`4SY!>OGm zgUN15AmJTPeQit(M@>xmkj#uT1&ob1EX3|~)+n|Qi$c2)7N7d=vWn4`N`_Hr7EeKl z8)r196M>f_RS~JK<0ENi9=cW^SJ$)P$X-C9axxsPs(8_487^?6+9CLfCvOcW-ysJh zWhuJZ=ks1eI#(_f&$oIuvYz&)DWnxL1aTqO?dG{)-s!Q*9VoRw>!&^ZY?<6B4HUmc z5*x&?W!S1rTEue65`n@9Kg(Q2g&_Zst&Vbt2+286o$2+XB z)Lcoql6Nhzno)hB$)S3NDNoSe@kmTn2j?3_m&z`F5+7BQAXj7)=qc#CiCYJb8YEAo zI}sn1%8!c=17PA+ZSpm2#^h5-Z@#0gHahu^flH@H?%n4|Uej#xFv?C`x(##Kxc1)r z0dFqB>RR}e==Lpsj)v7*7#H6~SDxOQ?A$2IE~Q?|5@wC#@gc-_%1JGSePv^#ChA1T zKoF69*dM*Q4RjQR&_xeWpd$wrC-+CWed?0~x5$}tN-3hwjz^Lf+7&UOKvKz~qPjaWTdArz_iaOyHcdF~+J zkf0f%b|LIhok_o2M+CjmoIu0Rt?EeMU2y*|>2H<-RIfRK9sQA*r>0J)l003Ps)`Wd zrq|pNq%e^MqiX>dHIwr!nY54HdDoq~#nl#IpB-o1S4w&gT8WI|DmvDKQNDH!_;!== zl+bFoD{dc0cgsMgxL9ADB;zzMnoT30S$R?pcgR&iJHR{4VSoxFnXOuaeU&2%jZncb z^AXE-_{uFJ6_a}7_SCToD*iTl*xSzzdWS{7{05B%)$;RM?Ep!FPF$2BT|P(;_J^Xn zJnoNOZyDZP{pQb8LhgE&K}gLh!?PIqa~&$ylUwmF$qYO`6r}9OB8(QYF9PIA<}L@xh5~eIjGPm%ZXtNCBoI zrooAPQ5;VH*?zX6r{NaB=LxHeAek$c?;$Fv`XfDOHvYhXT#4R)K01%t-$)#z9shg2 z0%tWVf!y>65~P?8&eS-c&mvAX{@LV#ycl;C&}!Eq!)5034G6v%O5%4 zc}2GubY7cgSZvO!X9hH|5DZ^kExvOy2YC-E&u@`@-2t36||gP4c&cI~RSA0OGp`ho5utZIy*9Vs(&v45T$ z5HYjW#1&7Wn}4B?0Yl!s1?>io7viH;J4 z-3Bt@*K=t&i@@Hxj?a^!D^>12PvE_|VLi|WM^g(G+8s?7o7&@|KcnldLiC;t5cq8k zwot%Lszk(=o#=ZM_%`0;3yDv$TfZ+;dp>I8g3(>D}K2tfgB^w23j%2>K3l!!s0-GN;k%OHA_|yh`IJwKW$aTxw&eV=R&Od3T)XT zuY+FFUXv5{QY$g0)T)QKE&myof2aFPLsy2#i6*ZP>W{xkDHcmVY1VyTqhW5JwCSIq zvXD?sYJT^2G!qCD^~s|%FG}^D*n>D%Tjd1PV1;pPL7aYaqt8PRE`Au>Lk6Bxntr*m zISr5J)cQf8z)vD()!HhYEl1m>GjuHoyNqO^oMULRWdZp6zY}@WjBg%z97}U~A|8t0 zj%tsQ<-Vqbr_G6oMNLNCDkEV$c)e99EESK)sMqdSHMUKh=sl$fS2Z$N21eLOB+<(a{XD;u~2){h+1-U=+mRn!Xn@7<2;ma)V4i|Q5i-}J_s$n>3271pK76O5& zqyJrln7#P&m+r}t5?G?)(@~PV9Al{Z9f`m!G$|oZe{+riD~rq7k5oCJWC*pZ4Q5fj`yR<<}Ky!gpZ?8J0Ja00emH?VKe}Suq3`xJH%otV)Kbh(Rpu!XyaGK_L6bcZ!6;+0aK$r znzCJKL|yqgDzj{sBNC=_Yt_4ek~4K9G+k38%`D)8r{syL(+o39G18T& zPBJo#$uR;P@2))VVvrpXuWvlU@9#Zgkev{mJrDsbY?JIPEJ|St&__eebhPS|V22^ zDt#8fDb8Y*Mn=sG*$_Tp+d(pHwyn{QdNZ-kc4f$-!t-D*0 zlkv+3IGZ*<;M0cb+C+DJ_5zl%)yb~A;MzfN!fD{g!&k!gKiUyWMLnk*j|FF)u zD-g&Fx3p_DX7yPaDY>Lf4tx1N$+Hc~htcYrXv4{^-Vb=AaL4500>c(Rhs_nSKb-IB z=!DIRQvSngSLkw`gkRY&nIGHF+Kj$9mgwLyX4p?c0@x&$&|0%lXTY4DM<8KFSQ6|glMSDD$P&z=!x?dClPSilis;e|T@`~A ztXE~m4bOF)M3|1Hr;_-gd$_|~2nmg2M zjIrP#)V8W|7YxIuWmUsv-Y-c9tPw_ghnH4hv`atsR-bK`HbhPSO8tiN*R`+zhn?v4(=T`cW>{ph6;!naRdCem4} zS*qVCJYyu*Nq7Hx)$e)ubS0;b;E-nTS3|y-W>K2X z@#;oW=#hsn`&o^1}FGZ0k>oX1dHy< z=$lzpg+JK5W)5%eO?H1UAa%?Vn+kvyk>S zbqW$sF9Tmsv#%!VM}M81Ouzm(KlDqCJVojoYm&Y*Wvwn0p|PbEzG%ii#%TH#B5p;f zPfNv?MUXOyeIR3*NvZ*Wf55BFkp0%;U388qMrWt8AUZB=VhX3RUkqX+V|$^enTN=x z+`^Z%(R}9FV102sJkv{vw~k!zx~(ksqV@t{zJ@3&IOZY009TR)eRuf(zb^j!On|)4 z3P`>GKX?DPO8&<|AFHYV^XNaV-v8}=>|blEe?)y0l>dYI&-*1w|AzWUUjC8wQ4RhN z>z`KdKmS+O`~L6|^-+iTAJ9LjAJl&{>;I?{Kf*rB9sY$yGyfI#kNDvu?4tnTAJ~5! zCdKkM*t;CzBkE&b_`h~Nv;7SPwEsx@c$E0pD0HpAkUktNK2ksK@c&Y$|4RLT`~64W z$I$*Sk5>0@R{kf@f24g3VE@wM_5NqtdpJ{)g@pQN5W@Qv2@V2+WdH)g1oD}~-c5y* tML|Kt5x{FMVQiz};qI + + xilinx.com + xci + unknown + 1.0 + + + keyboard_dist_mem_gen_0_0 + + + 6 + 0 + 64 + ./ + artix7 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + keyboard_dist_mem_gen_0_0.mif + 4 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 6 + keyboard_dist_mem_gen_0_0 + 0 + ce_overrides_sync_controls + ../../../../imports/ZXNext/ram/init/keyjoy_64_6.coe + false + false + 6 + 0 + 2 + 64 + non_registered + false + false + non_registered + simple_dual_port_ram + non_registered + false + false + false + false + non_registered + false + false + false + false + false + artix7 + digilentinc.com:nexys-a7-100t:part0:1.0 + + xc7a100t + csg324 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 13 + TRUE + . + + ../../ipshared + 2021.2 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xml new file mode 100644 index 00000000..67b6cb00 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0.xml @@ -0,0 +1,933 @@ + + + xilinx.com + customized_ip + keyboard_dist_mem_gen_0_0 + 1.0 + + + + xilinx_vhdlsynthesis + VHDL Synthesis + vhdlSource:vivado.xilinx.com:synthesis + vhdl + dist_mem_gen_v8_0_13 + + xilinx_vhdlsynthesis_view_fileset + + + + GENtimestamp + Tue Dec 28 17:46:52 UTC 2021 + + + outputProductCRC + 9:64bb13d8 + + + + + xilinx_synthesisconstraints + Synthesis Constraints + :vivado.xilinx.com:synthesis.constraints + + + outputProductCRC + 9:64bb13d8 + + + + + xilinx_vhdlsynthesiswrapper + VHDL Synthesis Wrapper + vhdlSource:vivado.xilinx.com:synthesis.wrapper + vhdl + keyboard_dist_mem_gen_0_0 + + xilinx_vhdlsynthesiswrapper_view_fileset + + + + GENtimestamp + Tue Dec 28 18:55:35 UTC 2021 + + + outputProductCRC + 9:64bb13d8 + + + + + xilinx_verilogbehavioralsimulation + Verilog Simulation + verilogSource:vivado.xilinx.com:simulation + verilog + dist_mem_gen_v8_0_13 + + xilinx_verilogbehavioralsimulation_view_fileset + + + + GENtimestamp + Tue Dec 28 17:46:52 UTC 2021 + + + outputProductCRC + 9:ecad3249 + + + + + xilinx_verilogsimulationwrapper + Verilog Simulation Wrapper + verilogSource:vivado.xilinx.com:simulation.wrapper + verilog + keyboard_dist_mem_gen_0_0 + + xilinx_verilogsimulationwrapper_view_fileset + + + + GENtimestamp + Tue Dec 28 18:55:35 UTC 2021 + + + outputProductCRC + 9:ecad3249 + + + + + xilinx_externalfiles + External Files + :vivado.xilinx.com:external.files + + xilinx_externalfiles_view_fileset + + + + GENtimestamp + Tue Dec 28 18:57:06 UTC 2021 + + + outputProductCRC + 9:64bb13d8 + + + + + + + a + + in + + 5 + 0 + + + + std_logic_vector + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + d + + in + + 5 + 0 + + + + std_logic_vector + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + dpra + + in + + 5 + 0 + + + + std_logic_vector + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + clk + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + we + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + true + + + + + + i_ce + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 1 + + + + + + false + + + + + + qspo_ce + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 1 + + + + + + false + + + + + + qdpo_ce + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 1 + + + + + + false + + + + + + qdpo_clk + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + qspo_rst + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + qdpo_rst + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + qspo_srst + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + qdpo_srst + + in + + + std_logic + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + 0 + + + + + + false + + + + + + spo + + out + + 5 + 0 + + + + std_logic_vector + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + + + + false + + + + + + dpo + + out + + 5 + 0 + + + + std_logic_vector + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + + + + true + + + + + + qspo + + out + + 5 + 0 + + + + std_logic_vector + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + + + + false + + + + + + qdpo + + out + + 5 + 0 + + + + std_logic_vector + xilinx_vhdlsynthesis + xilinx_verilogbehavioralsimulation + + + + + + + false + + + + + + + + C_FAMILY + artix7 + + + C_ADDR_WIDTH + 6 + + + C_DEFAULT_DATA + 0 + + + C_DEPTH + 64 + + + C_HAS_CLK + 1 + + + C_HAS_D + 1 + + + C_HAS_DPO + 1 + + + C_HAS_DPRA + 1 + + + C_HAS_I_CE + 0 + + + C_HAS_QDPO + 0 + + + C_HAS_QDPO_CE + 0 + + + C_HAS_QDPO_CLK + 0 + + + C_HAS_QDPO_RST + 0 + + + C_HAS_QDPO_SRST + 0 + + + C_HAS_QSPO + 0 + + + C_HAS_QSPO_CE + 0 + + + C_HAS_QSPO_RST + 0 + + + C_HAS_QSPO_SRST + 0 + + + C_HAS_SPO + 0 + + + C_HAS_WE + 1 + + + C_MEM_INIT_FILE + keyboard_dist_mem_gen_0_0.mif + + + C_ELABORATION_DIR + ./ + + + C_MEM_TYPE + 4 + + + C_PIPELINE_STAGES + 0 + + + C_QCE_JOINED + 0 + + + C_QUALIFY_WE + 0 + + + C_READ_MIF + 1 + + + C_REG_A_D_INPUTS + 0 + + + C_REG_DPRA_INPUT + 0 + + + C_SYNC_ENABLE + 1 + + + C_WIDTH + 6 + + + C_PARSER_TYPE + 1 + + + + + + choice_list_7275e8e7 + 2 + 10 + 16 + + + choice_list_8af5a703 + 0 + 1 + + + choice_pairs_106d11d3 + non_registered + registered + both + + + choice_pairs_743543a4 + non_registered + registered + + + choice_pairs_a9025331 + ce_overrides_sync_controls + sync_controls_overrides_ce + + + choice_pairs_f5ce91bf + rom + single_port_ram + simple_dual_port_ram + dual_port_ram + + + + + xilinx_vhdlsynthesis_view_fileset + + keyboard_dist_mem_gen_0_0_ooc.xdc + xdc + USED_IN_implementation + USED_IN_out_of_context + USED_IN_synthesis + + + keyboard_dist_mem_gen_0_0.mif + mif + + + ../../ipshared/0bf5/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd + vhdlSource + dist_mem_gen_v8_0_13 + + + + xilinx_vhdlsynthesiswrapper_view_fileset + + synth/keyboard_dist_mem_gen_0_0.vhd + vhdlSource + xil_defaultlib + + + + xilinx_verilogbehavioralsimulation_view_fileset + + keyboard_dist_mem_gen_0_0.mif + mif + + + ../../ipshared/0bf5/simulation/dist_mem_gen_v8_0.v + verilogSource + USED_IN_ipstatic + dist_mem_gen_v8_0_13 + dist_mem_gen_v8_0_13 + + + + xilinx_verilogsimulationwrapper_view_fileset + + sim/keyboard_dist_mem_gen_0_0.v + verilogSource + xil_defaultlib + + + + xilinx_externalfiles_view_fileset + + keyboard_dist_mem_gen_0_0.dcp + dcp + USED_IN_implementation + USED_IN_synthesis + xil_defaultlib + + + keyboard_dist_mem_gen_0_0_stub.v + verilogSource + USED_IN_synth_blackbox_stub + xil_defaultlib + + + keyboard_dist_mem_gen_0_0_stub.vhdl + vhdlSource + USED_IN_synth_blackbox_stub + xil_defaultlib + + + keyboard_dist_mem_gen_0_0_sim_netlist.v + verilogSource + USED_IN_simulation + USED_IN_single_language + xil_defaultlib + + + keyboard_dist_mem_gen_0_0_sim_netlist.vhdl + vhdlSource + USED_IN_simulation + USED_IN_single_language + xil_defaultlib + + + + The LogiCORE Xilinx Distributed Memory Generator creates area and performance optimized ROM blocks, single, dual and simple dual port distributed memories for Xilinx FPGAs. The core supersedes the previously released LogiCORE Distributed Memory core. Use this core in all new designs for supported families wherever a distributed memory is required. + + + depth + Depth + 64 + + + data_width + Data Width + 6 + + + Component_Name + Component Name + keyboard_dist_mem_gen_0_0 + + + memory_type + Memory Type + simple_dual_port_ram + + + input_options + Input Options + non_registered + + + input_clock_enable + Input Clock Enable + false + + + qualify_we_with_i_ce + Qualify WE with I_CE + false + + + dual_port_address + Dual Port Address + non_registered + + + simple_dual_port_address + Simple Dual Port Address + non_registered + + + output_options + Output Options + non_registered + + + Pipeline_Stages + Pipeline Stages: + 0 + + + common_output_clk + Common Output CLK + false + + + single_port_output_clock_enable + Single Port Output CE + false + + + common_output_ce + Common Output CE + false + + + dual_port_output_clock_enable + Dual Port Output CE + false + + + simple_dual_port_output_clock_enable + Simple Dual Port Output CE + false + + + coefficient_file + Coefficients File + ../../../../imports/ZXNext/ram/init/keyjoy_64_6.coe + + + default_data_radix + Radix : + 2 + + + default_data + Default Data : + 0 + + + reset_qspo + Reset QSPO + false + + + reset_qdpo + Reset QDPO + false + + + reset_qsdpo + Reset QSDPO + false + + + sync_reset_qspo + Synchronous Reset QSPO + false + + + sync_reset_qdpo + Synchronous Reset QDPO + false + + + sync_reset_qsdpo + Synchronous Reset QSDPO + false + + + ce_overrides + ce_overrides_sync_controls + + + + + Distributed Memory Generator + 13 + + + + + + + + + 2021.2 + + + + + + + diff --git a/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_ooc.xdc b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_ooc.xdc new file mode 100644 index 00000000..9390dd6f --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_ooc.xdc @@ -0,0 +1,56 @@ +################################################################################ +# +# (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Tx Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +create_clock -name "TS_CLK" -period 20.0 [ get_ports clk ] + + +################################################################################ diff --git a/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.v new file mode 100644 index 00000000..908400f5 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.v @@ -0,0 +1,374 @@ +// Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 +// Date : Tue Dec 28 18:57:06 2021 +// Host : AW13R3 running 64-bit major release (build 9200) +// Command : write_verilog -force -mode funcsim +// v:/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.v +// Design : keyboard_dist_mem_gen_0_0 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7a100tcsg324-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CHECK_LICENSE_TYPE = "keyboard_dist_mem_gen_0_0,dist_mem_gen_v8_0_13,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "dist_mem_gen_v8_0_13,Vivado 2021.2" *) +(* NotValidForBitStream *) +module keyboard_dist_mem_gen_0_0 + (a, + d, + dpra, + clk, + we, + dpo); + input [5:0]a; + input [5:0]d; + input [5:0]dpra; + input clk; + input we; + output [5:0]dpo; + + wire [5:0]a; + wire clk; + wire [5:0]d; + wire [5:0]dpo; + wire [5:0]dpra; + wire we; + wire [5:0]NLW_U0_qdpo_UNCONNECTED; + wire [5:0]NLW_U0_qspo_UNCONNECTED; + wire [5:0]NLW_U0_spo_UNCONNECTED; + + (* C_FAMILY = "artix7" *) + (* C_HAS_CLK = "1" *) + (* C_HAS_D = "1" *) + (* C_HAS_DPO = "1" *) + (* C_HAS_DPRA = "1" *) + (* C_HAS_QDPO = "0" *) + (* C_HAS_QDPO_CE = "0" *) + (* C_HAS_QDPO_CLK = "0" *) + (* C_HAS_QDPO_RST = "0" *) + (* C_HAS_QDPO_SRST = "0" *) + (* C_HAS_QSPO = "0" *) + (* C_HAS_QSPO_RST = "0" *) + (* C_HAS_QSPO_SRST = "0" *) + (* C_HAS_SPO = "0" *) + (* C_HAS_WE = "1" *) + (* C_MEM_TYPE = "4" *) + (* C_REG_DPRA_INPUT = "0" *) + (* c_addr_width = "6" *) + (* c_default_data = "0" *) + (* c_depth = "64" *) + (* c_elaboration_dir = "./" *) + (* c_has_i_ce = "0" *) + (* c_has_qspo_ce = "0" *) + (* c_mem_init_file = "keyboard_dist_mem_gen_0_0.mif" *) + (* c_parser_type = "1" *) + (* c_pipeline_stages = "0" *) + (* c_qce_joined = "0" *) + (* c_qualify_we = "0" *) + (* c_read_mif = "1" *) + (* c_reg_a_d_inputs = "0" *) + (* c_sync_enable = "1" *) + (* c_width = "6" *) + (* is_du_within_envelope = "true" *) + keyboard_dist_mem_gen_0_0_dist_mem_gen_v8_0_13 U0 + (.a(a), + .clk(clk), + .d(d), + .dpo(dpo), + .dpra(dpra), + .i_ce(1'b1), + .qdpo(NLW_U0_qdpo_UNCONNECTED[5:0]), + .qdpo_ce(1'b1), + .qdpo_clk(1'b0), + .qdpo_rst(1'b0), + .qdpo_srst(1'b0), + .qspo(NLW_U0_qspo_UNCONNECTED[5:0]), + .qspo_ce(1'b1), + .qspo_rst(1'b0), + .qspo_srst(1'b0), + .spo(NLW_U0_spo_UNCONNECTED[5:0]), + .we(we)); +endmodule +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "XILINX" +`pragma protect encrypt_agent_info = "Xilinx Encryption Tool 2021.2" +`pragma protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128) +`pragma protect key_block +qBHgXmwbTbZKEU9tcjZbsi+ExctvD8XefVx14BkxLFOTaColWRgtKU9vhojRxOADVyuCsE7IUw5/ +fIBh9Lwwg/1gRLE7njxHZhWAz9S1sVJTpj4NzEQ/HyJYMIoxPpczRyPcn1WxmVNQqNuYI1QUkQdA +njnTdD+zeIXLmFmD1F8= + +`pragma protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`pragma protect key_block +V/TizgGPju21MuRFF7y/ABvr1JqliOqk4fYco5uCOBoyUST+UXZx+hvy+kbS/LIOoofVkSPNsgIB +cZoZuq7YCpk/jDm/+3eTRWDEB56vO8JkeH1jwR7EzYU3QoipBAujdnlLacwL/Qy/9BMtpw8ZC+MO +wBnu3Kj0Q1dJVGnfxGEY6YDPJ+d21AYrk0MUpKHc8NVxv4Hojk39AhtxcEVXw2v2A/fQ9jZC/Ndf +05gPeW4R8LQP/EGbOdtsgq9I5dfdsNv7iKW511rAce2zY8b2yC3vfsAK+YvJlJhR9xErRgfrNVjL +Wf/LCVNpz2k1nBpoU73eFFZpZpBgcK2RDNk23w== + +`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128) +`pragma protect key_block +Bq6b1vbyY3ChcNU6TEnpKgFXql2W7SCpYB5BjNQXc3pXJDMmVkEfYRRu3dus6SDMFXRHG0YcdGWS +/wS2NHW3Y4jbYKRazEyz7v6YOZcyrun1KL6tR+AG/wFDOveXfxNNB+zhBzCpD4rjZneOXH/S238v +1RhzzAtXry9bFvLFEvM= + +`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`pragma protect key_block +bYFsVmVVlPDgpJA7LNUGgEzYGUdTNv5Vsc3Jwzl3M7dMROVIX3hQvamUB9EXDcek0Zh/sGPCLhKi +ldQUStkE/1cexALf6/IyDRsZwk6TfIOli5xAX33R98gH53kMGqm4LeMSjvxdw1HFasq3DFQf9MFS +2Vd3MBk2RQ7oHEiynkyQ6u6rVzyv/fEvYXD4vddz2P59pyQWGFNkNK2IO+xY995zx5+zEWsxRbhY +BiKHBy3THjpQOfIu9GAuI55cn3CQjjpvKXcx+Y3heO9CKpqZLGfEqa24KfEbqGfiApu6kTIVexUg +dDBIIdD+N8LJltHRpZ+jbHfXPp+zcquX5mHHjw== + +`pragma protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`pragma protect key_block +Qj/0qDRoIRfY71MSM/IDZuivT67/prQAAFtf0lEbUPKKco5uVYjUx2y9eBkAfFGhs2fZalRebtNk +xUbSGT68uQ1coh2Q6nhS4cdo4YPsspTH1Nhu4RIhtPgRxdUttXHYX/Gr97N9TcXoMsfDghFW64X1 +k5hEWEfn83fPzGIjm+7kdnV/4img9Fa3ZxxYUrgr5ny+/n9TADBfPj0nanLXP9IfpXIXFMO4cZ0z +Bn1eYo5PYUkIMm2NtSetwGM6Rot106wWg5O8rFVPs19cOE8+1EqXo7dNBHsY+L8Kc+GyZSZKYJeV +JveQ0goTcw48qT7c20RAD9/7ios9uAXp0PTvpQ== + +`pragma protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_01", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`pragma protect key_block +n4eN8OcgE2ytgAerPG7drDMcMy/2Ng9XyKzdLoueXaLeh19zquDnQa2TeOOi0kQM7hGEW4N0KSLe +m6/JDweeF+Zh9xzzoNG/7KoO99Lq3PLQiMZJ59hyawaj7oI6PxjJXrmtNuERK3VaiwAJCkdIROIA +KQWVzBm/UM8v21JbncRVWz79jVq9PoB0JyDeHd8yQSMkqhlQuqJk6w0/g6hvk6v0eZ8cm+YQPd0g +lcExsPMEJVUIstZmgw7cO9bw9rbVgiwyICyHMF9e9m+Fe/Erm8j76lm7U0ARiW5L4G85A2pA7Npy +R4KxewsytXQLOLLLVKSJgeQsFsNGQkjyZbzRJw== + +`pragma protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`pragma protect key_block +Xr27ZXCB8OnsIkHZpOeCueAdq2OspASj7YxAKEG4q8NqrecPF23quvvBjuwcB49ClOEqtHMTy8Wx +weKE0jw+n98eLI9Twla9KkITonZCHdMyBRODorH0IaSSb4J6rlebTz4yIeDkU+T39FfS19iVrJv9 +YqXU3m1SGEsOT1DI4s/uVoxGxOXgwU9vp+nGCLp4cWSDJ5NmNma3Bkvy1AofNpsy04s51ATfy536 +dpOLpy/2AJscmf6UromXJmy3AjFYU5O9tgB+VG+ew3ZTMKUxBUQgIg6qI3jmIkWZ3kN/k2X52CIU +cKg6JWkdfO6Yk9nM2sROGf/SLG8ybirlacy0SQ== + +`pragma protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=384) +`pragma protect key_block +R2nz22UK9YsaRdZIY3kGldutQifE5DDy7NbJzgHH9NuMVkNCseU6780lVUn1OPAvaNVfCBMh5aZB +Qa0UQVeAStJarB7+LT6a3OM60oJ6FEegSw1JKYWlpr0J4bm0S8AP9vR86sm2qfGICS2ZYl4qJmT8 +m4T3EkhhzBehr+YTSE5DVzXiDX1G5ichGCmCZeSTKbpaMUP4CxdLB3GXI3i/Q8iml9J42mVCnpUw +iemH4c94zF6h8A9D4QXZyzCcG7ls+jKtBjHptjiIu8+V0cg9S7zgQsphkLKIetlWBVuL7zqnpbWe +8s/b5fnpCatZemVgKkFuy8UKlkzOt0yBn4MFWqFhLaoZWztlyHiXcUuSgmaIK7C0o6rpozCRxgkr +/krI39PGhNLvh9r+dLgiXtDNHEPG7Rc1kGWMV4Tv/wTcuizsdwyK5ULiX9zDkm9Wp8wc2FmonXXs +zUMW2MTsj6qNgl3ly6aR71kz80w3HEm6vpYE0PgIioLUHtXSJrNI0YZH + +`pragma protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa" +`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`pragma protect key_block +KxbkAZO3A4DCLBukfrGMdxDyiqe7FeV3hRi5vLrwE66pgRsrzhpdsdVNVm9GBFGyirgfJc8Msa9K +Y4YDSFDYTsg59E8GFTF+GyDnevyA+S2gpVNFB0n2xfXaYhsh3iGMlmbrfQJILt4u+8Vuch+DunTO +8I4THbi625TC6yg0oe4r3JPCuc0C+w0RF2tsnPzM8RExC1kOIqKZaY9q1/wcBS5yGvCu13nNJIh8 +IjjeDlgUK3GKB5FLzKJjUN79rMWT/qzH5OvgP7qaduyP5OfGm9E21O9eYtZEDGyGoM6ob08/TjSI +IIIPgVDQr6hOVM58Dogadky8yVeXSxHRau5RRA== + +`pragma protect data_method = "AES128-CBC" +`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6352) +`pragma protect data_block +fOvPR1/xUlq4ruqPZ5aTJ9i4yA76/JikfpZUkw0oYMWzg2hw4MINhUoE8AHLDLxVkj1ASum72bzW +3zZjpIjfwNlrm7G4c6TkCEI4qQAGxAgM+DjOW5rS20cJNY6BkPwDaqgBv/AeWx4Wv9SwnmyFWwlb +qqv9doYQLT1eoZoqyK/nS3JFXAXfL6sEQaPZMHPhJx74fdbNt4GFZi5IlzpIZ/KrNzFKzpKy7vuT +V3DBtsHAsXg+F0lQxBizqS4oAwTxzQIz//3K9bK+V/H6qJrZeWb1hl/BE60nX+JVWWhmogv+YaZ/ +wxyF6Rip/orGou69BiWbzWO2OcdNKWtkXS9Ca0EdW3dG5xOq3mluWeHP0ogpU1OeeerrhxuAI+Iw +ddZOLfwT0wDBenmNBkQ7oFr/8qfI0JiAjx9F7Xfnj+4Ufu8Xo3XJZqRSUpMLpX7ndmHNrzIsE7Kc +1R9q34cV9oBiXLQpFT71C++/8cyOIaPbpPwmwkD3Vn5fFGsmqnX4vF6GBVRAi3uZaM/dHw26fvI/ +8VMV+4gVjggHlFULIN1eI+VlAkTNIKbq+Nxde3QooFAiJx1lqQptEpO4Ef5CpZuKJtImcdts8w/v +RYFKZmuNL6AFqt58OTRSCrbXraWeS54LCa4U6wOIe9BjQjaHEbrhWHp1Y0MX5HM4i2yenLpPBLCI +nDxISCsuB/Onk5TZXqyZUTSvomZ0xXJYKT//uLM5GDLSNCBF0g3BtujO1A6GiANqstrltp8NdqF4 +6xfDlhkSfXigd8wjmI5JAtw3n3QY0eRi3zNsAH7eF/96T57SoHbHyxvVZgbswhkODnRnjcdS1jTl +4pPWhgeKWLXNhxcLrd7rnoKOcGDWgfHhZojhBhprPngRnJP9hrdhBlK52j26Zxl2OZ8zPrvYoVGj +mAvHAx7BavSp+SAPsjF+rltMemKnyvxkaAPjsskMoHDhiP/7U7zYEKHNuJpwDKfB8YT02bYOppl1 +PSkq+oM7PHe3Z/ji50tAWozGelunODdDxzovOgYrrjhgcr1TTEz5/oLRDL3c7MDxei4/Tn7HoS7+ +7hHrPCuSRzDrqbvFa5wOC/EDSB1x5PDVzdY7fB90hgmUQzY1vZUbhXXOhS3oOmIZqXCVLUV0oKzB +HCWOa/Zu43D5U1+rirXqpYpT9YRpPh8l/ZzquFnm7hMOZ+9jc1nzNGMj2a18hpcPvhCQCO36yEfO +Nn/2SRdLeQaUFWbbW17b6fDHTDpcChr/mE3BNsrg47yMQup4NLkP1RcRVNiPIzxKSnquN9g4EbcL +PW8q4iCT1t1yhGFj6Lb0Ysdo340WoSxzSbagkN2MgPfYR+rkLq4SFGeU2OT2D6ryT4Bmi8cfx8EG +vSqhFEQhmSMlP0y8kYPNQ1vscjhQuPvtqHJLJZtP7HFZMTqDOTayD5PTxr2+Zo8BXTBO2KkRCyJQ +XD/oasFGu4jkoEz7FG76J59U6cQAmcEKah8ilJhV58XIU900ieetV+LaRvu4EkFqmlt+xqHuUUZK +OBM2HfFwbnImLYHDHO9zmkOhRL9E9DpZpuhwgB3SvY9GxVTV3j7lKyUnRFvl+hLHRQHRvlhSjfY9 +yHKGuirZAsAX/Rj4nzaZqdoc3yKko03AsKQXsLJvteJpzk4YB8S3/FSXVy/469KFgr4v84j5nmdj +u3fem5dvCPQXjCiFkTcNgWy+VpDrQTX0zQ8C4YLNcqsEA7pmE6KTMJAGvaIX3mamIMb5OxebtqWZ +SkGFyqnyxga403H0+bn2pD6o8Ts9j3aJjmH6RcZi4slCLDz2UqJrPEUWN04ZO9wgBgsPwZGwcK8c +utmzM9iYLL/6H4EDZzIpbZO+SzwLFdavAsWfmCbqrYxz9U2rIfdatbrYJWD9Fsb0b4vx02c6uB/7 +np120GJ6+YnrFBjsDKuHYCBzwHI4Z2pxaFlhsNeQ2cP+s7ABhyYvF8lbH2c9TjkjgnAMS5I+jR7G +2jV+KX8okDZkKKvIE4TTreTiiCJeIA8v+z1BVhCgErAod1HRLkwJktiMB+Y1crTk/LHrt3HLfuwj +Yn7ixXd/8znwWXYnpMCDAbLXrZoK57IA+4b3fHYc9xg4VQ3lLfyFmW0R74hW+Oztn00V6TxbfhqQ +CW9iaFb+uEPZvc8UXrPFuNvYm6OwJjmzGhGJL91vOhLp/D6jqSFZB954Q7jVeavBHTSAgV1Z6FeU +eeq++fHvIT8I38DQ/lldOteZVbYXqRULa5YUVg0KblH1/Vx7HGBsCquvsAIziyc74CqBxE47k9iP +ll+vv1q23BXez9O3psvxTSsmbqydtjyq4cNNnuEMMybfnsCqmWrZEMbJCMP4TN4GWi8cRQGSci+i +O+mY8hBXAWf7EohslpOUQfBVNlMRR3YDi35jkULOmmt85rdBnaZ69JreTSu4uaM8rhtfpX/ppYsX +92lMcg/baIwWJWZc7tphMJ/GGQffunq0EPTONvnNI/KmK45nnTNc0fUVrz8JhRz9N7XdXJXUNRsA +14JyUqwWlq71KkKxrRsMAu4uycluQLdQMaW7N0rrB2qrXY93HfGNcd31RcrJEFyMz57DA4ysIMLU +2gld4Aq2LkRFMin7UN7juo2grooMOjZVk80+w8SjmbDf+3OabYSZW9/yxCp8Un4GVGbDXsrKawiu +PXRuEU+VgKiJxad/hSlMq2L9n6WRV1GWsJjGRczTV2WXQN8tO+hDGpd5RFrXN0tVF6Jvug7gIYtr +Nvs7yUG2623msGmLd3NVQ+XFSPuT5vgXm0PN+ozmwN5noRkmXXkuGEkNnl20Utmb6LpyowfB7RBl +tkOkCiCDJCoRQUuAWkKcC9/gCYw+56hc2uTbAVviJUlX3YC3zacq5jtGtWoNRO2fWJ1q+OaLaile +pMD/nWDKf4H4o+KhjXKFIUHg7T7Rv5W57zumMZzcAFBClKbnnBYj6mfZZJV7VPLUUp1Q3HcHeC/C +sqRumgrPac0P/nzFf37cfOXjNZ42XiX6Rav5w1+CbTxgoOeXT0m0d5Y9WqVT40A/rObuE7ZsIBp6 +HTvOMrBApWiQ5F+s77eQ6dqGA8gFisRi4ccp/ddWL0OH6E45pqPQ8SF/pe2rEXqJi6n2l2FfX4ct +MojuvLXdlVilKvqy+s+wDNBHcZziWpIOwcvBxKKtV4tepEGrUSPIuGPowOdrN8s+KIzrtxOizwNn +75sI5oepMKQMR+mx3dGvSoq/ojwHXReftRkHx8OMAyOqKZ8Tx6r8R3yA5eGjfnLz/pwRUSwmqJi1 +EERLSCb4pkP5NuUwlnKABbPLvZUUPxtgrFL0lWYAs5SlugmXhgTRWqb9BkFjskazcR/6/lVsu/8P +xcCzWgSMHGZ8h1VOCfELrnCX/1WRBnzz5Xngxwg3EUw/Th9JCTsd8l/LNV69azdYk4xwos29VXn5 +JGuqY3+EohbcwU5aUE9XQ1X/Ps2qcrKa4TUoMzbCCKcI5KVeGKE5+fZQaXgRQx7COdj1o2TGzy9B +6q6QpssL203Hxx3PeUorS+rPbTRokSdoNZyXocGnRgNk5qyidVAs07r8J6hRMOf0Ax9Z6Bb92N1A +7BsU1X4ifTTutj3zICxcQfAGPJF+YjAOadOeJN/9epl43ICbP24EU3c54JfygTyW34CQGUbx67AZ +HJu+OAXx7cLhGZC4/7QtCjonyUKQ9wCzabmHdzf8slpo1iXZ9vwazOTMjjB+cmQuwsUQ9mYGefDd +md86iTwR/Ohl5dcQyaxTdJ9gUIwGP96rvKexzTX1Y4a+iQmYv/pU6IhSvCWAbX7SroAPItjTisMZ +ubriF/h+niiYXOQ01jn0HL5WNGL/Mg/PXguhyNJGY3b8dQnTPyJZyCBihBkTM4bZ1FnlgqOh34MB +d/pAmm0bPRiGwZC/fkoLjkuTUD0YKM9tJxDiAPm38y4R7KNwZw1WgqznxeS/VxbgipVLSePD01Mh +c3JsksXXDssjQdMC8svBBWaJqXAuW0ATflTceH8KPf8Ux/82IsTL2r2qBc72CfE+8rwFZ0YJJo9D +ywtnwcxDKubOS31tAM9NjliYbLJvM46xeS6bLatNda74PQ/siSrqfIIaLtoneWoeRLTJgIPTcpeG +FyRgsqy8oG7tUpQeUZsE6dxzFZeo/S+/Ib/ZxQEJWmo2hYO419XgozdZ1wsfCWpC0Ksal5FiqreY +C/h1TBfvM48ZKxKmjq0kVX9G4RyRYJgtbGITFx/PdRu0bCFyfut8fneJPJkrx3u8PrduzWdWXG0v +kUu6+xrNCGSAi1/Pnchly8cDoo8J5aQHkTjQ79xLLfKag1hRNh5wDTvIJqHVagnXYZZXN4PNrkzC +4Mdbk+1aCb1pL2baY8+mqkPZ75GvwrdpWEtJQ0d4oxh1YmwR8ZP8AU8u6MI+MH3Ffxe2ITMWoEU+ +Ek+WTYDPEgXZwx/id0AXxlY7e+m/gmT2vURkJKbWaUIqOifXLQjm0SsQiGdo07OdU3SQjeMAk/nT +db/1bZWqoOWtOr+aij+3savP/j0DbVCyn7TpSRZuxKWZ7f61f76wR6tADOdohRBedpMrIGUZZ9xX +IdlYJGVHERcp90WVUONKZbWnVdD3kpqzyX83zrQMr3ZKxbhF+Cf+9YNgzEQ1pipgYcLRha32fU5X +kWMzABN38HgacW8rrPLvqS9owWAqjXwlw4nKN7uMVoE4f7XktbqQT3XcaC1oE6U13fQdl32TV8ig +0GnFnocnvaOwCX6E/Jn0ewpzbDa54ChHfbFvHT8E9PznVSRIzIqHRl2ezvzsqjw8JAx8/LlGbZt3 +/SNcDJmsxJx0EPderbHfkGNFeCs0N2spVFzbZi095YlqbOZgYyVZECp0Pdq7TmLzDMq2Uml9ng1i +IklqNdS7E7mU2lq0A72jbbmbo6V/qbcl+FOJokZl/wrJ8Oby0Sy+cPQOaJbwrfjcBeLdoSMgolIp +SGIjsLqOezoUkt3ySfYjE3+E1WgTIeKCxpVmDIbInG2oiPsnQ0PPKK90r+uQNni+PSw029zT1Ypx +Ui57vDy/rErJYDaSH6cOabTbe+HjTKoui3JWPn6Xpf8ZJQA2s5uLvNw1xgqbI2N3oeCcWrzLc0I+ +BYQdp0KFvQsBDDIYFH9woqH4bHOEcguG9oIezLDF1EM1n6TBHREyCW3jHXOTS5hwKvjrDKFE1UYv +wmOlCL4HvMwM8m7B33VX735gNcal+/s6C4wx422SUDIO6mjOxFic31I0Y6X9OuKc2Hac/zYarlvj +hrxZyn24i1ZpOYxlRFknhNhmBvuGDH82VlKzEF4tvHvv1WP0j1A6km3EMwuFoj1mSTwLrq6Z7BBk +O/0AUsAm/1+5QuLwuaWet4r76B+C10QMffHtI9de4FkJ8UoqDTgO0S7hlBR8GCikYn1gZGqYN5zS +278pjtYmhWoHwANMNJ7vz8dhyps5hSGAFCNLUC5yrfEXsJVWW13yuVnCLzqnsw6bjqjeCx5xgs7j +9dysLh71pnAfl7PSUKF81FXF/e8MHI2hhB1/ddSkBjmudzpIIEJ4LZ1ZfGOsZnPhKUnDyv7jsJIs +O5eTHShYgm7UEKsTG3y6GmsgcK6AlxI3A0PeXqjHTjekZnL4ypbNP7PL8vJacEpz62vp7lt69AVF +cFEDMGSwtxmPNiwbeg2nXDDs7VMzRjMUbIQQTnJWTt0qd9CYbYwc7E61R+tMUlyO01WgE91Bw0Ga +z1ac/fePGAzKwDzzqJ0fCLVuwms8vT5aZdUPi/ZafkVM7MrzhBS/dkdpIg5scnw4Okmr6/rgTxwz +ZURXXgzp7LxKeLH1m7rEmaPrrRLpTw3Wr6x/jfQ6ZlJX8AtFvjFDw/GVWGu+Kg8+W9Vy9F8QQ+0Z +opUMXorMtzrA2Jysljuq4Jy9RCNUh5onEtJx2lfK6ebvF/H/xDBDIBYeADdpbA/aFIsMMExw5tZc +o12WFMM6o3gjQVeIoxDtSgmoogECndXT8WC/5GDZHzCAJ4FwUurdwwQzsuPg9DbtbMWLVeTxZhhq +Jh1oM/f8JEWViwGZuWypifmCRPTK0FsnCIDWW9Z63Xu6CYav9xlvqHCCfOHJXc84HRYHW0cvyj29 +TyBuzz4NMCaMU4dTNipL97wyUQ2c2B1hA63OiWhugZachSnr0eVoC2ZmbTAgJ7Fo+jU4kjL2bOCI +qFUYmTHUUw7A4nUnMqIUFPPropnrqrrqMK5Hya8YP7tbR60PDe4wL/4TP3dyYyxEFA1TuS6p2gr0 +wRnWAco+uYmwoKLH+hYhxJA31oeNgnawEaniN/RYZrGosIXCAehyKxwpoYGAR5kjn4BHF1M5ieTw +dsAHxYJ7w9si3yBD2XzwqoI4+ltf2DU0bF6MKGwSoPmEEyDB/OGEDgbg2JIPOdGcQnoqhwpTMXM7 +d+Nl7rtT9r3hLBLQ8ebsqNjNtvQEYTB/u5woXbnGZcr8imV8u/RSRQ86BBiks/Y9jxjSQYQmHEYX +2G+sZ/pL0sZiUWYtnefo52+iacc3ixpPBtlmIZIFNAsw0O5MEAtty41xQ2gLKEwKSM8k1kYznllO +ABkyHsR6A4eXk5bMVCsW+Wpbame9RW3VwVDfBxH4FN+jxCi5jwii7PKtFlDx7MV/YWBNNr/aIi5J +Bk8qdWhSuaXC4orPzDiDZ1SyqiLgKpNQhhCBTt8Z3jLwfR0BFymBqTD9b59C1lsZLghAReAPbEWN +Eo8Nc6KjcoPFxmHWctp14ZNyYaiASbmelGGJkN2k0yr39a7XebkXW6ePBlJnh/SFNSDmT9r8hdQQ +hYAF+HAGUMjQVpagjr/pNSOHSd7tzUC9x/fs3H+6VLpfb0vksG45yi2ap3IPN2AmKu+QF7vKv7tq +/ptUeYoz34zQzkCKzjT5g42T3qM6pEMWxXJNmEneUPHROf3jKKbzsHlaqB5H0GIRFlzylIs0heWi +Afa/p9IqbUCbMueurjdEkrQ5+C8onKr64DcxY4lRgBYUnNV8tCJ2kB4zj/7FswGMwOb3tBmqoklz +/VsHYY3VFq7LY2Mh1oTkyN1g1hMwgFLXpbrzU6pB4ZPhjm5/wmCumkKab3dkvWAbLz1JMDfXkEA+ +n6M0Svk4ka46HkhqKYnHmVyJJBnf2+1r+RDgyMUDxyeksFe+Df8TtqicSFqoi6mpnCmGEPjylRBy +ymlL8F5Snj7WYViAekOU+FwCzF9Sy/ht9AIKAwdqgWMuh9bCF4tzqHhXvBOOaD27ltAB+PrexN6i +OblrhLYEUlb6e+CM9Sbok9a0ovkBjQZ71+2DTD9A7nwHLcN/3oMFyacYUcyoG2IFj8p1ivJpQSZr +ktfjBqck7WPS6qVZOy07/vXPwm/IeDx6pSMm2moS2Rgz44cMfYBJ8J7ebTdXKc9x+5KAjTJUFkov +LU4UV8aK+O/bqswLFK5i4DUXkOomvAONYvtP8nsMCPtWpB7x3pudw7UpqgQK9pCJDSlue2rxnWmw +7fLidzGB6eTnCrU44uli6OOmFPr/Elibzyt9OIIwq08LBJ0/sUP2cnFCMzaDig2JsigEkMcH5z69 +cEVA4Q5+VD02f2Gew88KNrhORab3X9GqJ6Glh7y6YsBuGUrcy90jBKTERmPqDC1vPEb5zeC9ieSz +hoN4ijxys3uAv4eH+WJvLtaIMP++49M+BrEMqkhQso15Fq6zq1sXfNNRea+ZgvWDggGWcoi28XNS +8Kf89pezgoq7IBwpnbmbh5pNZbmZk8kjWhfMBd72wcQ8i0lwu2028kQQQ7bMeNhGEjT9KJ70hskS +rn+rc6EGn7d0FgmRTHyVYS4dix2ttfbwRs08kvZpvcaPi2kAikrRed5/LLiir746JYoqstr22Ng6 +M9Dzn0VopZZyOrKed1gqbg1ZOK+Z50iuI360nTqBupgrNWFtgp/6Um0Vl1kHjxK1CfLZAVIIxIOU +i6PDVVhSo5fORAvv32UTOghOYYAM/jHvnlzeRK2CuqlNmxoflHmC6rnE8aufxVqdl8jQT9yqjqBQ +ckTlfN5+9B7ywZmRjKIn/RuLO+qDfySpxuwPLAj7e3GSTBdk+moMRtR5kcBtmx8+EvO6EdbZdub6 +OlETvFar1tEF7lZ2LVl0QY4YOqCwGAtGRa5/4JInMzuFH9SPcSHsMS3V8KiHRBzd68F5x3Gickri +Qh6+2qn7OpRF2d25FATTjXF7rKydch98xUtFEgKekUQnJRPdUU7kujEvoELrSqqfmJiyvq2LuMlZ +znmwq7pswbqk/7D2YJPgYPSrnkeAJA2lYm//Xxsm/MLku68a/qC69o4lFEjIZNtG/MrzUiqZ/3c9 +Gg8ni1NT3y8LEuEsYUOlvQshezi/cfiaG1HeGpAyFCWKfw3x+ur2A9ZUl/61zcp9KaKKAIV4LSTr +0lVgjc5Oi2zc8psy1HCW/8zRlT0r9xXWOVA66RH+epYD21lA3/sySpJbKc+Vr5Ad71yagloYPdI4 +vPkul+5AODMIRQWih6WBQKQyye0mcR616Q== +`pragma protect end_protected +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.vhdl new file mode 100644 index 00000000..e44c313b --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.vhdl @@ -0,0 +1,447 @@ +-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 +-- Date : Tue Dec 28 18:57:06 2021 +-- Host : AW13R3 running 64-bit major release (build 9200) +-- Command : write_vhdl -force -mode funcsim +-- v:/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_sim_netlist.vhdl +-- Design : keyboard_dist_mem_gen_0_0 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7a100tcsg324-1 +-- -------------------------------------------------------------------------------- +`protect begin_protected +`protect version = 1 +`protect encrypt_agent = "XILINX" +`protect encrypt_agent_info = "Xilinx Encryption Tool 2021.2" +`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=128) +`protect key_block +qBHgXmwbTbZKEU9tcjZbsi+ExctvD8XefVx14BkxLFOTaColWRgtKU9vhojRxOADVyuCsE7IUw5/ +fIBh9Lwwg/1gRLE7njxHZhWAz9S1sVJTpj4NzEQ/HyJYMIoxPpczRyPcn1WxmVNQqNuYI1QUkQdA +njnTdD+zeIXLmFmD1F8= + +`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +V/TizgGPju21MuRFF7y/ABvr1JqliOqk4fYco5uCOBoyUST+UXZx+hvy+kbS/LIOoofVkSPNsgIB +cZoZuq7YCpk/jDm/+3eTRWDEB56vO8JkeH1jwR7EzYU3QoipBAujdnlLacwL/Qy/9BMtpw8ZC+MO +wBnu3Kj0Q1dJVGnfxGEY6YDPJ+d21AYrk0MUpKHc8NVxv4Hojk39AhtxcEVXw2v2A/fQ9jZC/Ndf +05gPeW4R8LQP/EGbOdtsgq9I5dfdsNv7iKW511rAce2zY8b2yC3vfsAK+YvJlJhR9xErRgfrNVjL +Wf/LCVNpz2k1nBpoU73eFFZpZpBgcK2RDNk23w== + +`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=128) +`protect key_block +Bq6b1vbyY3ChcNU6TEnpKgFXql2W7SCpYB5BjNQXc3pXJDMmVkEfYRRu3dus6SDMFXRHG0YcdGWS +/wS2NHW3Y4jbYKRazEyz7v6YOZcyrun1KL6tR+AG/wFDOveXfxNNB+zhBzCpD4rjZneOXH/S238v +1RhzzAtXry9bFvLFEvM= + +`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +bYFsVmVVlPDgpJA7LNUGgEzYGUdTNv5Vsc3Jwzl3M7dMROVIX3hQvamUB9EXDcek0Zh/sGPCLhKi +ldQUStkE/1cexALf6/IyDRsZwk6TfIOli5xAX33R98gH53kMGqm4LeMSjvxdw1HFasq3DFQf9MFS +2Vd3MBk2RQ7oHEiynkyQ6u6rVzyv/fEvYXD4vddz2P59pyQWGFNkNK2IO+xY995zx5+zEWsxRbhY +BiKHBy3THjpQOfIu9GAuI55cn3CQjjpvKXcx+Y3heO9CKpqZLGfEqa24KfEbqGfiApu6kTIVexUg +dDBIIdD+N8LJltHRpZ+jbHfXPp+zcquX5mHHjw== + +`protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +Qj/0qDRoIRfY71MSM/IDZuivT67/prQAAFtf0lEbUPKKco5uVYjUx2y9eBkAfFGhs2fZalRebtNk +xUbSGT68uQ1coh2Q6nhS4cdo4YPsspTH1Nhu4RIhtPgRxdUttXHYX/Gr97N9TcXoMsfDghFW64X1 +k5hEWEfn83fPzGIjm+7kdnV/4img9Fa3ZxxYUrgr5ny+/n9TADBfPj0nanLXP9IfpXIXFMO4cZ0z +Bn1eYo5PYUkIMm2NtSetwGM6Rot106wWg5O8rFVPs19cOE8+1EqXo7dNBHsY+L8Kc+GyZSZKYJeV +JveQ0goTcw48qT7c20RAD9/7ios9uAXp0PTvpQ== + +`protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_01", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +n4eN8OcgE2ytgAerPG7drDMcMy/2Ng9XyKzdLoueXaLeh19zquDnQa2TeOOi0kQM7hGEW4N0KSLe +m6/JDweeF+Zh9xzzoNG/7KoO99Lq3PLQiMZJ59hyawaj7oI6PxjJXrmtNuERK3VaiwAJCkdIROIA +KQWVzBm/UM8v21JbncRVWz79jVq9PoB0JyDeHd8yQSMkqhlQuqJk6w0/g6hvk6v0eZ8cm+YQPd0g +lcExsPMEJVUIstZmgw7cO9bw9rbVgiwyICyHMF9e9m+Fe/Erm8j76lm7U0ARiW5L4G85A2pA7Npy +R4KxewsytXQLOLLLVKSJgeQsFsNGQkjyZbzRJw== + +`protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +Xr27ZXCB8OnsIkHZpOeCueAdq2OspASj7YxAKEG4q8NqrecPF23quvvBjuwcB49ClOEqtHMTy8Wx +weKE0jw+n98eLI9Twla9KkITonZCHdMyBRODorH0IaSSb4J6rlebTz4yIeDkU+T39FfS19iVrJv9 +YqXU3m1SGEsOT1DI4s/uVoxGxOXgwU9vp+nGCLp4cWSDJ5NmNma3Bkvy1AofNpsy04s51ATfy536 +dpOLpy/2AJscmf6UromXJmy3AjFYU5O9tgB+VG+ew3ZTMKUxBUQgIg6qI3jmIkWZ3kN/k2X52CIU +cKg6JWkdfO6Yk9nM2sROGf/SLG8ybirlacy0SQ== + +`protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=384) +`protect key_block +R2nz22UK9YsaRdZIY3kGldutQifE5DDy7NbJzgHH9NuMVkNCseU6780lVUn1OPAvaNVfCBMh5aZB +Qa0UQVeAStJarB7+LT6a3OM60oJ6FEegSw1JKYWlpr0J4bm0S8AP9vR86sm2qfGICS2ZYl4qJmT8 +m4T3EkhhzBehr+YTSE5DVzXiDX1G5ichGCmCZeSTKbpaMUP4CxdLB3GXI3i/Q8iml9J42mVCnpUw +iemH4c94zF6h8A9D4QXZyzCcG7ls+jKtBjHptjiIu8+V0cg9S7zgQsphkLKIetlWBVuL7zqnpbWe +8s/b5fnpCatZemVgKkFuy8UKlkzOt0yBn4MFWqFhLaoZWztlyHiXcUuSgmaIK7C0o6rpozCRxgkr +/krI39PGhNLvh9r+dLgiXtDNHEPG7Rc1kGWMV4Tv/wTcuizsdwyK5ULiX9zDkm9Wp8wc2FmonXXs +zUMW2MTsj6qNgl3ly6aR71kz80w3HEm6vpYE0PgIioLUHtXSJrNI0YZH + +`protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +KxbkAZO3A4DCLBukfrGMdxDyiqe7FeV3hRi5vLrwE66pgRsrzhpdsdVNVm9GBFGyirgfJc8Msa9K +Y4YDSFDYTsg59E8GFTF+GyDnevyA+S2gpVNFB0n2xfXaYhsh3iGMlmbrfQJILt4u+8Vuch+DunTO +8I4THbi625TC6yg0oe4r3JPCuc0C+w0RF2tsnPzM8RExC1kOIqKZaY9q1/wcBS5yGvCu13nNJIh8 +IjjeDlgUK3GKB5FLzKJjUN79rMWT/qzH5OvgP7qaduyP5OfGm9E21O9eYtZEDGyGoM6ob08/TjSI +IIIPgVDQr6hOVM58Dogadky8yVeXSxHRau5RRA== + +`protect data_method = "AES128-CBC" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13232) +`protect data_block +ZFz+441SNY4WDvRm27ZvIroQKxSubhgrd1WoBSnUdp5k8Aqpcy5due3SAS8CUmnOfjgjA9hNyial +GRgQgwxzdDmtgoZJ6KatuimlMXJbT9TIoKhUBImAnahJMJy5fV4ZgLTNOSIjbUESnmldqgespFN9 +0iAbHLbocbAViMZZ+EKEnCEd20q9BDmYmQIyOP9cjcQTA1aAyhHVSwznzeFgLY4rdlzC8/eUodHP +tmWCXoQ4XNpr5/3R78Dxa2qzPfOu7mJbE2tg/XsxviH5UWExg0HyNl3LO7jejjEwpfhL9Frch0yP +1chh7LTTq2jneBi5It/GUB6uSdRFhmUt+cKbEOS5xOYdrwA6w2jNimw69PuFShJpvabCCc8XvKXi +fhWXC3Nx3mv55CW9aot0WioVxv6M9BGNEysXlM+uNTJt7cb+QX6PJ5hG6I0cWjq/vi0Ix48/oZCU +nAue9lJHxJ4b54MtLUa+BDSrQuSgoRLnLZ4DTv53kT3VSW5GEF8Uw/vfS+AFU5c7zsG5Ezzxh+VM +tQ11HBh+Ll199qDZm1h+l9E0YYAnTxAbSj9WBUhWeojn5khWS/Tn7isNMavSX56MDTzRe2gf3UwT ++KF14TEZfINxmipb6LMVUTGmx7ZhRaqB8m1BX59qjH6/XCGRiLe+TUdnLQi/TeV6g9U7Ee+neYMM +AY6kpOoqFiOdtAnQ62NOVSmoremUwPN4mTV+a5Rdesk6FIGj6USPvlmxL6lpu7cAl+OgG0T1wnbx +D4quNo3BIsYIJ9NVU3g4vpKf3iQRdx9uaNjOljjCvqQ0tmq2n1WKU03D6dEmwaJUW7U7DE40hkkM +npCxfWWk+mz2mFJ/GRjcC2dxkxm6oFq53On3mW6fuFAFJm/A2/yOoT5lGbaSe0Baa4FFImOvZQoU +OKyEzW+/pHCQy1MLP/y1lgRVB2M6cKds3j2+lD0FZIYRhPJhbUkmWUPRlw+P1kcvQpL7gIqyVwLZ +5cW3vyKzfThMHwNlNzekzWN+WERGv2ZoPBVPmNWdHeobMUKwcx1Sxm854bdBqO1xe4cekN8Tc8cy +TF04U/McNrC6it0bqjBGe6hGQS9M178Ln7ooNSHoRKd7ExwPNaQ4GFLMSSPonszGozl9uhZ6PSyg +bRIuvU7kqJwJvYf+cQNnIa2ZpmiujkEvMUk2tSqZBSaaUqzlJMzEI9fCBV8qrw18dqZ4NjTqKhkU +uKeAWHlIp57rBb/dGTIAX06R94z5MFTCfoqQ+9ttwBzyB6o7xJWbZaI56jMOoKE0CHbuXWz16Sh+ +WSK6qubd3GDdksJNOB4IEaLAfvtl7WJ4fdtzo2sK6JKdeLC7VGT4evE5wfd6ximGmyqrS4pEmcrl +NTlNuFw4zfoiL85ySpor0LymwvfJzABqzIHassoQCJoOGIpq+uwBRitvCgcLj9EeGen10OaLMghW +jdR7OMFfD7vpLUyXGcLrpBvbzyh9bu8W+5BZxKEU1L6QcKZ3L/IZ9OwVADZGmAKeVVo8X9iXvAPc +TgANJE1pT7FsNPAs2hZ0eC9XjoPI1xlmnRkvmhSqedFAINO4lcQRLzCvItA7VvUM8pxzQoGDT1fv +Abx6rX3EyPKWClhF5WhfHxyGmXBL/MGue9aHRikhsQEtQf2wZYndZ0u1IGpCQugN8YiLQzLUuCEa +XIuMOuBu+5OOG92by2Y+x2wIfvzN+cghczl67I2c7lZImqNpowITqvWo9Bwn3yXY30Jqoo6coOJn +NQzPCVHy2JCHrm7u12evaTmwvRVrJrTanNhXIu1XXGH8q52eAnz/t3y+odzJxFNpG8XKaWqGjc0j +AVLbQjktcQCKBBM9ch+06Pb8ijfp355m+Xl4IO137f6Zn/PFnJKGNP2zbhlXYD9cGhUU7lMsnX1g +SrpTIyb/gGF2XVqTMIAIi89s79xBIFAZyuF81n9s4muN0+qaTepSuikKDtrYJYY0CTfUi6F6Xife +xtaW+78fm0T0ivnpo3IxYux9Myo1ybKRc8i1jIdiOjkSYw5CiHR7EDNwCZqApm0xteFPC5YXPvT1 +L8ztuufuSVFegFdER6sjGq1MSep4oB214qQ/tepfur3VPoMYC7nlZj1miBa/2BgoRyAz3hLwePZO +me+8PW0YKURQ02bMvfKY3tvvDLD8R8g9Kbtd7qpRwtuyq+fN9nYZKJAGxbsNrqkueTqceFxtb0Zi +kECkMctlUx/MyBay3R67UBYp358Vp8MCyOCAJOu1b3M5YeGd0upWS55Qsz/fS+GnCZBj5X4MikVb +jkX81u7bTSp/EcR8XaA0iHbXTo2UeZxSBwufXO81dWpJ63mlPf3NCbQTLjvc7KvhfYLVwAQqlDOW +emwqu1odvBhpS0rWkbqUxxReOKkCSo1Kv7dreq1GqGKZz8VThSFIBQ5gEKOlhDV5zHk80wVR3ZWt +IaSeF7QeDZlJqW6WQDY8Np1fAOoB5yNSFUIAlfzVOuILQF8zmwzU9/MSqw4NDLIAmomgRK5SpOC/ +haa+qeyl8+lhk78iERPBLi0ypg/RV2scG0+qK1Qtb0jv9lTVkeay07zQJmEmGlgv9+sjs1TZx62K +jCx8/uhUdW9QVYNW6XTeRD+3HZG3D4D2+o7VIboHw7Gb0tIJp8HgQm02/IbCwKF5cf8bMmOstl+O +A0TXYxNkeBaA9VU7jixRMAcKMkMg6X8eMuJSkkZzyul3MSFWU7kjCgTp32GLkNVn40uXYcSuoEnM +pV448o1Dl0CHycLIgswryT++eUReC+FuQinf75gm8PyDXmRQYWFkHNoVRgSSgM59xp347hBe5Sth +BjPVZYM9expNUOGLEi3ukx0gSYg5RvnmQCkp6QPqU5p+BCuiApq8P2w+9BMQFp5lbAgQUWvZLFf5 +FZ0oAMB/aXvYBUB5cUj7ElmJmBl6y1iSPVSlk53JczwecEIrgomba0NWCR7ddNFVh4uMlFA5iQqY +uPPECfN0bL8O1jrRFBrBR/agReZP4Un+jskzjvUxlM7PYPSR0CejitqoYWvmM91YCSA82RO2AF70 +YkmmvaVfiZ43AdwnkZ4vbzy902QbMHGhX7Y6Atj2JtsTaSkZfRlO689u4f9NY20GAykO9QBflkz+ +2JKv19h7wwfRAQm/NcuCQ1Y+s1+DDlGVHuc4KP9QLiVq4f1RTRFuHYjupJ7XnUf87FpDNsuCLqIn +1CeMOS8vWP008jA0WrD3ErwVAgmbYgvAPdYhb1ENaxhe5tARPu2Ua/dNymSWy+kNYlnXfxvG76z4 ++SQvayRjqGUe2sW1BGA+40zO+KDwQRhL3L8UYt2Id6WEtjrEP4BxYQOvJlCAbvyA3CnG8jCr74nw +ptmd9HalGFM+iUKLynczpi+X7ciZluRQBkMfFtYKOFiH7TV2+wCGCvHRvqFDr/IyHv69Ixa0w+lH +/ArGhkmhe1WwYlEL9PsR0R4JRhFrH0n9u9Gyx6eSWnThcNx0OQmQ8rUlT8OfnV8T6I1hXvPlqSpI +AdoDL0aMQjCGSshzzEYOVLDbVy5Yo5oVKTqri0074qpEPSonuiy1+gatpHAet2EJEx5QfZ8BEmSM +SI4rb5iPF298dMIwNjzFiiVVPyFmBBDqJv5GyopzdAAYUB4Uk00sNAPqYp9LrDzcCzR7U4OrkBUU +tYVQ7nvFhfrR9+SLeTedPGO8SpHAYyTo7qQQL0HsJAZE9lXUXmoTlD1ayO0Qp17XRXKhUr1prTIp +ZTYusOQlTzDKhTZZg8ncAmI44R3Rflx3yz09iKZ6oLLqO2xHCc1ThEIgSmCv0nTyaY44vPuPnsFY +61gFXas1/ya9WcVdiR9x4z7w0lbTSYjOdDB/z6b8v4A+8dI2x4tj3IlJNKOl3iOyVZ/Eu53PbvrH +QBsebN3/cb6p1p0oTPbRvC87Ews3wydIzyUyeZJMWVuSgOanA6lzah/srsQlR22vCt+Q1r5bvsQ5 +qSIstI4pBSLCsmOu7fmnFFfJzb0NLcJQNoL5n0OP9xUCxXeS4whTK48VgMqYdcX7LdcDg0UbO02J +NIdOgjgPGxC4Vh+aXKH2kSlgQZB4l3GdmPWIIe1EK8SPXCvX1L48aljbqD82gMU8LmXDM0e2ncPh +sSyQoDRwobVwqowf3HAJuc4g2VPFoef+u0tSTO0+MhX/svJ1griAFIKEijjVCNG7dSWG3XoEO+S/ +WsrpkozvY+AT+jk+7hKNkHnx7BJ1oKfrjUPO2SR1G/jvkxGxtt3DfRMDx7bzjutIY5uCsExFGGAu +7fv3HC3cAdpcIxcQlRujjdC4rqxIw7LNUXrt9XVlHFV3ywfsNA0j1hrJs53SWNKzBrvzW1RLZL+D +fOvuxiaMi21gzAejIjq53AhJ3rRdvMIXOq3Kd8TMqLC0yfbVmZjjEsTB5YrzNR6RsQdvz7z8Alz3 +c6JubVY+fSa9Y6fm4SJuV5GFKoDgB9EowdP9D9RousXjTi99JueTAY3s9WEl5M5XwXUnllRh3VSB +fPd5ECe9po9hNvdRD6OfqT/SDxXY72uDrjlrCKTNLy6YC2BfWFT+WHUCLdiMGkGTKGrXsCdsdH3o +znxiigQPPd8kVNrQx+8YWf4u30MzMMIxNIaJU2RGgz2DOvhtVZ5mchntN2ij2F4YBUTFX2JHRsP3 +iLaq3s+3MiVM4nyeCo1NdQCWq3OdmbOZ32Pt4ogNQs9H967U436WufLjYhubb2p7rpOUKHt7/VyM +4BmicdU8X1pwIza0O+LXyWVTqD5nsPHTZtp6yUPV6DDacqhy6hSxLWwvPMevFeacB2uVSwIN9B0I +FLv7ewD1moyi0i6P0apGe3+nGyenZ6+fjw1BeI4jmXxDuLn0nnol05NFH9QuRjyHTbFevYYCJ+Df +omHwWgJrOTXpCyTuKRWso2QawBziJ1BGprNpyyd0K+JHmLML+PUwkhp0lqiZyEYsLiO75x0CDIMu +HkTtXI02h9T1MOd7HuPbAXMrRorqdTM9Leu82iF4SlPolxQUmU9nhB/HZg+/3f+yDmaZnLctqAqv +mT0CHgSIrnrM4SCpOa2qtFZtVnrzCsGwppzCJn0uS/FOt7MYD4AjccFtKV5J/m3tUsFL0ZwNNtNX +St6T4fOYV7u6zIBE1jALFKUumzm3PBm+uiZYDxPsrzBl4cpnxhfOUoloJ5F4++HMWK9m0GA8yWaf +4ZhAtjQe0jTGv+DdMZE3UviaTvULhN1VNAkco+4+H9z05u7o3aQ5TR9b+S34z3BeBrPnAsGp/ews +dmNk2mUvh09cK6hu/WKtpGmNNJ0CYTrKX2fQSa1HQcFGGPTHMsLBm8UFWsURJlEynqiQTtkZF4kL +x7J0nKB6rQ7lW5kM68KmqXwt8c5SsiJllKyHMszQTJiwGsM7D+2MdKXkYKfn0TyPyTXRP4mEftVn +ETHN1y1RPsc2wGnF1JP2S0Z574uCxwiDj96IdHFi9p1bU17IFMyGBGVno04aNu4I3PnwcDCAuRBi +QaHH8+77bIo5qy6d77rGS946nQ101qsoCcuBJrkCXMG38qjPK4PQasL5YqcWIni7Jv4gP3uhJhe0 +GRUh1/gu6bjESHotwsxbWqzwUsRI/NiNd6dDBIUT5kucDuH0+yW4T+pXUdiZ8kIFjlD6f+k+NPob +eMJJybxk/JbsD3NSPE7FsGY4NUgyi/tJPssXKlrwLqg3RuFyiln4ZMOzq8sgX2y/C8o3Ve0E4cbn +yT4ruXuegk+0GC6b1aVLzluVsfz7tdB/b1ghOJfrV01Mw9su50xRvQYICe1mjDCSz7KnicBWzg8V +AjV+bpydrKvY/9WNkRlUVGSEPgvxkOja85SSQcxsFPDaQIPvq81r6jTXerZrCw7+e9JJAYN7RSuK +bsiR/4q4ye74lpexSYn5f/iBJSoOOgLSYhrZ2r1LP9ebGaTmD+wC3EcIv2Bitgar4c8sQIUKqqSa +CGad3HDNRydW6VfTwq/RY0bMvEkLPpGL6xw1lRhSV4fHLWM5AK6AH68MI0q+2BDhL75+Vnp+yT/4 +exwel6/4SdqzvmSgUUNwLCHkoQlDTdNlHO9GBLCi2S9cCYSexih/KpMMxNwcF0RqVowlxqn4fEK6 +Jj8/0uGnkmnDpiz6LLwKjuNcw+gOIjcRwqntINjXEQqIOwvZHMV3IrL40+nb79i1ecgqeYM/tjUx +TnGFUH1zq4nyoENzfaJ6PC23Us/1nJrkUdhDLp0Dzkc6DJ9X7bZ0l89vDcvnBmDBdzEAX68mqGLo +Yb3lrDkMmPr8R8ihGwgKz8qtLN9+aYWNTF46mWGd5EAhvlm5i8VgDBX7dyNK+KoNSq0CDZKjta+R +UCI9AyGFkz2zHX63m8ZqZq9UNE0Bi6RGBctqOvrLQnkyhav9V2j8N7IZY9sNG4oiLiSrNjbFQ6BK +KHiKE7IXO1EcYM6ANgMo34dWlvIcuIobRNOrbrU5zDktp/uspWta2R50w/xH5qIOLm9m6MZEqPYM +k+TmzB7BRNR8nxlcq1dGkxZpr0JZiVRLtM7bVsSiMu1Rr58A2WkhMTGfqokkbaiBqmp2O8CqrBfR +NwMO2pfF53uPUeJUJK9avgzw2UBz97A6phwGG4HSYT6y8WxGpfstgfYj5AixAO9ZRNYAfhK2IKrW +XE5Hu2oaE3cHxHBpkiboM0cu8JMlz0DX2bgUPpq6R9jZhEd1bijErF/h03x/9E8HKaKTgGgDm8Y2 +3+H2xmegPJpzVrkHUhFdT3pzh/TvG1L9SP0htsAqojxoKzxGFV77Rr9fMBmoFlp9hizU4rRVHWmC +MK2UYCxCIkeDrF2Z8cG+4chGxTITQOmhG7CJiHTcHr76ZnLSsaZH5cKJ8lc/FKbLM55s9YswmvR4 +abh8im8RwZKikk66fIB9l9pmxgh8R5wCnHFmjYpXJWgqVyjNwRPaPSvw1bA9qY/5Jg43XWhuuCIq +AnuF5em4Zd80nwERWxcCmTw23OsiOSfGhD+csDlcqlEbe+n7Dj0UaZO8BJleXU85+4zlmhPULksR +5/+0O1HsUxI2x0POce4kK5Ph83I4ObR7Ld3xMYdjWT6h/OyuWl2AHx/tQ358pv7IsuPlpQ4n9Cyj +hnYzp3nSXuIsSi/ayuFmerwahMLe/q31AKN/SNOv9bC+OB1+idpzgeuVUjl5whqErlp5o4HMJ512 +/MhpZ8FCYdO1UJMP07Ng8gy2frvbmBIoQEW/IC7txqzcRTdq6un7TAWCB+RkKLt4Xwg5UlzjKOkS +nO7MwCXh2xERFhV+5UdWmb7k7WB0XWT+tDryNg8PsHRpNDog4dfeOrjdroCron0wu6dWIoPifzjq +USXElCZTUOoUWRf38kk870tr+LiEgBnatGAATkvMLUfx1aJ87gUh5KYx0ZiLzJkUN05yWRihB9we +sM7d6UQrIomUL9wgN3TUAZnX4okkfETc8XBm2OfQVnC0ZiiUpLeJ79wYVuoeS+EKQdOKbKgtCRns +nBCJoNQ6RWiMunnFZ4JqtorqraeOUkvXSItCYtdK9WKZsTTWEHJrgOB8a85YjxV9x1df62pOb63+ +tzRymXZfy24wsCXDvXz7gaZFw1aCyqXiSwoEvfm75ih6N916GrwR/kW4uAzKkUXREkOL7Poo4wP7 +mJ81TUe97e1Vw3C3rwqGLz7MNMGExJYrDNCIUphBL6RRsAEI73W87gmmE0xf2iG5UHrMRIjKfGGB +iiCQtHTYtZstgzrqSG24IPONgLXOIDKA82b7b+Ia4ClxnPSnXQNBZJRzm9RJgVATvpU1Ec2K4oGK +t8epp4jyCaeQzYTSi3ljSXuyydkMtJSVuRQCvELRLojeH97vHf75nD0TK40N9eEKWf3Z8X8uJABJ +yDE92cwRV68r4YeG7IyC7XpaS7xboosGJz86F4FIFxjxQV6B42nZd/vK6+sif0vRzSZ3dAisKqbb +1k7f379PTTOMoRJguTgvfXQnRt13PicLJCJaatHcZLZUgqbaGG7zw0cPrqjDof9JcjXEj+Xu5965 +KQOYOSmKhCv5xW1FuRJsXE1eD7XLRZ6Pmqcrs3WAFp/F9DMCmqXJU7Z7wTZ91y0QRh82pTkZOSkn +EMcxe2wXK6P9Fvm757sg+LyELnQVTNvZS8uALDHm+8OpuXG1K/7hFEbAVvnuAUxHjvtM+aZ3tygj +V91Wve/hnzcIOWiQhrbT34XMXxHlLv7BRR0PJ8DA5cU8kQBDPkaew3TKsZWLl93eSBQ/KUuJi4g6 +1SfFVHNGfRF97WCPgBAoYT9s/5Y0553wTFJJzshot7Cp08J0wqQL22C+E/5+AH1TIeThiV/fm3uT +4CCjbbA3abSb1TEpgkWPg58/dmTpnf74u1cGplt2UOfj1w9lXk4nckGi/mjJWlcmJXxzIaQNEjtb +3MZD/sYz3sGM+6xCtEcj4p/sg1Hc6etaPSLULVDoGgMyj+GpN4i9ay6TI01cgWMOkBucvdhcz94m +ioL6ZLjMbYrOq0Nq7bfgnoCsGVk1DJRkukJ+UFqa2sUXf++7PXvZ7jLnD9eGekMyaRTTU+RKhvnv +zNQ2gSU3G5bMCdo6mNi+ocDW3nFeyAPDq4y1ilul/8wixv73MD6sk0tPRdaaBRAbrSIZx1kfL7+D +en+T0EzsK8AjHnK0LlwbMq4iV6VnPOYYd+/75LCqAdn5BLqv9NRUsz6Jbc97vQguj/WBOFwWS4Hi +D+fZ+hoCCiW1JhXVEzywAU7bEXtWa7IQQmlwQb76BQVQ1+FTzqEkLuccmsuP1RYWFAvrLKxVL+am +/cyEwmzzib/HUTFFj6Hbvao2iDsW2F+tlvOqP/vJw5eJ1H1pFfCZQ9yJkC4xL3lHFInUlltdRmgk +AEqJJQry98QMKlmhee4GacwFfrhhi6kPs7C9g6uEpKcCT8deAjb8wZeDVhL65Uk7Bh7/xDRK7GsV +Nj4Sgcgz4hYFfXdOzCdFa8ffpLkoll5Tm9CoNLvAfI8D72NfMDP5rSBWpI+2aHF56g+4mR2hIqfs +ijvzYw1r/YacpM/5mMehTBTP/mK5YVmoCoFR0dXzx6e+pSapi5s+VgoA7WwUv0sA74DU4VBUIkrT +EgdNNfHOyvlt0LWgqO4r7uaSw63G4Hn54pcPQxUVD4HZETFapcHyiR6mLa6sjBQCivWJR1S5MNu/ +6iztbOGgTgKNbafK6zU4XwRFrE5rw6nVaIR4ejz0jcivy9KmEDmL+/pTjm556R51FBJEQXQ8uGyl +NgYt1nN/Ik5KGLKhAjOcxpXP0IV/ivgP2pnFG2//PvGKeACLfV5sO6RccCiC7UXRX0Si6t1p/ooX +uToaLXXwwGqKYTQhvIyTQqoD/hFD+QqKdCj6v5Mq/ShBH+S1rL7a0JWO/uxEeF9vVa11aKZpwOSd +MnljgEyV1+aSKN+k2t7wWMzM+t8cFhGCjAC96U/yZsrJQffJVsJPLGPUMHlFMEUBQA0yDCyCTPIL +IXRpaQSzYoAK6TQpYxWlfpsdZh8JfFbxabLP31nr+NHkB7nwdqFMked1U97DvuHGVji1C/Qvh+9f +MLvTij3n/oS8s5KwdZrOr8n/bW8ZbOJCPqETOphtj5JG8Kig6ymTmAgGUoDGQUVzPXB3M6kMSoVp +v3Kt5SSPoqMGfEfDqWrD5ytLvBnMXBVhQAt21D6ERa7wvz9g8kj7lYNizsAMmj0HXKVIT59Qi9MO +U6xdOE7CGgV+ewcIMwX1wyPdxhm887aMURtpXlL70RTlgg887uBu1qvvjRAS8bjpBD12RepzfoLW +AHizcVmGcsIGiCdBYhR9ypqhnrZZ4nvmS5vu94yTvMpKDd3+KIePO57RKUdt14V4TNzAwCTD0Zfc +x8Xvb6o+1T/FdgtLtDO9oLTtZrfGAMOMQGwuhBG5jcR9B6GX73hHmHViCP/tHwjo3H2i6T++T9L6 +LQ59Z6Q+jktxe+N0dgJCEqgT4wupCbOskMC65Mg2YnXWXXEVjg6PGxMSSNOy7OguGp9A33knT3SR +pRP+SBhYE2PJU1bh2JcrkD6M0+udjIrxSbVUGRxdIT6z24OMIIXhhkhqu4wAJ9gOF/xtOeqFMgQ+ +CNQt9fzSAbzU4QrhCa7Z7aL1dMm5wditZjvOV8Jv7ie3ObSz9y/CZDmgk7NahsJPysWFXUpnHxr3 +4pYioRzHmPlsOO2oedFmE77othqVri17Fnt0ygz3EDnGhsIljvudPf3auIk4DAkmxtuT7HIEySDe +6ghdjxkDlC3sZsSP7IvVC6XHagJbtMzkQEYb4EFrpWKHCKz+u3kZRqG30G1rmYnm/y1Ujgyi//4u +DM7AQiyFAxkF8gzElFVjnOFuN4z+1rVZBvqAa8OxFWrLPCmmGoR1IMdUyGsIYujFawjAvYS0EWrp +cJiUWEFVSSKXQboIqlU3ouUTGue0x0DY/9hKWE+E5z7N2SEheiEz+JgZN9rV2zx3SPJCJx3W1QMj +j5EM4VVReKzjoxvwBbo6ywScw2HruH4kNCmp7K7jQCWTlvxZ/6lQ9zOmbWGoNBkhj+cid52hXsEb +WYYxoVAe49igPVRNEF2L4tsWyOYL9PWP6VLyNMbqemXNJo/ZvjPjyMmDKSRYVx3XdHrhpHKlnMWX +LV17eiiGvQUUO67I3nl/eMtkX2/uxmtcsXdD9AU2wr3C4LOhRbfmQrbZo+6mV31k0UHj19crSU5E +ObiRmLBDtkcWbYXZeOTzwOEnFeNXg11eheqTsJk3T/TXuV8AZj47mThoA8g2nlV1D4tViYqy7Akd +s9MjGrY1WvhvlSLiXhrq/154v6oDCG/qMkvnw8gM7hLBheH++D6ru5DOoI0TgCK4psMQgyo/4gRx +l7JjNcQMuLbjq6VRvmsT4lPT8bQUtxKflGUNGR6/BXlDzzmS3raHi+srw+oMw1grVdMrAkROsjIh +2cjTQVodZX7cxqUjum+Hu5TqoaojX48kmnaME5de3XB6uIHSMABWO9eTJcdHzNQBwD6tbu7FlgV9 +//5RdgwhKFXIlQHEa8I261PywhJpjnbI47LM49zWKMNHfdK0gPFyQHtT3P47IykMcmZc3BBSsAWJ +Rnjjc0+utxkovjX1XouFXGXfR2KtcySWMvCssZPU9AT9AzkSXM3BEnohPn9LjwpGWJV94uZWVtsR +sOn+5reThh0sM+IVrn4SKq9QCG9u//ow1dui7rVGhZ/MOTlyNlV4Fsfrayo+F1GoqQUJnvGoTC0N +tFcltFELgi7DPHRXbEcdkVcOe/JzK2dOF1jTXjp+iTfOZ3+vNXwwnW2mAJlGGtWR0iyIftW+8nv4 +BmjMKf7P1meTD9SAm1GZRWszH5VAxuW+DYOaJ61eXJYXBkf5woAagi6Xwwrqv8lOXf7SWQAkJzTz +w6r2e3TREgxkFmb+pW5pBnTpF8n0xPN0RZLc/6GS1cbHHdLf4XHBIzj2aB87giOrmZKJDIse3Gcx +3oiknNxdnDIq46TC8C0Dr39Jo22Z14COSjP/TrSTLHGQ1I/my978yd8EAw4ialWBpHWgUryYboom +FEap9P5jODMGuNAbPrxgdDFA4cew7HuZ3m8p6vGvAP9czJdznlq6GYQVu/Z/14+1KSen+GTzwrV9 +X+maYbhAIl2K3qPSFedvgng6ehE3Nb0e39segSp5MLrsTGvVnJu/kV7iZ6OeQKsHANwslWYSpQAD +T2jO6nQVOQ+Ffv5WM0MSHIaAQBFWjjJ210NYkZ0+A4R3Bki6GYB4qzGnSa5J0sf4cl+ezalaMoPG +fDfC5NWXHRjcYAQw0F9CRVh7R8XcJlCLsxnIAHzawyFdTjvMuegDZLHUx8e1UDVI3QBlm6X4Czkd +xTZGufCUxlBbMF0+UHy5mnS9TZrkYahgLocLWWNkhJWkgIChUuNHfmo5Ghtk+PCnuW1gi0Z0HCOu +gO/Hjy5euiAHzXckS1X03blyUtKQBlvH27URYOMaxGfaT/36fA3BzE60uJ8wAc8EwzJIBXs/wHCL +pvLRNrNrfTl6Ebyt6Eps4mdfFdmmzj1D4zWpQ3m1V1lPU7vbQYtwYFoU0RtE5vfOGv79FMRhUt1n +TAQ1wTtrOSe/EhL6TSGpMB+iCR3nGTGopumSb1DdzV28tkFboRqbP1z0aQws3jNtBDRlp1/L+dHS +QmrUNaZTe8j10gpcFzvzHD5Lzv/UDNzpZaO4v04zEjOC4R4Ri8TjJFj5AeY+FTZAtubDiA+Uqgvg +qAnlQUxnE7Mf5onhbajTS6t35vaqyodpwaWlthhdJSTMn67Ldz7iq9CjFzt0/E5+2r/hhEnjurJy +ENPW6nDUOIxQbLty3BySAZ7TK+rGb52XU0adzy7k6Pl8zdbRt4zA2lBMWkEwuUkBGe6b+QwIXo6o +o626+P3kS4ziPXKceFP4v910xVn1bmregd7+HxDTTLYTn96KM0gMkvGmN5a5BszpJN9Jy2ACgia7 +UZtC5u0pxqeaWwM2nLPPwFP253LC+QEi3prYlSYUufKIDv9jWIWvBoAs799gtZ89YCF78m+2XJM7 +E3izQtrU0ugbGXw0j8vkMEjFYcOXIQPQi8DzU84OyDicoyiKaIJFHVftVMkxlwiICvfDgWef4BO6 +CQvadDEbwR6mmH1NCEK4u7cx34JMHMTXLLPfrU9E/1+hhYRFYo8Ro2qxv4AWGXCP/MzrOe9sCVIn +0m/DknEZge3SybGM6WblsuR9Sw5sVRd4XqCYm8W+uld/vZEafXkZiPVKxptbFRv23js66s/pEkDO +qAe6W6YZSKtHR7Rwk+ePm9qU2yMSQxZV4sTL5GmHPFhKsgLIA9FbURiwb9v4zqSBnDDoZUQQY+wy +Pl6XgnGfLIxSa1+/goI1FWtx8LcXlsmSq4PzjknuQggeY0H8ocfsMJUhy9q9ahSS1BigFhS5AwfV +AE2UoFp3pj3ruVchYyFC0HwDLpvA0Mt+85iYu9+9pxes2EInagKEOplIIka8v2FI9Ev/KcrIWaM3 +plaZcefx81vrMucMgNcTnaBJDY6OaMeUZ8gmA/2at09ersTu1xFHpDyvIybQYfuoN+SFe5XZfmf0 +wfulI/OHD6SVje2W+bB0SfBfKCC9691nXdlEsx5eQYDcy5Cu/CKKKYvT3wY6UAHgGVQEKR91Nguw +OrotSmy/W+Qbc65lfgxhlF9CLoPa+5H8iRfwcsvoYRnttP+iyV3VODwg6sDL9rwMKOWKSb5PF6Z/ +hH4IVDpoYbxKWfMNtSCkuUC5wu3uKY5tt84FWev3c4pPBY2OG7IL08OlbFPM3KxQzWZSW+M6NWFY +tQhrlR/KDnI5oKm4sQPqukzjLHB6BD/5qzVz8G1a27j8aLeXbV+iaZkwFT+1qc+ydBoqKb7L7sfY +xvqrclGAfUP9lxhVdOKj7RU8D4e+pBeqP5Npz9kDCxSzOtuRrhd0YMKx6y60fm+7RjoVr8KW+b6w +e91c6uuRyuaALX/pwmelWvdtQ6ycCB8jJlIfK7yVjt/0POYXMqzM1GAcA8cf0p9pbuJcW4YF469v +tP5pQlUYoUqUBy5r5N9cZvoyQADJnxRlIpIMEJtYYzXgInh6iD5JvSHfwW3FXI2YdMAvxMw+Iq8I +E5MJsPKMzPafeht+QGpcqOOzWwS40al2d/sSohC35Pl7XqydDckhHFrhwUSrr9d3ctfqbASL0o45 +2GPTbyxI62x8Qvmat36dzAfjwreTWC9dsl0JWLYKN0ayyOUDkKTenBi5lBNJPK6rIKHyGk+CRMqY +UR73RJ/gL/5radgIp0JyYlrR/Z8yLXE1T9pPTnpf9Q1ni3/UKjIRa2XR8f6SCF7C/NpmRgTKlXKm +6Wiv8/V44obChHCFx1QuGWRfwi/Y8+sy++sIsV/vLfowlK9+1EYmDNG9yPpfrrzEZWBYY+TcDquj +WPMb9UtlbGEUvFqKYlf+yfKPPYjj4Ucv8EKK4ZizHLjqRXu7IuY2MDc+61UpFJ7D66VSzC5fnyrl +kSkILJc1jBSuBPxZZ2n/mEErKvVqlYl9FB7tdiyG5GLP3yVl4asZif39f+FohhNXpltb902Ho9jm +Lp9taWuo/fOMw9MnVnQKXsITQCPewnhM7FqJm5mserGX8E6dC2LfVy9R1nK7L9Tbe0Fz1quEyDlm +x5MPZEBOBKv9avhxHZ9fsaKvZeGhZxCJunOAyrD7zYD2PC7JUsh3ERhmXD2O3H+i4Rs8A0Bi9tD4 +ujZX3FgnFDrHtrOwi84sQj+hDtFLrfjxur5eHXn7hsTwNSi3ttUDPnuHsIl6k+ovMX93IupCpQI7 +pDqVjW7oMk0ULFYUB/5iGxZzkMzf2luUharugir3WLgrxcgj2Roz4tqENPuduvYGv6CtQbgFn3xc +r1cOdt7TlwT8nbCSs2fWujM0YLhf2d4y0DHL+WzRvGqGmCnn08N45a106I3bH9v8QbX/dbx33HM2 +mwks6Nn+sbPkYyWdsp9sZmQUZJeWLvsOlOKIQPytFE1iQyNzhYQQv+I8rDXW6+E4LgBC5yzyEa4w ++98n8+/60BN7w80NpgGD4fr8BLHrQq6xVKeSSTzTs7loKYrG5yFvu98aHXruZ+Gze19lBfNhyP2v +A3uktyror/s6dbzUtnBr3cHdr+VItFN/IQZtrNFRPvizIrzOZyRw4I51g9VNazZdV/xFr8QkjRUy +RnQuEmiRKhDRZ/8LBViSM1pfcuBlrV2m/4UU2mxPrPfSAbQP5gT29fwxgkQ63igLk8jaHkqSxea5 +/z9k1DQ+5PgBkz9WK+DSDUCBxeIXiYws9xBsaKzSgJUnZsgcI8PsTMr7m6Oogz9O+ykGBGgiMNCm +APEX3U+FFUKHGL9Zg5VcFyvuWYe7phZufh0O9ZXXkqKS8xpLXkIfzyUXBVWylBUmqz6t/NOt2zDb +k+tnmfUldUEc/eswXlpXHXOgT6hFURg1LDs1nB0yBRwSmygWzCOw80ofjdl2HZ05NVIUbviVlAuR +IYnUQvvw2cVk7l5i/JwyCwErBMCRX01H7jo0MXE0lNEV3SFUqME16fMo908oazBW1W8HIceHEOIy +9vZMOgiqk/whpBHz/i/6ls0YjAeSm1bpFv/jz/ihM9Nc3/0ojRAmBBqwCO47gh5PD0EP0t0gbZu+ +Jz0dvQW9xS6oddQUycERjr5h2JriO0VWCEG7yIzsH6nwSOwR6GUTN8th5Ku7Q5vQ7cf0sapoMjWE +Fp/Ctfcvkxsui4t9V4iFOBI6nLOt2sT+t8EgPuyFefwN3XFFApXMBa8eolJCdmf+DFPPZ2LxinFZ +XvI0pY2Ym06lY6aPSnJhiLwCoi2XAz6ZwQvum0k58XThJTG2Iv8GWcX3lIzkFB/bpRFVSuyBTzTc +UaPpZyDZhNgcAThU9nkDUWgX/naScsXQ5dAO82T3O+/qKHPS4/OoqhJumEYzEeRxnW3BDcs9dyTh +12ODF2LXoOawWfv9LwpCSYqb9D4pquBrj3Gn2YD0EAGvSAcKKQhD5E+Xdp7fnHaA7TgmQPi65HRT +MF8vlM5NtsdPQxe5gP4zcPST2Ldy7wuEpT3Uiom+rlLDVmHfqiSHlnmRYWw3UQ0ake4T4XnSzaR0 +26IeDNt+3TsUqeC+5TeFYKZHxI+lHUC4IaHLx1+McSe5HQyVGoV62Ua9GLLdJ0EeWuyRLdHbJX5E +mcXlbPjOLG0wQe2AbBwvsyRrvYvyIRO5RkvSKoxYcmrCJpLZ0aymK76Ck2zJeblApzODsxe/z12k +KbAIC6iKpc7kcynyeC+q6LmcbVJt02ZQPAjC83978yqxWo6R9UxARBw5n993CgfYSyvELwvFwRXL +d3iVLjmsungjU+FAJUr6S5Z7b8InE7QDRLi9F/mVMMv+YwOgNWTuQNzphBqkQa3ae163vlpMloza +2vr0cKdLXO+5l3B05i3ncCLfT5ZHvmteAAVOOs5HmN678z0MWZtBwCsZlMFASzCA0n4u1PpLnGiV +nL/WkenPzidqeI4/522DliT7z0D6UVEuri7lQMhviqZ55Q0S8tOq+rmlRgOL4YTk4+KNe+7LbCyv +c1+WQMfJuhJ0i8elrbl6C+1gZD1+3gtbmzuE8A0cvI+wXoFr7lvx5INxhzb60pDaF3HVM980emoX +mIKpLeLDDLlfOIVYm/FW+aTebdX00x5D5fPzppzL9zxTIol3BSzH4ywI3zeq0B6St4Z8CKLoarND +t3vGSrt8v0AAtxPQwCNTecDInrEbjkxBPvwmpwnfG4gWzrnNrkZspx4tuPRglDSyY1i54WuS3wTZ +vN56mRajArn741mdBHm1u61arfNRT4z2gaczW1LIo3SVYJA6t/oJvcXYUyWj6FmXKqaDqTeZ+WOp +safk+zktCqujq2LSEwH+36035EZ5i/3TcBcGu8OGTVUmfTUAnelqFE92fJwxKvHohwm/OHzLBbUs +wJLTscrsI9FtwCwVHT1D8rB+eEdZ8AxPoau3NXvddcT/Vs93i0eefMPYF9OGymLsCjZbnsaj9AwC +jh7cMPp6bCK9ic9RqncFJO7Qc/z5o0CP6srmc3qYnnyvI7G6305glZRokhDPPfSCpMKigtX0D1qz +nVnc2d6pG7XjnuBZUeBvPzGU8Nnes8a0PZtFRve+7IPbgoGD1Cgwa+bZGnZLN7QjSj4wP6L3+EMa +p+9oCmnCpMAC2zNcK0KtDgJeQ2jKa0t1FyoOlEac/zCM4FbfECWQsnvAyTW4WfXh6feLk6EgiBxf +RIpLYQDFAReEZ+MPVCNruHXMaxyT8yPy1C20l/LvthTeauHeYRUCNg7Crb/1JBGwBo7uDnK2jahK +8/S7GX2psyK9Ccft8gVcCOFgu3RbEk57GLSYki5hSVT6CshcKTtZ4PLtxrkhy+cSazG/8jkmAkq8 +fXHK7oUc4Q336+uluxr4U1mZgYLRrR9eM2rVmz41SCoJz5aqVdrABFSyVc9F7AFjqHbneK3OitHY ++kXiZZKS+i87+WIrJ2ZdJYilO8tMKt9POKyFxF/o8KjkBCdD68XM9upi7Ltiw3sAdSpNusUDlvCf +DXL1ksZ4RmlfFNfZG9G5Nq/P6IMDxXU/5muiI/E3yCYsJNHH63Jfei6IM52WTRTR1PnXdF63D4ow +Jt0TMQUlDN884EmFLNigyP/dIRofSfLcrnUtEF6kDxKH/o2+duJDapI8f9MA1nRBgvNhteDyjGhw +yVYoI71ACdVmU+BnhuMAJte9uUGbe6K+LTJAXk9dpc3mdlIle2Lg3qdbBhc8U8G0eLzXi52iREcW +VO/pqmjqEm2cruc0G6/bNsQXo1gkl01zlf/Qbb45kHOQQoXyEuacxCwq1VhqEpt96XKCxvM8zOz0 +n2OUg4eiR3WfvhU5BAaVciyOwvH2x6mbqImyVlvpL1pGA3qvP65PmZcPbn0PxsujG7+47PXwGlFm +Kw8nufi5ccViDFW+R9k5EDdSTBF6XBHWH4xdOynq3urRirXix2nJfY6FMGubLLYmI96efSg/MzFH +uJbA5M48NgEGDRBmU8dG46iq0hv62n//i3Qta5IWKoO+9edPFODQLdMENHIyWLQzui2osdiDxTKW +m9lmWs3Mx4CF1L2u/lLiBqQ6RhMovxrdAK+wnOXj2KpeyD9hfr8O28S465TGz1XyLeOBynvKn+Zd +K+9T1RsdleSy5adjgX91+SqyzU+PJLfec0q3YfulfSt1k0IL67A1ec6djPwRZUg9uO1c8/eMMlOZ +aLoZxO7pQxI= +`protect end_protected +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity keyboard_dist_mem_gen_0_0 is + port ( + a : in STD_LOGIC_VECTOR ( 5 downto 0 ); + d : in STD_LOGIC_VECTOR ( 5 downto 0 ); + dpra : in STD_LOGIC_VECTOR ( 5 downto 0 ); + clk : in STD_LOGIC; + we : in STD_LOGIC; + dpo : out STD_LOGIC_VECTOR ( 5 downto 0 ) + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of keyboard_dist_mem_gen_0_0 : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of keyboard_dist_mem_gen_0_0 : entity is "keyboard_dist_mem_gen_0_0,dist_mem_gen_v8_0_13,{}"; + attribute downgradeipidentifiedwarnings : string; + attribute downgradeipidentifiedwarnings of keyboard_dist_mem_gen_0_0 : entity is "yes"; + attribute x_core_info : string; + attribute x_core_info of keyboard_dist_mem_gen_0_0 : entity is "dist_mem_gen_v8_0_13,Vivado 2021.2"; +end keyboard_dist_mem_gen_0_0; + +architecture STRUCTURE of keyboard_dist_mem_gen_0_0 is + signal NLW_U0_qdpo_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); + signal NLW_U0_qspo_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); + signal NLW_U0_spo_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); + attribute C_FAMILY : string; + attribute C_FAMILY of U0 : label is "artix7"; + attribute C_HAS_CLK : integer; + attribute C_HAS_CLK of U0 : label is 1; + attribute C_HAS_D : integer; + attribute C_HAS_D of U0 : label is 1; + attribute C_HAS_DPO : integer; + attribute C_HAS_DPO of U0 : label is 1; + attribute C_HAS_DPRA : integer; + attribute C_HAS_DPRA of U0 : label is 1; + attribute C_HAS_QDPO : integer; + attribute C_HAS_QDPO of U0 : label is 0; + attribute C_HAS_QDPO_CE : integer; + attribute C_HAS_QDPO_CE of U0 : label is 0; + attribute C_HAS_QDPO_CLK : integer; + attribute C_HAS_QDPO_CLK of U0 : label is 0; + attribute C_HAS_QDPO_RST : integer; + attribute C_HAS_QDPO_RST of U0 : label is 0; + attribute C_HAS_QDPO_SRST : integer; + attribute C_HAS_QDPO_SRST of U0 : label is 0; + attribute C_HAS_QSPO : integer; + attribute C_HAS_QSPO of U0 : label is 0; + attribute C_HAS_QSPO_RST : integer; + attribute C_HAS_QSPO_RST of U0 : label is 0; + attribute C_HAS_QSPO_SRST : integer; + attribute C_HAS_QSPO_SRST of U0 : label is 0; + attribute C_HAS_SPO : integer; + attribute C_HAS_SPO of U0 : label is 0; + attribute C_HAS_WE : integer; + attribute C_HAS_WE of U0 : label is 1; + attribute C_MEM_TYPE : integer; + attribute C_MEM_TYPE of U0 : label is 4; + attribute C_REG_DPRA_INPUT : integer; + attribute C_REG_DPRA_INPUT of U0 : label is 0; + attribute c_addr_width : integer; + attribute c_addr_width of U0 : label is 6; + attribute c_default_data : string; + attribute c_default_data of U0 : label is "0"; + attribute c_depth : integer; + attribute c_depth of U0 : label is 64; + attribute c_elaboration_dir : string; + attribute c_elaboration_dir of U0 : label is "./"; + attribute c_has_i_ce : integer; + attribute c_has_i_ce of U0 : label is 0; + attribute c_has_qspo_ce : integer; + attribute c_has_qspo_ce of U0 : label is 0; + attribute c_mem_init_file : string; + attribute c_mem_init_file of U0 : label is "keyboard_dist_mem_gen_0_0.mif"; + attribute c_parser_type : integer; + attribute c_parser_type of U0 : label is 1; + attribute c_pipeline_stages : integer; + attribute c_pipeline_stages of U0 : label is 0; + attribute c_qce_joined : integer; + attribute c_qce_joined of U0 : label is 0; + attribute c_qualify_we : integer; + attribute c_qualify_we of U0 : label is 0; + attribute c_read_mif : integer; + attribute c_read_mif of U0 : label is 1; + attribute c_reg_a_d_inputs : integer; + attribute c_reg_a_d_inputs of U0 : label is 0; + attribute c_sync_enable : integer; + attribute c_sync_enable of U0 : label is 1; + attribute c_width : integer; + attribute c_width of U0 : label is 6; + attribute is_du_within_envelope : string; + attribute is_du_within_envelope of U0 : label is "true"; +begin +U0: entity work.keyboard_dist_mem_gen_0_0_dist_mem_gen_v8_0_13 + port map ( + a(5 downto 0) => a(5 downto 0), + clk => clk, + d(5 downto 0) => d(5 downto 0), + dpo(5 downto 0) => dpo(5 downto 0), + dpra(5 downto 0) => dpra(5 downto 0), + i_ce => '1', + qdpo(5 downto 0) => NLW_U0_qdpo_UNCONNECTED(5 downto 0), + qdpo_ce => '1', + qdpo_clk => '0', + qdpo_rst => '0', + qdpo_srst => '0', + qspo(5 downto 0) => NLW_U0_qspo_UNCONNECTED(5 downto 0), + qspo_ce => '1', + qspo_rst => '0', + qspo_srst => '0', + spo(5 downto 0) => NLW_U0_spo_UNCONNECTED(5 downto 0), + we => we + ); +end STRUCTURE; diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.v similarity index 63% rename from srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.v rename to srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.v index 8cee0001..c39d95f1 100644 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.v @@ -1,11 +1,11 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 12:16:50 2021 +// Date : Tue Dec 28 18:57:06 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub -// v:/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.v -// Design : audio_DC_blocker_0_0 +// v:/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.v +// Design : keyboard_dist_mem_gen_0_0 // Purpose : Stub declaration of top-level module interface // Device : xc7a100tcsg324-1 // -------------------------------------------------------------------------------- @@ -13,13 +13,13 @@ // This empty module with port declaration file causes synthesis tools to infer a black box for IP. // The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. // Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "DC_blocker,Vivado 2021.2" *) -module audio_DC_blocker_0_0(clk, ce, mute, sample_rate, din, dout) -/* synthesis syn_black_box black_box_pad_pin="clk,ce,mute,sample_rate,din[15:0],dout[15:0]" */; +(* x_core_info = "dist_mem_gen_v8_0_13,Vivado 2021.2" *) +module keyboard_dist_mem_gen_0_0(a, d, dpra, clk, we, dpo) +/* synthesis syn_black_box black_box_pad_pin="a[5:0],d[5:0],dpra[5:0],clk,we,dpo[5:0]" */; + input [5:0]a; + input [5:0]d; + input [5:0]dpra; input clk; - input ce; - input mute; - input sample_rate; - input [15:0]din; - output [15:0]dout; + input we; + output [5:0]dpo; endmodule diff --git a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.vhdl similarity index 50% rename from srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.vhdl rename to srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.vhdl index fd55c737..13c4f8ed 100644 --- a/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.vhdl @@ -1,35 +1,35 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 12:16:50 2021 +-- Date : Tue Dec 28 18:57:06 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub --- v:/srcs/sources/bd/audio/ip/audio_DC_blocker_0_0/audio_DC_blocker_0_0_stub.vhdl --- Design : audio_DC_blocker_0_0 +-- v:/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/keyboard_dist_mem_gen_0_0_stub.vhdl +-- Design : keyboard_dist_mem_gen_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -entity audio_DC_blocker_0_0 is +entity keyboard_dist_mem_gen_0_0 is Port ( + a : in STD_LOGIC_VECTOR ( 5 downto 0 ); + d : in STD_LOGIC_VECTOR ( 5 downto 0 ); + dpra : in STD_LOGIC_VECTOR ( 5 downto 0 ); clk : in STD_LOGIC; - ce : in STD_LOGIC; - mute : in STD_LOGIC; - sample_rate : in STD_LOGIC; - din : in STD_LOGIC_VECTOR ( 15 downto 0 ); - dout : out STD_LOGIC_VECTOR ( 15 downto 0 ) + we : in STD_LOGIC; + dpo : out STD_LOGIC_VECTOR ( 5 downto 0 ) ); -end audio_DC_blocker_0_0; +end keyboard_dist_mem_gen_0_0; -architecture stub of audio_DC_blocker_0_0 is +architecture stub of keyboard_dist_mem_gen_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "clk,ce,mute,sample_rate,din[15:0],dout[15:0]"; -attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "DC_blocker,Vivado 2021.2"; +attribute black_box_pad_pin of stub : architecture is "a[5:0],d[5:0],dpra[5:0],clk,we,dpo[5:0]"; +attribute x_core_info : string; +attribute x_core_info of stub : architecture is "dist_mem_gen_v8_0_13,Vivado 2021.2"; begin end; diff --git a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/sim/audio_audio_filter_control_0_0.v b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/sim/keyboard_dist_mem_gen_0_0.v similarity index 64% rename from srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/sim/audio_audio_filter_control_0_0.v rename to srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/sim/keyboard_dist_mem_gen_0_0.v index 862cc35c..c19c031c 100644 --- a/srcs/sources/bd/zxnexys/srcs/sources/bd/audio/ip/audio_audio_filter_control_0_0/sim/audio_audio_filter_control_0_0.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/sim/keyboard_dist_mem_gen_0_0.v @@ -47,66 +47,78 @@ // DO NOT MODIFY THIS FILE. -// IP VLNV: xilinx.com:module_ref:audio_filter_control:1.0 -// IP Revision: 1 +// IP VLNV: xilinx.com:ip:dist_mem_gen:8.0 +// IP Revision: 13 `timescale 1ns/1ps -(* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) -module audio_audio_filter_control_0_0 ( - cx, - cx0, - cx1, - cx2, - cy0, - cy1, - cy2, - iir_ce, - iir_sample_ce, - dc_ce, - dc_sample_rate, - dc_mute, +module keyboard_dist_mem_gen_0_0 ( + a, + d, + dpra, clk, - reset + we, + dpo ); -output wire [39 : 0] cx; -output wire [7 : 0] cx0; -output wire [7 : 0] cx1; -output wire [7 : 0] cx2; -output wire [23 : 0] cy0; -output wire [23 : 0] cy1; -output wire [23 : 0] cy2; -output wire iir_ce; -output wire iir_sample_ce; -output wire dc_ce; -output wire dc_sample_rate; -output wire dc_mute; -(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME clk, ASSOCIATED_RESET reset, FREQ_HZ 12280700, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN audio_clk_audio, INSERT_VIP 0" *) -(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 clk CLK" *) +input wire [5 : 0] a; +input wire [5 : 0] d; +input wire [5 : 0] dpra; input wire clk; -(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME reset, POLARITY ACTIVE_HIGH, INSERT_VIP 0" *) -(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 reset RST" *) -input wire reset; +input wire we; +output wire [5 : 0] dpo; - audio_filter_control #( - .CLK_RATE(12280700), - .flt_rate(7056000) + dist_mem_gen_v8_0_13 #( + .C_FAMILY("artix7"), + .C_ADDR_WIDTH(6), + .C_DEFAULT_DATA("0"), + .C_DEPTH(64), + .C_HAS_CLK(1), + .C_HAS_D(1), + .C_HAS_DPO(1), + .C_HAS_DPRA(1), + .C_HAS_I_CE(0), + .C_HAS_QDPO(0), + .C_HAS_QDPO_CE(0), + .C_HAS_QDPO_CLK(0), + .C_HAS_QDPO_RST(0), + .C_HAS_QDPO_SRST(0), + .C_HAS_QSPO(0), + .C_HAS_QSPO_CE(0), + .C_HAS_QSPO_RST(0), + .C_HAS_QSPO_SRST(0), + .C_HAS_SPO(0), + .C_HAS_WE(1), + .C_MEM_INIT_FILE("keyboard_dist_mem_gen_0_0.mif"), + .C_ELABORATION_DIR("./"), + .C_MEM_TYPE(4), + .C_PIPELINE_STAGES(0), + .C_QCE_JOINED(0), + .C_QUALIFY_WE(0), + .C_READ_MIF(1), + .C_REG_A_D_INPUTS(0), + .C_REG_DPRA_INPUT(0), + .C_SYNC_ENABLE(1), + .C_WIDTH(6), + .C_PARSER_TYPE(1) ) inst ( - .cx(cx), - .cx0(cx0), - .cx1(cx1), - .cx2(cx2), - .cy0(cy0), - .cy1(cy1), - .cy2(cy2), - .iir_ce(iir_ce), - .iir_sample_ce(iir_sample_ce), - .dc_ce(dc_ce), - .dc_sample_rate(dc_sample_rate), - .dc_mute(dc_mute), + .a(a), + .d(d), + .dpra(dpra), .clk(clk), - .reset(reset) + .we(we), + .i_ce(1'D1), + .qspo_ce(1'D1), + .qdpo_ce(1'D1), + .qdpo_clk(1'D0), + .qspo_rst(1'D0), + .qdpo_rst(1'D0), + .qspo_srst(1'D0), + .qdpo_srst(1'D0), + .spo(), + .dpo(dpo), + .qspo(), + .qdpo() ); endmodule diff --git a/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/synth/keyboard_dist_mem_gen_0_0.vhd b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/synth/keyboard_dist_mem_gen_0_0.vhd new file mode 100644 index 00000000..41b780b8 --- /dev/null +++ b/srcs/sources/bd/keyboard/ip/keyboard_dist_mem_gen_0_0/synth/keyboard_dist_mem_gen_0_0.vhd @@ -0,0 +1,187 @@ +-- (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-- DO NOT MODIFY THIS FILE. + +-- IP VLNV: xilinx.com:ip:dist_mem_gen:8.0 +-- IP Revision: 13 + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.numeric_std.ALL; + +LIBRARY dist_mem_gen_v8_0_13; +USE dist_mem_gen_v8_0_13.dist_mem_gen_v8_0_13; + +ENTITY keyboard_dist_mem_gen_0_0 IS + PORT ( + a : IN STD_LOGIC_VECTOR(5 DOWNTO 0); + d : IN STD_LOGIC_VECTOR(5 DOWNTO 0); + dpra : IN STD_LOGIC_VECTOR(5 DOWNTO 0); + clk : IN STD_LOGIC; + we : IN STD_LOGIC; + dpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) + ); +END keyboard_dist_mem_gen_0_0; + +ARCHITECTURE keyboard_dist_mem_gen_0_0_arch OF keyboard_dist_mem_gen_0_0 IS + ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; + ATTRIBUTE DowngradeIPIdentifiedWarnings OF keyboard_dist_mem_gen_0_0_arch: ARCHITECTURE IS "yes"; + COMPONENT dist_mem_gen_v8_0_13 IS + GENERIC ( + C_FAMILY : STRING; + C_ADDR_WIDTH : INTEGER; + C_DEFAULT_DATA : STRING; + C_DEPTH : INTEGER; + C_HAS_CLK : INTEGER; + C_HAS_D : INTEGER; + C_HAS_DPO : INTEGER; + C_HAS_DPRA : INTEGER; + C_HAS_I_CE : INTEGER; + C_HAS_QDPO : INTEGER; + C_HAS_QDPO_CE : INTEGER; + C_HAS_QDPO_CLK : INTEGER; + C_HAS_QDPO_RST : INTEGER; + C_HAS_QDPO_SRST : INTEGER; + C_HAS_QSPO : INTEGER; + C_HAS_QSPO_CE : INTEGER; + C_HAS_QSPO_RST : INTEGER; + C_HAS_QSPO_SRST : INTEGER; + C_HAS_SPO : INTEGER; + C_HAS_WE : INTEGER; + C_MEM_INIT_FILE : STRING; + C_ELABORATION_DIR : STRING; + C_MEM_TYPE : INTEGER; + C_PIPELINE_STAGES : INTEGER; + C_QCE_JOINED : INTEGER; + C_QUALIFY_WE : INTEGER; + C_READ_MIF : INTEGER; + C_REG_A_D_INPUTS : INTEGER; + C_REG_DPRA_INPUT : INTEGER; + C_SYNC_ENABLE : INTEGER; + C_WIDTH : INTEGER; + C_PARSER_TYPE : INTEGER + ); + PORT ( + a : IN STD_LOGIC_VECTOR(5 DOWNTO 0); + d : IN STD_LOGIC_VECTOR(5 DOWNTO 0); + dpra : IN STD_LOGIC_VECTOR(5 DOWNTO 0); + clk : IN STD_LOGIC; + we : IN STD_LOGIC; + i_ce : IN STD_LOGIC; + qspo_ce : IN STD_LOGIC; + qdpo_ce : IN STD_LOGIC; + qdpo_clk : IN STD_LOGIC; + qspo_rst : IN STD_LOGIC; + qdpo_rst : IN STD_LOGIC; + qspo_srst : IN STD_LOGIC; + qdpo_srst : IN STD_LOGIC; + spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); + dpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); + qspo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); + qdpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) + ); + END COMPONENT dist_mem_gen_v8_0_13; + ATTRIBUTE X_CORE_INFO : STRING; + ATTRIBUTE X_CORE_INFO OF keyboard_dist_mem_gen_0_0_arch: ARCHITECTURE IS "dist_mem_gen_v8_0_13,Vivado 2021.2"; + ATTRIBUTE CHECK_LICENSE_TYPE : STRING; + ATTRIBUTE CHECK_LICENSE_TYPE OF keyboard_dist_mem_gen_0_0_arch : ARCHITECTURE IS "keyboard_dist_mem_gen_0_0,dist_mem_gen_v8_0_13,{}"; + ATTRIBUTE CORE_GENERATION_INFO : STRING; + ATTRIBUTE CORE_GENERATION_INFO OF keyboard_dist_mem_gen_0_0_arch: ARCHITECTURE IS "keyboard_dist_mem_gen_0_0,dist_mem_gen_v8_0_13,{x_ipProduct=Vivado 2021.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dist_mem_gen,x_ipVersion=8.0,x_ipCoreRevision=13,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_ADDR_WIDTH=6,C_DEFAULT_DATA=0,C_DEPTH=64,C_HAS_CLK=1,C_HAS_D=1,C_HAS_DPO=1,C_HAS_DPRA=1,C_HAS_I_CE=0,C_HAS_QDPO=0,C_HAS_QDPO_CE=0,C_HAS_QDPO_CLK=0,C_HAS_QDPO_RST=0,C_HAS_QDPO_SRST=0,C_HAS_QSPO=0,C_HAS_QSPO_CE=0,C_HAS_QSPO_RST=0,C_HAS_QSPO_SRST=0,C_HAS_SPO=0,C_HAS_WE=1,C" & +"_MEM_INIT_FILE=keyboard_dist_mem_gen_0_0.mif,C_ELABORATION_DIR=./,C_MEM_TYPE=4,C_PIPELINE_STAGES=0,C_QCE_JOINED=0,C_QUALIFY_WE=0,C_READ_MIF=1,C_REG_A_D_INPUTS=0,C_REG_DPRA_INPUT=0,C_SYNC_ENABLE=1,C_WIDTH=6,C_PARSER_TYPE=1}"; +BEGIN + U0 : dist_mem_gen_v8_0_13 + GENERIC MAP ( + C_FAMILY => "artix7", + C_ADDR_WIDTH => 6, + C_DEFAULT_DATA => "0", + C_DEPTH => 64, + C_HAS_CLK => 1, + C_HAS_D => 1, + C_HAS_DPO => 1, + C_HAS_DPRA => 1, + C_HAS_I_CE => 0, + C_HAS_QDPO => 0, + C_HAS_QDPO_CE => 0, + C_HAS_QDPO_CLK => 0, + C_HAS_QDPO_RST => 0, + C_HAS_QDPO_SRST => 0, + C_HAS_QSPO => 0, + C_HAS_QSPO_CE => 0, + C_HAS_QSPO_RST => 0, + C_HAS_QSPO_SRST => 0, + C_HAS_SPO => 0, + C_HAS_WE => 1, + C_MEM_INIT_FILE => "keyboard_dist_mem_gen_0_0.mif", + C_ELABORATION_DIR => "./", + C_MEM_TYPE => 4, + C_PIPELINE_STAGES => 0, + C_QCE_JOINED => 0, + C_QUALIFY_WE => 0, + C_READ_MIF => 1, + C_REG_A_D_INPUTS => 0, + C_REG_DPRA_INPUT => 0, + C_SYNC_ENABLE => 1, + C_WIDTH => 6, + C_PARSER_TYPE => 1 + ) + PORT MAP ( + a => a, + d => d, + dpra => dpra, + clk => clk, + we => we, + i_ce => '1', + qspo_ce => '1', + qdpo_ce => '1', + qdpo_clk => '0', + qspo_rst => '0', + qdpo_rst => '0', + qspo_srst => '0', + qdpo_srst => '0', + dpo => dpo + ); +END keyboard_dist_mem_gen_0_0_arch; diff --git a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0.dcp index cd28b3ed3006d8473b49484de5110ff267107285..cddf4862dd4a32fbca20e3a3e37d19e8028bf0e9 100644 GIT binary patch delta 18531 zcmY(qV~{3Ku=YK+ZQHhO+qUg{Y-`81ZSUB&xnu2E@BYt;c%L{Qy5p)}XJ)ISqB^rW zybw6D5E!5&3krq?1Ox;HB;lH)s)H{Iu>lMOqy-KH1o@AenL06e+1sTp>!)Nfp+W3l zzSFYkwPH2_*Sg`mOA6?nQ*i}$KhvNR*HmV3A<=z*1ZQ85x#|2N&vDJeGr*!iT%iWV zOvX%Yt}Lol^3HgoSPdE;V=b2pEGJ|a1-6~^0tl!Vuw3?LXXtvGlR9>D7j8S$8}FmxXn-h;jQJHLa3wo+%%vD`F7pR=1`0KG z1Yk3*Xev=R3h#y|IJsBxv$u zbn#HeRltrmbXBb;yYUd|$Z^cU(4t3pmFx26t%tAH;+$yQ5ziJ+ZoxhE(U=ck$@kus zhrRN~O{eV0e&YVizl>0Xqt=hnW9l{MVe7HiWq}!RUu2%Gp_j?^xstv8^=UclgWh}l ze|x}pOTz<43Ni>az;SCl`76&*`1SWFL=&@gZ`=$Bfwn@+T9P06NVac?o=Cb?HZFfp z>eVoB#!ugsL$sM-m0>EM3k9%+ToT_E&&rDW$_$A&mO?S^neMAwFW%S^QH~%OXUy># zjT7ig+7VvSQG#(#bUN&qr`{O<=2b5g;cxACUSU7O(WSRnfWTH>X+(kne%xGbhq9 z;NYGo#P~b!loLM!hSk|YN5loYYqBxJ1OkzAL2X`xDd(>go5x{$Zo)ZC?G29V+$(Id| z;^Cu}B@=&0eE*L~Ews(Yhv&MC=c2bS7K8fupSMoTZ!JQ}n2(63d%hgQu_JM>9K)CE zqaC!%(?95CKFH*j!-}&zY%n)RRs^-hV@&4=5w}vy0Oo^ZhsGRw$NZe%Bfmd+V&{gk zt&##!W=!HJCt)pF&kmO=6gbutUkV@lmM-DC>|N4I>Wl8Wo1xa4ty(Gi@3ebo{}l1! zm0FUYiO0BO?Zr|SHgBZ;^%Ge-=Z#pRLZIx}`7U2LDMz;Mby8TP&s)BRp1Wt8y=R}T zw8~Lk0dSR5a8vFK0IbwM81+|}S}$bF+vsUQ)Z79%uCA2C0c%Rix+G7hsqybQtWVUU z6VwF(5CHryII?n}ckeyktwNvOsbx?pw-tw5r6O6_C=^b$jY28kqj015)_#z$8EzKF z9&SmDDPVRFUrpU*6N-5Wk|-gaEQ?Z!AM*`kKyowS_t?~Ns8G7@YvsJ+y%;=tW4H%b z{E;(>86LyvpbAzkJ=Gv$u}r=3H%S z0WfgW?LbYHhX@?HhI}u>qMv*(XGBVSq z7RpEw)lRjv-1Nk2H8r`!60)^?!g+@WY0=dM1{j(Bu{nny^?&8}Y6?iZOiSiSl*~JX2}w4E+5)^S*iIzukGt z@s-4t<|w;t-VBh{*O%2p5Gw~YD+d|t!^L3F4~zDfjeq>m{G@O${HKwtPiA;B0Q@z# zW6D>-N=l|HHpRP5YZ;K(@#W@RD-Sr27xlN>uIvdL| zM4RaJo4OAy4iAXl*niu-F9ZO$YsBo!t;=^RzJdsjsE|`j5|DlSiLgr)mx-q>%DTA* z-GEhY*zn>dWlJq5;K5G_@lT^Gz#C=VC9$tph^NBM&_p|G6XbPtS@Rv(j}yNOM3A=? zB~Q#%4n>Uu6x21bwW<$&?99ud>V&}hHJ0DgnpP)l@g^Hj{LqqFmL zaj{Yg(mHHHzWgm_x^h)IBb7@}d9+bxGPt!JMSb&i$bV^%l^nGX_P~Ham_6{+sU5nl1-@lgBMN6tjVHeb4LvKXX<&&zibgHV- zHPcwMx3SGv&rK#}%0JF@BfO1eu+>||Ru>kV;^{}qhwMJyELAcDY^gK8S+%3h%&rDh zCgtE7s@AR1G}2sfTFGU(uM4`?msC?H3i{_{22;OY#cEs zSF`*EhO)cp6bjkkZ#I@@ZGMOBOJa$m@8Ec|6qC3KbQ&m50Vr7gX&WMLHtHY zH(ZjgxcqbnRk8NBrA7OwKWzaRUDGK8e=3U|9JyfhzKM-rY<;9;zD#rx!9O8XG%1cw z0go8{ixn%`t2&QHwcd;pwivL_cNbWUFdHs%sximM!}3G*oQWwQN@~ zi(4U}cCuFIUB`s@3J}i6Lg;H}bAqT_Q!AuAfb z2CZq>CGJRFq^&E)tt)^^cr{&64c()YiWxpfRyACo1gD&mR>TAA$HSB0_%nb6p!3rl zi*e90PZ!XRRCF((iTS<3TRc29i#va|PKRk4opmBHvvdYP3@aLJ-elpY!{& zt^No?3y!l#NU%U+6U4nX6ZAp{zyi74YJyN+tw!6ew z^P0omz_`0Xn%ilXFfoT3o0Q?l$uo5u%5%I7xcRd}6@;xwVK zI-)P7R^z8%LZdEWD5S3BpODCAo1Y9^{8ZtvI&NbWl>se-qrzpY=|9sA6-xsyRgmN8 zo0=WU=&TE0fdObX!#=9tdA+?=*+n*8oD8V2ny5|h$)F!$!NXQ&&$$+o`x;CPsZL&M z^BkxLnPXI5k!d5wq|H|+cXsk2Xu>=szn9BS0 z&URSdz|1ptvtc5$hYoef$yqSey2cn#kju*{PO@zzN>i&-m{~VDlgmzF$0SvV4KaT1 zefQ#u!2+mhB}DX7EXI&5mslDuW>bi|q^!yeAw#*_&}X2-^N}&Sm}^499NI373UM=d zw5+)GAYp55&y5PRli)PCp60Jei8Y!N3h1_LtP$!qy38^}ktU1x%h<$Eg3`I`LMIo_RPsFYIc zYDCYYVMhN(2eFYgmIxH#8dva&lH+bRV`V*3S-VM>hK73fp zit2&qvLr7&4+0+#3d;r`3_#~kJ*tY90QaUdI~P&U%WGfKGYF)HEuSVzB$*gK!R!v>JX8?s^@j)7Yj;I2QYt+vDHd?OA?PReL zSG+5=QRlB2OFnj$6;h*CVgd#Ws?kv&ah6LrD%3cVV^pX0k)c+vz6c^qCo9DtbO|R= z%JQLJuB!SnJ*~ z#xAB-*m%iAO=7^Qi505A#8=#Z5|PS`I?1T9du>!^Kcg9lqgB=JN8-%UvJ_FpO-It8 zN^2)fQmoJvDFNg`B$vGel99#FEhW@411BRVF@XPtApwuC5YI~C2w7Z>tzdCZLr$Y7 zsf-j+hs9HlA)~T~@GoN^l@U<1lvZnlsw9C)V|EgwS1D=1{u|U4OZCQ-8(KjZKk0B( z9Vy}t|IY=C#vTIjz-gKlaIuzL^|vfEnpO*ODydZp&{$D z7uQ>2@h$Dz9NF*IO%_s8S%D%Aj(**VBR@hiw0ND2msD0HgO0A&a%RJZ9)l&*F54uO z6-|S!rnHb(y`V-{M7F~)@n}U;<)$bs?pr6J+87z~FHlPHzd$_T=rdu$>CxgP#arb6 zA_BzIny4$R7_ghv=uEKx`HlYbE0GfxcV$j0HNmUA0sU<8Ln+XqjH^HXC9OvSRRi2) zEt5015a=|^xAr^C)ykq~NElTf9U*JB!fF0WC@dt|9zA|SzttYZvcx){=23a$SSzb! zqDJ^{PjT7nR0Mm|xka*Dsi1ruPtZOYfObcNLUXY*!p>T@3OJM%0SEVfVcVKCpt3T> zPJ$TKP-%+<<>!z30T|`avI=0{pAe4cO!)S%Ddi8JDi=Tsm+4z7<*c(+g97P0)f~Cx|4S)cqb2l4?g9QK5>lcPp3Y0^ zpE4wzxwIvx!j`V}115uxhhxo9h$V6d->kb)_3{u<@X7mK*!^z|jwy}c53Bo~6(W8I zbo=sLNjwo;Y$+=Y}-tCFRgD4 zo*5M&cNPS5mYyqo)7&gxz9R7dA~?`r;}6*};SFlGsc#){#RJ9^Gv{P)t1Mnz6dU>- z2+pgXva?;^1KM-K))1=!;!AthZ{I=+Zg2icP+o!Yfh`30Rp8>_U^E$x)CBp~(H+l% zaRwwCE#uU*K%~nyg5sQu?biq$-4P^pjHS4lnu&kh)1THY4WAnyAv*iWobzFD(+I=8O~nJKjA-dD3|5ad|EY1 zH9}yrxj;4gFzERUGa8HRWl-La50TZUqSt^=&ahhgL)L(pDKB7Dfih)M<5b}bn_S^f zWSlpPO0|E<75zoi7rr~sx@HBw#m<-7pu;bv*GzDOb^!>{R#6~&Gtq0iM|ItPN>HHzX!3>2imHW@Id+rFe&~)%GIGc&Bn&DhqOnOf3=uf$k3q3&h zskR5O4Q-S12KQQ>cbk6TX0)A}&}^%1o;zki8wqY&un4INvaoSCwhpiI82L5mv)aA2|$@V^b={~GB3t403Pc>k+K|I?)ZtHu7)H2f5QAY zy*wEkLp{T6Q(%XytvbEVdfo4DpIHzf4@|{8lB?q|+Yyhp?sn8I=X?M3kMTw<@NNAx zessD%m-#O^7V91kn!D)y(7kf`o%Ow4FIfSW%RL6WE({;=Z z(_=9KiGMecDw|)g zG^)XGMs^mRS(Yz0vSC14kPuy40`qL)G7@n1s!;DvOW)bDz2)${(kNZMMl(X(1*Zem zya{&K5|jeI22G6ebbwa7#A`+L?DA*^s8*H_JOfP+wekkzuht2nJHod<4%NBqK&Cn9 zRAUIG-QCa^;`qkJ>kC$~+0H1~3xcl+(U!&ccVox9oQ8$8Bhx7 zTXNLyB3`#>1e)Q*Bw8?M4X>K>?c+FY4$nDAbd5qQl%ESpWx|Tu2;{bl=)YM2b~f78 zYH0T++h49LyfYqZq1~c8oLR@|c$!%x%oK3R5xg*A}8nj~pEkQ#}}|l`O24 zgR;V0_(M?XrnExSRCJjPt#V|BAk}DeB;L}LHHs*EI53Q74&8h4jABn$e|X zMzvwU-5IuuN%o){@}Hj;4ccY^OH8~^ICD6?JV;8sSrTZwV=(WbSpkJ@4YvS@Jvu+W zO@&x!`A}xhiuw0CkKIK!41GUCYsah$qeqP(JT>d)o(h>ccMkp0JJnR?`%}IW?*M|U zTN=*leG6nk{=P$s$Ku4_jf94+=UO&&ktUUI%eSs5O4p0{VZhR64m;Fe=Ks zI;?g76Q+!28CiD95?kC+3FXWXDTY2@vSuL_0;D;QinFLnu2Gc@iS1vc#*&zac62K_ ziDXWwiNiTzEaZA#n$A@K$++K@WTz_J3gnr?vS7(}hikHIn8N~O8K$D!a+bBzqeb6d zwdVPNk}4yT)K;}ZqYa82Y4aPjP#V)NF;|pHY}m9${L~FSws?c3Ka)VrX%!uAQTtro zQuVc^S=s4b(j05bWwHIey;7Ay{wVRVW39GSnh{rp+4`bVNw?Af67h%d?RzP~V=)y? zdGb5F>%Kqcghj0Z4@EWMN4@)G)8EdBwemS0E54}VneG(NAQ7th5XoL##YgOJ{kV|( zd?QR;eu1k95jiND$0ox1aQq&DH-q~m4Y~OotU|oTz%zq{D84SfALT@;ZIKnf6|md^ z6DKL{P7br|#}CMW1D0R7yxGI^?&p#JKJ_0Z5CD+`PF1f2N;mtyKEj%RZRSJE|K{oW zR6N(5f};41fV|lD#B1n}R+4T+9=Fe$Em3-%CJ#oAE)kkRl$pZsw$*uA_y9q+;9uk2N z!^uOvIM(rZLJqwUg!~evqXXL1iQh@7u{-^YJBj~|^-Z#f2yu5jfl%-|5$AUFE8_nd z169G!v-by~fq+0Tfq?M;6`^g-y;DZz(E+Yj#!lvOTDDHOn&_*OZEh`#GS#$#b-7&X zOK2c}jVI!-g|aN3n#BG(wYZp2hKf6 z=xvUf87Un*IvK6(=u;Bhh_t-0eKLJo8RftK`!KOY8-qw+Gm=6Y)!4CWHwvy7SI?$_ zOPjzRzsI?Ka_@CB3dr;Az9(%&Vjm-zyLrKeXS)T0e@I4j_a#dk%JqGPcNM#8X9t-fw0N$W*Z}lwrI1(7y%@r47I7F znaDL#nS>YodMan}#Xma=%9p32<}M`HfzgsV9+Nbz&*XG$7=p$_|zT z9Ds{XriNukY)b(!8YK(Y8pnZ+p|TrP(%LOHDJ&Y$z+h6PP(llL-gOaXqOlW|$8?5- zZPg7Wo;_Z@S4HkvssX-4O9QB3wH>+P_Doe_H3knNusluP7pgqshh#i#F9u6YmfbpA-4YlT~ zRs1`|FX#R_O-5VUc@Et1YeX+i%ujH8EMto6m#7cYjivwP%*ZrQYy%*}mF4&82hm`W zgTXkZ@-DfNkrh_#q4t!QoHG8oPU||NX{@=^th}VruSk`Nf=;Md*cx4}Flf0Ze#6Yl zN+xP379kR#Zf!&q)=uD8u9(b_Eak<&I&C)Ae}7YAks2`CKsICGhw*DEYuv|Ygkgt4 z;!y}s8fD0>0sXUI$%=BBlFrOsMixOLf>6q)C9zFY9j6K&W{FPW^Ju}IY` z&PPOeszt)X?2ItX8O<^`NovfE$Bhp#CLzO6TdCwa5rgIPJPE-vgU_G!K7<9%E$)au zWzPtBYv#^NWj6G%!(mb$yV3!J(!k~N84Gq^1K}3> zV+A%2`Ycr&dR-lc8N))J&Ta|I)3%`1#uBLCVMY-3c+Gta^+Q39;7dBKG$6WEkVbh=(;8Lhoj`b&{H2*2+h#yG zY*|nP&^Xu6zeQ_g>oGza20qUZW3^9c=wO^57cyuxTCxZQrn>G1v4SJ8iL$Zs<^K>{ zwE;<7Bjb`eTTDQhLZ#a?MeUaW6-yJ}S-}P@FV}_!2=3)1B&JYSs2>Lqls}4AZm{v+7Dpt6!OXWLRutloS@)a z)uOrJhSmUNr^OIPcd^HAM!P*S;rlhAo^>mX>UKvp%2P)#YI(oc$a^}k&LkU?cO3|=|??jl-WuY#`3N@QPOpWCQVg3 z0gH-bE5=$@rT9B3n^b9x<1C36n^`r3 zL`dt^dk8)Zd7SI_VEqGbjW*l!da>~meNph9TGB_9ng5fv9FzPW`rcIXt)>#OvPR}! zUsVCXBzr=a-ucZhz~%c5@7jtvQ0&t|c~cmK_Tn~WFc(f}aJRoJ@OlYbpDl|nsMqCu zkm(sC;B|vZg%MgU*#G*y7hNWU#9)YI3Z1({eZbN$Cx5G6Dv*XZs^9G)L%L}8BH*}t z6jA--QK=6YQ}%sanW^yJTB-XQEA_aMjNb%gmU9+Uv{vW74b(oPN?zko?@7!o)p?wI z688MfEB3f5}rET-so@mZ;Tj%cI%v}Uy_^k}`j?N|x8tkC~{v{?45qx&4m4ddKux_bs# zEdO)$+(CaZ(cKPc!)_(e#Q45Z;eGtz*bC^vZgr4^r}Vq~)IGexe$dSgTua;`<+^S6 zG2xfxr_#Dm7*ye`v#k68mwgE~Iw#PYTkIv(>PiBW<(&6PpH--dgrSb4sy0b@h|+R)g-&;XjqF0B9nou}6W@3!C?!#u6a|D*UF#8} z%U~d)78yN6o?sKFc@!|+Q_U!QagmCJO__#Lz4ok$6uEijx8_UzyY*+(lSxQAS!BK0 z+8uG^J7Ezt69Kcz$e|-$C}o{DjVV@<-(_pN$XBQBvnH=IWdU#D4}m!i0k<-1XPB#B z!)bUMca(Jke%yC(*@DJz`+!N;{+`0flJC<~+Ec4P2;NT4*6!Eerw@L;);U@}9nL;a zQYOb0jaFnU4tYDoj;N1Qqn|FWD_o81dbTxe@r2m?Hhtq1lnSfcPr@$_P^SrGjj}B(tqK=PT7wBD@gj+I+Gc{XDNaD z6)hn|ts=1WR~N^-pAzL?m8966hrT}Bv-Gn&6(7e8A=+{A3ojWPc(iG03#_z_h}p(K zDLPgV$MaTI@$E_fmX=QyT%Q<^;yL=@!RCI8x#!P~&H3~E0LkIzjfvl#py_La6Iini8%`sQb1H&o1_m ze8DsqW;TzxhSR!4iCaZBC2;@KmP{jlY~*^c)wN(3UCEHxeIJ#t*2{*aPL2~>ss97{ zf2V&9by)Z*2{f#LM7lgwJEH3b4V>{5FcWj4*=bn@n7ZkuIfYueNkuxDD8(82IK?SY zmzX2?L-@aok18#SKA}Ed7kQ7|gnlBFa!1E+PI0b%mI?xXlfy{+GvmVpfm?1!Mqr2b zaYPu%9^hWhO~P!*GGE`bH9I9dC(C(r(ajnt69X*GA;#L{^>1Tyw~qDfey9s{tODAj zHVGjV*k+URzHumK651sP-pK|0n_1J|%Lwe)8b3SbMbR^zWf>;dJbr|8`QwD~Mw%JV zkj@ENtz!k{E_eXn;OCODd(*3rqjm1f1k+!pyFJll2fjZ%oEOQ@`6j>ok7hIwpQE{S zUteOlb=O~FdA8nOqItLY*C`+D&h{vu|J%LW?E?q_^EvQTC{jMDP$BBUC_;C*D+TN; zb697{V({B3t@8n)*VA6mp*IPVfpMsTxMN{~X&rZb0HKx0LLX_yx8Q)8REj%G;_Cfh zLA?BFD7aT96xgOSo)`LaMnskiDHXuEy>qO(%xtWvVbHcP&^fflx*7Jf>J6922I4~C z`ew7oI#Iwc>!u`y9rlM48u5<$G1LXv`LJCtJY1T?eo)Apu{c1GZU}TC4n{C1+W2_W zfyyAefMk)iXb|C2zWZ0dSAeY(S9uXR#uxnm?aTCh z(TDt4|GM(O4F@P?P#z~mih&Ujio12*2Ts&g+;H~UYa2IO<^6>sY&4_4O||5Zw9aX2 z+G@`=!jrK#q6$+X4Z`OigeI%YSkmz~B4?7JT4wWLXM(WpA5n;n3DH;svA7j^h9A-+Qnq#xkhFgmm?&(eVz1h|LY&>}mP zi1IG7>*7)qPm&&hU(Kamcs)$A7g91$>zE3`Ntv2 z50kxLp8A6?E^`VFj#CL5^&L@}mz3UE=weF4wW3e_sCHl;(c}ip1VB7fm+l3AUG*Ul zs0aaC2$S&$SZbl;oaIQ{*4yU`6^HL@*xNto8ma}}KO^owAL^`JC3 z-SBECW!Y71QB_A_BF6FP| z(6Y#TW)y6Xvz88_9?<8wc`}beCZPuuLoWKjJR4?=AOrz0X21B@J~8Rbt<+M8E%-T1 z(g2H`>-11|~Z;XvKMQ25?5OFU{3jeYX5YAEMo3`Ds812jF zgrOP3pSA}S@I1Z*ES4(+@5dlRm}zc3h}I^~K-!%%8;s^g1VR~fRn&JbkXp%1|Ij;W z3M1fOb&K zeQ6y)vJI;PrXNMbpD2}Ra9ewyCNjEG@(fhvd$EDwV@=#`)z?$^Jcaml3r(1|M3<1~ z=ccvK-h<0eQiU7a!LTT6rw$r}uQFC<1?vQNRdN|*QHD|afPXsiZvfKPzV13WYn)U= z*s1zMbu6sYUrZY?zbZN`FBaH%`;H$c%MAp$jkxOa>dMQ%=fGmFMJgS`33yhc(yD@w zD46CbZ$l35ZfB)jzzjS2gAvTfhxf@A_gNL8w$W(b0}l(Y6RM%qVlD<9LQ{QUVl@{- zMYoaO!kDbkC|vCz`?20;L=)CB6-ye~6&R-&dc_V4NXuGD{+`*^Pg6$b_erS@Nwxs6 zQXHx4nyHDTl-s5g4GiMAw)=_z3kXWo>%W`8`cl6xq}Ad}5PhormBN1882#wg>1}@@ zl~P;ms^X#v)E;tcs+T{7g*M!qXqv742!XUBR}B|+%EeDzr9UcObn((>UAWQ=|Kh+5 zLDUM}Ky+HpnVWt5yg`3840i6{A|?S?F~qZqCrQ$jpXzQPj?3+&96;1=NWn9TP?hxd zH-s6;{UJ92M!meq-H{7gR^yHFHGDi7#<9B34^MYf!HQZr`k}p7xhN^*0ups7fbR?1 z)|uHpmT}$gF?97w{vEC+>!s3iM1Bz;pd*XWoK*n)GTwZPb}N*l&F1(E8D#-5C5z>j zxPb+x75b58j+@vlCEf|$mn6IR9bITn=|hGhe67*=+jherw%x=@UTaHp>q~SG^-d|w z@BF}hRo2Ja=rH%dMjUw=WUVYvexA!#D>}XLlMLRe51JdeInl$IPV<*{C`Ya+W1%BP z1Ds_|Hr|9&9fSp{NCkq0qU;uRN6^#DpiPYC2 z2k}iH)C^O;j6n>e9ZC;Y(x&5iOls78c(E_ybXvuKu4w$HFE>QSeN5C%qdsE z*?4{hE!_hjcmI5PEn%-^H|}^|sob4TBlc?YS#{PQ{_|gDbh>C@ZJP%$5~ZQNJ!VYQ z3zRa$qNFuR(9CvgUtWkEYrvB5`=WQ07R+uab~$LPcrsDP%1jQvkttG*bsHTY!LPQv zrLgJtvOYk5O}BuT(fIU~y3Y$tsWUy4ypGM|RA*Q-QCBl*XI&PTH%&mahi>DUt|NoR zLKDcc2PzPbn~(+nV)70kY~_9IeuXCR2@)+QisWjaD|fK4>|YT1;^dUiFO>_TM^s#| zGJuD>m_wTf;tlLYb$2S0R*ye({WvGFQZKX#wsBNM2>tUk2QtO z!%|t7PJERRK6vBCw&AmKn&JFh#(Il1`h@dZ(5X|T2mOO$3!V%hVj5su2CB3__QtpE zQQ~947f(NV-WN4F_$n0j@hKT;ImntwR@+aoYaWBAiIYBr5@d3?B1~Z8$dLil66SMP z4tiFJk=N}od|)>}s+9Y2DlM7i;EhbZEw-i7h54P{bKuNws=!ikk8!K$$EN-IW7@>{ zz&em2!leT%&bkd4n#T;Y6p#2j`O|o_snV{&SuWj+3Z~kIz%v%z)cqT}b(()ppUzD7 zgOFwY9@R#@T}dNX57yp_$MzIi#m)EEws~O5h2Gp6nN@jG<`YV@W4BR$87&3a!%Sua z#@xR*VLc?hv0U7xCuXVs^=`i29Jl;o?shj*8$Cg&HBkh}J6>dP{W^)Z@0}BP%0Zc1 zBW3r(Vm@1MpQA<<+RJ#EADxj5Ekrr^i|^J!ZgU^VP~ZJB6ce6fQE>&kuIYeCwMeeQ#PLg=e~ryX9T-qLXAZqIZ!%x*5T*K^-1?L!@Kd`gcVr_V zHH}pEAmj!%2X*>R=+o_(eG$k`w_$eNy!*D4*1iH@g!gheLA zu9J|hX5v>wihWW+7wFx(@)mF>(FstGX+VxfI%rbz6sKTG|!D_k$MDk~Wn;WxKg(8~4d9;QSRVy`~ z4IRQt4Aa}VRC7sqHM>PtQ#)=9EBY1QbQA&|k&x&tq%1n8HpK4Ji6WOcHbEu2s9$G} ze`QxCaV|(UqAF*%on&g&Xz#3uhpfYi-U=f^mhdu%I2$K#wd(LC>4e1(uYCa_JR~iG z9G{bT=Cza!E{x5u-LV|7MA1f@qz9VyJ-a_*^5LCaw+pzg>1>`zlh^@>6Q>_)8^)s) z#qlp0dVS0QpOgLsb>Dmv=h8P;Y|7Q%7oLokB%KKzI2y~Q!NU(724h9a4>^a4`I1}N z$2;trc$W+04Vo0_as);BVSfN|t?JPs)yP%n?}}QwduNuhJMpAN#Nj3wR3ODcCeN^0 zw3x5M_a@|4)cdbnI~A$4s?k_|7sN)|SR844WJ~!o%8oc^dl=^F zTm&1ofympv+fBkcZ!7buUJ?D1Uf1&A9b%pV7hLxzY~u9#FgC?ZQo_$D)N@A?EfNR^=TrQ>Nko`#%oaIfUQ90hwE1kdPrt_j z*wj4a21irSv3OwruSY!lT~r|Fu2w;LXZsHs`xgmF2J%zr zka)Xk6fLQvX553X`R8f~LChy`)qR&5er$L=E0EP6i|v`2nRoyigoWzNfP|9k?;?|l z3@@Gv5W|5zUqrAy7429vm6$ycO(K$yL0G+<4nI=zHA$3NgVMLW2IWYvo{JwT9N1Vd zkpfx*L_t#>#Vn;N&@Fz+uMST+7p2foJT9{o?~-YW3}al9cmgL)o_v>+^WKd{YMm-z zOL75`038O{{RV*9o4K?6mL%SnRaO^Ca%8mUBZRy_-j za1t6J2cOfVg$n~chKsBi)UTFh`(WlDnHozGTkKlYty^~OW%EAxMiqf)>Bnz9H#nqzB8AruGO{6aH>~Eu@>xyG#b#NE)0Lt5U*2J$XY4TI)#^a;b7$??k}L zH1+9sWxI6@?jgdZEyp=yNR$p-=QQmG7C%r99=TWXybZaKo|%8`)FU9*o@CZFS@IhGiqGo|~E3 zz%BNe{O2wyo}Qz0z{`Itsw|(DFdw4G^M#tDd@+ERqMyJoPN#U5rO!*%apR9^-Cq+= zy)ytC@_O94A<3r-Pyxd4=P9(2uKX~Puci2a&W}*(@`>-%;gD+9q53aue49MPY!jvv z_7oEX=boTWHE4aCgu)KH+003Wu^Hb^U0&kX_)F+Gss7ohEdjEIcnoS!5}DhJRRp?7 zM$wG1ar#5HfH%x+jJtSQV*0D+g^Ze;zqSBUiy|g&wA*}a3}1Jh`5J%AmP@2VxC?uX zRJfJzrwrYxzse-`i-f;GSZjj$?C)U>e7&V!GtCWQ;VF)1(JqxcO)r)NLkXdA#gm!| z$;0wGDu`l(lDRq#k%_c8aM%-=QK%48TO_lGdE=h5X>QwC!T2orA>!V?;O;~PH*5i+ zWFq93^dBq2evpYquPZWFE%Sl6@eDJjC~00epQsLcz)duO0uBrG+gjOP{=^h|M0ld! z&BG_+yj)}h!5{7ihd=VWj-z0_Y_pGIXvyYIrO9-{fzVY_XYT*Of5Kp>ZY7_$>W%o+bQdLL6i6xNslr@&o7NaU=Mp5e~%@>cAx8*$$H zJ`MSwY)fd92c$<`ewNU8Q3-@WPbw5q=hH4&VBk6tS!|g|$2M5B=CYB;KcB5mp^8b| z3=Y)dBhu7h%Dr>@+qZ+t4LE?iq+wU#+2Jk%31Bj;|rgf`|X<+LIFAbPx;YS zp5SwQj?Sx4d!SatxjBFv8x&)n2%F!|pfMs5Zen7dQh9RJ@$ z=Qc1;s{X;7`T5GquO1#pWWDWM9{$@`vp8AhP^)~rru59@EsJOQ^(=e-tfF@3RM9zY zedmudCnW5Nz7zY!!o1&N^T$UP2U|Z2v-(?o<(XIb_P}vNhC7aCg^xBzn|J+Pcg5Ok zho{!ts-tE8;i|^JJr1OOUwiUQ{U?bpUUM1)^9^r?dOFSLE?9Dh*U#hL9k1x52kbHq z`Ca#$_AX9TOM1yT<%7?Y3;NvJ>6|@Z54~_vwG%$1arbsqaZJYI64pIe^!`++iN52m z=Zf3F+%qXW##8oWqnOI<(zxjU>@^kXDT4i76B+WRzbp4nkhfho!*cp1t6z?n7T15) zYCgcm)A?65apBIljjP1VW2Uw)_4>?w*gRAvr$aq>WpXsr`VV{J=eLwN88|<)UK{b| z^$m;u^w*NnEmG?rie~%}Kj3-c^3V6IHCz2$x|&{HuYdkJUiy2V>)ut=XKhMr%wlsqG*;20KhDR@~ zixYRr*ICaZrEk3Z!R9NklOr}cUs@@2THeGdf9>M_yNSmeqF?bok<6T&D|`R@EwwqU z-t`rV2Y>$2_A0dG;0t9DHQE@ITqKt6CO!XZ?E)wLP2#6`{w-hdGfw@@ZHMh@50LTzxkUVzweB*}Ufb*kt8)eHobPjgiEDA%lUhGjmlvkYhEv& z|8vR3!!^H!Rn{c5G)kqo3(lP8TDpFR%eP(X6JJl+$)AzBK6j?`Nk`#Kv5o)Nw{O*p z@d(?{WFeg#{qnQ?>X}Yf|H}_^|4>x;NwP{am;A79Z|`U1E3NDCi*OHHiUb5E;s3uQYdq7&kP**{HdrZRto=%sByfx>Ii*k2gH=FsvMqMY= z&gr|MSKuYlZVu)8n|?u@$L#f#BCpN3(Ks>4^AS(>szsb`-(6lTIkxr*&$E51QkIi@e`<)#Pfy-h%}hCSN0g`}F$U zx~I%|#j(C>C3oOd+0H87)m0*UeDyk#Zy&AJo*{bvx^Zy(+V64(Z!_w%?jO*9z{{U- zZOfWt|7F?&ofRjR7q}MXUcGYHWbf3V=Ihd?XM+;+muia65ZQ8w|LTGi*0URD2dh zu4H5erkl;$-s>2_2?%ru&E$tZy5NP-kn>2yeH}n*CP(>7Gv-b{;47`4nU`D?;LQk} zpGBNr170)@Jh|quAOnLmaN!^fY-{`l)T5;UN}cG73lVBs6u=W>Dt>}sg`jEZDxmCP zAO@{Mgn@014O(D@`A~)V@Off{t-vF67`8Q{*nSntOMWKQ>SfOQrq{(?71_nI_SCdrF ul1QUKx8Q(seW%2bM3=HCi;7$`KkpFd5YLLzDuXB=A44;z+{C<~WLp43Y*{1# delta 18573 zcmY(oV{|56w6+`Dw(X8Ob~?7zv2Evx?I*TvcWm1>I=1!o`|WeiIQz${anGynHLGgW zs!_9QhUbHZ=7RzhWxydYKtMoXKw2G>RSL%U>DE9&Kr|siK%oCo6JrM^4_lk$MI~Sc z3kt~Y$s0W@Uo)~3C}DZ57kM7_PdKFhY~OJ)7;`!*B#5Z*?%gA=mD8qKiPbIUOuf)s zUr*`25EJern*s|u)vMC%dRLOU+eupV-BXQ8#oi3o9Dp1~nJjPau-V@#o5N6R=PPjR z+)=U9N2t)6$D|IPZWs-E7n#JlOEwnxjA5Y?&}kt>lwEN!3sI0qrSEeXq`q||eA&ujr(e&ZrnWJsuv72nW@fLv@0iMh4!*^X?QBZcG}(CF59O z5r+}BD2j3@nsXEE-=v*``?B$O)d{U%%0z^pf&3`HSQ#Wgyge-Kl^M`-vJbsI4-48M zU}{dh1e|xYJdrK%gG=tN-ISOjyS&O<#t;0rV*$7iqy$KLb|0rqmrH=WFfQAZD;s!j zrUt&BY1e^NS*#dc({hXlWm~Y$8(QpbbP+(eRX6U9$;_q?|CyrfR_DyR#AYDD%3WsF z8QaXdWjDWde*;cyBT;KkREDr>z}a(FYv*<2R@)MBb?e&{Vv3x$pXvUMhP}`8*G!fh z52hgK|6T!oVFgqiKvXbVfXc>X;#ZD-{{8^0s%a3#4omYIossN5|KM>mA?Hbvlj<;5 zUJP@UjU<FM0V!otE9o0Zi29!0xbcW9hOloB6o|C+A@ zzrvR;l-+K(Lzb`02WfQboYB=w?0FmXdDqFFD3{!~JjxE%tqEs4V4|h;bT0_|ePYSN zwZ~`g0uWWWM3+TANS6^3v}ECS@=|lnopYV{T^Xz4Sb^v>)#2fY9%nYaZTi5H!SIyz z*-YRKbGN?3+j|m&-KfQ-&+To0U3fY!7289w9VTzz>C{P^>*O@_3gXxa5 zWx5fKhMXFAzr^VB@Ca_CWHB?b(P6S4W#%5`(aiU8Qfl|&>xwgVeWelB=-`GKcf4ol zx3z-Yie8l&gq?QgnUsYp$kPg>X^SeGE%fOn11oZe2HxswH+u_S;YM>BJa4Bm@}Lj*HQw#wkDb7B==i@4OX~$<*jQ+kc4ZCX32wuPeGk?>V4siN ztjwJP5?B+U9B%EY_=`qVv)W`4LfRQ-#lOBAE?C9@Yd!l1rcNRx6O13_vkJFjh?w=E zErO8;N@OMk(-MudS`Y_AF1WhXxPCZc=;m>2w|~4Y{ML9Ktf0Y~k1E79;;`KfJH1@G zv8W(+?64PZ&ir0$E$&WA@2^UCI~Q!BX`;UA|NQ;h-{6bR=64B}Rf9mY?5?&KCs2d)D`o1AGWS4Mj)d^ zHHc0YkRYY*NozQ1i_~ao@mAmW2ny3{JXbCm5lwa)e7RH`VhTtFMW6+_xt}9CKE)2R zHn>0N@Fkf#T?BTS2WHoflEJTGPNh}?=J;T>tcT5mko$~?wwcsHwMpQCEVyC; zCl~e50v-CZpcFiC5sn_&rKmJ%1%xI)%%qLD*BxaTp9qBbc(FWXq|Q`E|1kgyq#1 z3k{NWOvZKmJ65~9piYE0UzdFW-|H1pj>YE18(nWfBzrXIiG^R#0tfN%3smRv$4yE) zmv)uz>jH>S13*J>MF-Hn3q0`;gTq(ANX@r+Kd;dF+EZ7rpx3>gz#_XTPVM2Tp`Bv70!68&$o7Bxtg9 zqosq2a2|+$8ORZ0+Enx@+sp6**02k$DQt}Rgu2y{n%eD3%eo zg@&xrFFCp57?;NUA5H=n%S)k!#;{7S=6SXm*dp~k3ZJFfOllPBoNHPWP|5o1ZO_ZZrMn-sIW zsZIH5n=_r9uaExdC07YpaW@F&tFBpY9ir7c-9r05a#=z-t+OD=fFBfTdQeW zFPRrML&0pNEzdfS2=V11osI+{R!?UIZYEo?5f@ki4qnTq`0UwK@x9_4vWl9Y@712blKZ=<{oEq~ zZYdx4D}u*|nV35Zwc1RH5;B~Bmr)w+!-z}bf!T#We--n6r`$-jk$dI#d{D1_F^io8 z0N8VUSr{2Z*H|L#+ec^p05=8N+lKqM2TvEv4y<53a#8oc3;`)^6_hnn2M@epTZuaE z!KHs;9<~<>Fbb$)z$J~f4J2)~4SEpTl%WtFGqBp!p>$UuqH<&xuINZLTPyv;3T5$6 zZrU%;+d#1f5SeF@%Mx0I^sJBl+fyb1psv+v;Ah$_(2LE#kyh&(~|U{zCKu`9$h*bK)zNZYjW0j-`maK|B&ih&cz)@1p5)#Cqoed{V-k zy+8~z`%vmbbv3^KQjwt^ThswJ(fD%I{rYt0ya$WH;1O7$H82eNXr_7rG<9Itiqa}& z%CaT2%Iqbzv~)hjasH9%1b7eqNz%0R2wb`JGI+y;&zd$L zNGc*uIqJB-GByo3Twn%KJYNtbh$*?M)e}PelC2x^3R2G2L{pIY^TWmNS{Ea)hg)Mm zQV!$#MqlHQHAsz1b+5&|Be!h7`YMx+RXc%d4ZSmWkyC5dL;zKP72sr74~d<@1Y9Y; z<`i^n!46C4jsycF2``Y{YRss3lJqXubU3PpvsH8ZZR4M^S)Jii0jvY$l39|uEki6M#D|g zomYyyhnhjUI32Il@_>=4Gu`ZpyPx%dn2t?gsdP1_gPK*ff2FcDq;4`k{cgpkCaA{I zaCF>pl{hDRvO#$^tHGPNpBIiWN>FGLf+gC|H81mIOS)aU1%PU=T1mNorI{~W+nyDe zbwj? z|6S{T0yU>Q4v0)pTq#=nF}T)*P}P1<5zd5`ak>I(7|ST`9Jps59AbxXZjhf@&7=7j zssss38)>Caf!_x`he%7$x|Qrj1rk$uo~qej$)M ztK`v%OK#%GAwzv)kDW1PAV6FSjzAMw)Ehu4#V+3pAcOT@+E3_rGh}=2eOV}|LpNhn z-4bf-ZfT(j*<%KVN$~{BgD=bNxv2ChpBOOVpQt767sJ@X-oCQ-t;!#&*yUlbv5O|w z1>krolZ~P}71@}PWYbLe&zDys&}1qt-mIL8G z2C!yQlZ#>$*pTeUP|6z^Z1_g_`$wXkH=x|imKLZmWeT$1WV96O3?0ScS}B`OgixLq zxXi-oakRBY>5M}Y4TC8MkmAU=%zaL|w9J6S5Ezey)_~L#NO8`8HY<}M8TQ`M^X{e2 z)kpfTGc0h~x=AbPXpDH+@C0nn%7}6v1ZirMP6##IlFrD-Eez&~b-P&Xq_a^ESZQfl z&jcq@Q0BY9i@VOeh{ovDywI^E>~b@-8?stArWyE*)ro($s(-e%Fn?@MWM@yn@7eyK z=u`vgf5%4}=o(h8btHcYy!RTLPlC0fa zAc{a=(!?Y7DvLKu8m)cW_^=d7R4u!teMT#N>X8ZxiOUaT@-C_tIJ{aV4`8ucn%2c_ zE}(uR$zSdvpU;6&U_rSk90)Iu$AXjp30wWKva%jBV2mmV>cR^q9{vIX@F!#tNE@n#>6HFO4`Hfl?GZwJlU}HLjBBJ{>uo zj+ioPNCg&G0hW~FI>MW*-mfH|oaw|e8&qX+3_9c8aP1;VOSZq@uDD8fhCHxJS_H{k z!y2d|XL$dnz^bkzd>z^kvwtquQmUQG!D4FE5v7n@Cjg9;R0baR@f$Z7b_%F7#Ud63 z?*HImP$Wfn6_7IauYlI&7V z0@yLtSj+MX_%#a4HN~Xb^%76!R8>!N0wbSvV@ixrq5mbKlK7X%1&%HQK8OJ`N>ZX# z#)%^X5J_jMqBy6^YErH_$og+y%)fb3>4A~QMr1NWT*_OJFP5(qLL71U`lI?(ju9kv zP@^TZjsgGg2Xa_nkc7CeRh!j0gVNYX88TvYB$EwEV$CB7At5~e_7 z%S5V1sJZ*tjD6-SjnR_0#3K67g~c*-7E;T~Ts7X^W)`x&;7#`h-BgE)52935*jus!`3 z!4FVc>OY4|Mi>f#ogrf0Ox*2Wo$%T2mv$XHmc_Vfc= zCh2~oKQUqX+ss2f@MKxADeN77C7D0pt}(6E^C@xjl^Y8<+|PBHsdL}H%5?#nB^r-S zM?F&qwH$QIbIv)Rm3ARhXWP|;-2xu0<0WynwnA?$-stvNES|&qOi%h9_lW4@PhDob zxZ|$p*fRhC{@;_asdH04?EFO3zn&gfy)R4Nq`&%BAQ=MQ^X$6S{=$9u^qASN{!9`G ztXYfotS#;Gp5qlfbr1b^kk=lVHl0t;cE4_&#N!f2VCv=(cSg+2Y4=^kv!_?FjIPg^qVcu?C}*Q9HwRj6zyr4ih4`W=#P7Cg&z1>2Y3Z-RV&%l>`9YRA6-%54~VC zq^|mip~_Iv7lbYw)m>dSTuE4728B${!syjffXAF!Qh%w!r$zLb3i>#?&XVF5+OsoxDVZV5)Bjm8U7au2 z#ZD0Z!=9Y~FvS00?f>xFf7o!0eWgK;VJdG}Tzt2LRbATPM z_GYj)k-taXW8B@*Wjs{cIR6NBMq}Oo+_uL4-qId46!Zxnm3L~M`#APX#m4l8T9+#sm8#%qxKGR&B+0pJ%&6xk?*gg;qmyRQ<^BS9Y; zd){n&iFvQdvK+e>-j;86R-(khfgNs9n15~=$g54jlFP-nSg|ENadp}3p2_Q0seJAR zR|kC!mJ&ek-p5l#T;%@*Iy%VP3Q^%2yB@}?*RcjD|MxBH4Pv&pPS}TJt&tbm8oKUj zxWZE%B>l5m4L(oW<2gk!rt8;84Y68Avsr0NZlHA@rpn097J>x#eShCZc(PZQyDGm# z|4hI#RLyoYTc-MTn431e5NnLMWFwB8(K#!T10t90zWGmby#t8S1s9T{$q-VG+-aTs z8lOhM)rAh-a01dsS;8?Fgfwia$-gNL;e){IDyqI6X3xy8`@?ax+d z>5fzkn#QIwp(&9+IeoAxrVRbk<&0^}?DCX*;B=Ubg&*TI^mFLH@uTX@Zrgt&vHY^W zTA?pz`x$TV-efG{PhKg|b31%>niEso z(enrf*<%S1*-{S&Q}w6us#^GJ@Y-AAMKN{PHvN=yt@ErDLaJ!e`mI`g!js8l{6agP z=HW~<&nJld9f19WnSQe4!9uv`cEXv|DlX1IpKnGqE-afAroi6ePt+ozMGiOTUA!MuB`_|xA-|eP zs4Ikb-WmqcrWl{A_bNk?PqtmIk(6b|pHqB)lnlqSmVQ-Vox-kwutz#9>xP1cjz zl{BiG87l!gmm6jTmDN~K$F(aI>uk`ci&)*^M3R5#6>!5IMTd;9B8}TH;Yrk5x|sT> zNvrC%i#cTJ6|F2QPszz_QDmOes0{CH|1MhW6@VQHIaudNsuX>iovI-%8hxe!B#?QE zUU?J|JCT)FmY{jWy6HbOAkS$FdMc?8-2QezGy84}Tc(olIO~EPnB+nC4j3p`0GHy+ zn!Cko--!Wt$f4>H5V8&vm5-ozs?TEzCE^u&HgG^)omR-i&C9OyKi)--;Q!P0se&S{ zBerNr9o^n@csHiQ_45SJ={4K|kUkNUEobz%-DR+sHOiAbOi&D&OU(zF#{GuBE05lb z!=hisOM!`B)m!}@6t>$a;GN^QL?zR~VuFp>t@m1w`fOp-b&CR}DqKL1Snj**ix`jS znYwN(_A@UkB%|n8;vXj%^55H%!vY=~t)p$ifh;L!0EJm{9LP8@d1zT7Kx6)uFe?K^ zXT#qFQ3%_x22}6gyj2QCN+a76l?K!IUYnT)fWSKc>r`2!4pqf91n5a6`rP~xBN&~5 zpD5sCUgBVP>i9`cj|?}Rb7eacN-9V>N25xxndF9`X8>;gVfdzm5XI{4>o3 z-jA?#dl+PrRHxS!G6bh@iD@j7ppsJ{b28_oQ}^9`U3m`;nsfzQ7POg7LU=_0O zdS!F_o6vDGnmk91!}dTu{L^K_WF{U!rNhvmLTSyv8!MN1mUm^zK6l)w0bkD3fa$du zA{D-z9za*$(+m?Qc4|&|D!%#to5-gNc}{ullER_}{`2s{MCnWogFt5;mO?DW@V<5< zF17o*-r4LQ5k`@#}(_=z>Pif z_qaha-0BkCbgMmw7Mr356*v#gyo%)L*O0gUL;xHb{1l9)es*oH4b84;K(v6|K8#Df zL4G1DT(WFS*;Gh=<`@_}P5_Zim3d`)6%i;gyt+kRhSS>lz9I z3(BS{BB;6l&M!z)yzMf7d@CkI~xKQ5A{Gi*vzROK(arr4fmnKeT7J zEHf{{v_ci$XIH9x^H-T8JEnJ}Y@cCrH%DDuQx!qL?#Q9SjXkrf$XfdcRIULkbmS(@ zjT9St$$pc-9Q-ru(k&aXs_3``dRG9;Sq<&>(?QUf=|~spRM^A+(N+~Z5fsPp2Y{M& z;P{=SufXninnHnRDk!+hfB}Xvqj6dR&wR60J@GKkMBb#FghAY>@)(I0#4zMCV^u%I z?*_)&$wk#P3m#QYi(UR9A?LRRrzGKurRZvd zc`_VwwX)M85uQqs&=4B~EK_Ea^mVc-TfsmPO}ImHT?0yG!5NuHO18;5g~WDrCt4sW(@+RsdT2!<~Ve+)92byApkJ>OqRm6 zn|Q>j_E_O1zIGGU#y>8%d5RGMSNj&Ri<9*MODu)3-y$qQL zj~Gixz#19C>3RK0CVv3(jV9$!ZVeri#+I@U&VQiubo(ubmLRhdm@;@ik@}Th z)dCW|C-X30GJr@2^T# zMaUTn`_dJ}-IVn-gbTl}{q1FJP?q35?k+pPZU`e>H4?)6-EWI&LrC$}>ql?+BOZ%x zB7VpAJyT*WKm2iO&&V!lEd!}KSUzb-rIp8$`(}zrX zoJ&j0pb?wYrF$2+9~8x`--Y=Q$PRao?RPtO?Oqvl<|*YX&MNp#UOppp20XJ)d_$Ka zRIimj^HWpG1p*f76WimweH`}o@sI78{Ush96gEZSX|GOGx^mOM(npYFN0)i3+hR9zfX>$e!6F>2j0xT=4M#KW_L;S+xn$vY*$MA(s4(Z7TAbo zTnOw=d4JS>6>O{%6Iie8V4i;)%h|QMeI`zRYxZr@DQK5=HcUZ84aQVs-4M5Hc#J7<$&R3;j-aWM>!EZJ1;nSAJ5oe9NEBmngX=+j8Q)lubdB{wni#GV55pAC!1 zhv|PY#?${s$1uog^v!Qls!y(MX#Ti_ka1S5V}G_Y7T*Gz7m$Zz67HH!^%w}K`rJ(G z>_-F|;QP^~ICpMj$ws;&c7~yZ4EP3*8eX-siyLvH6wvR1%BU1YPXyCc^I43E)!(9! z)dGx?*)>pHX;aSmWHVgy`HV=FJamhFRkQe{S*4N9mto05_{?5uBjca7GssLkXXY`A zN8e9f=!^t}!nH%3>7kUued0}AUVTPI3esLUt#d6Ty0;<~nn;N`t34#@GVOJHA2Q`W z*TcqxociCo==E~-S8vcYoJcbfZcugj`zzZUJjc9GKIi;wSox-7G_y^0*&9#{W58qZ0V z@qZ{%r~bseCUx{zu&3L$js#XrmU3b$Byx?)WC9}5 zDUfbAhlNS}-jLDP6wu&I!FP4l%t018MUQ=c0#8Fer zxpoRvW{6_TR>DxG|hbh=tw1#Io18~AltIw3@L*@wDZ}gz8or} zn=_Jq{6hZU<=@a|w1?NfvTxwu_`p@Wy30)2=zf&kBKHC2@n(_##981aSUzod z?0ygL#AhbU=OrnEv^O&$A^^1Yl%yACa05@6f$ReA#>_Ox!pH;$V*ZB#ImrJ$zetGX zn2l1PBs~q_(r$wV;iIRt{!w_`D|5KT|I;!6arOQ>_9-!6vVMtUe^wGq6U}u5NExZ@ zHznxj2k@@`{E0fndH^)7dg8K35-!Jr?6QmZ1CUk?pl*h3=gL8r^hPVlAp7jg9ob-SVzKWCZM@09>9|`eKZoBCWt3VZf z1fc2PL4Ib?#_Z0BsP{30yL-`5a;^@^vJPilFLvbg2~8JJD}(cR=bE<|S(s75p)X^g zacTT&W!cE8G*}tyiX_5xN#F)rQ$l6cjX;PvZVUpe33l4CRD{?Buw4{*xHJZRU{Kei z@j#$muC$=GdNIZudAQO*3n4lwLuxP|0T3J#_w$e#qEo4*&jdoIaw&{4Sj!BKq!ssi zi~F1_3hb+WX80Yq7nj=U>C>g!!VH=@mwrF3xX7A%9yJ$_ZyP=Im5k;@O zJ8@mKoI0jkk!btPt{cxYn$y?3tPL>0$y=NNh;AFsfvca)%_mx>MhPz4s_k+y(;CxAOBpwdaz{97Ut;)*lYHWM6fhOvYK+%5!a9e$p9f)nwKOiRdDjWyrc zGxpU+!U(V?_3w!PdkL#-=W+7mfPirQ8+brPdVHWX6Ek2-t2L*5K~`JcE2NVUP=vbS zVeB9?OxN2E$-;73mOPeST9bMq7RYg8KLv8ZFdbc@+{A}H&aU{C`dKHlC znN|ThD{6$VO=-iAXC#uZr9IVNu-;ZOWn zigYonW1gspC|tvkIgu*ip=T52QoP^jNEC<;%W{+E;8!tPB%B2UnJ>qWr~cO9bq{`p zj;0{mvt{+XePkp&xQ7=9HUBX{jub;b5!C=tu}0!ITzFeulhnByYF8K3q3`lG=~bK! zdPu8;!SH7~eHfns6&(-9nC*dtb(ZELmODX5@Un&vUH#rZ0@jXw7>D~Fuk@-<`;Pz8 zvocAB*=D3nL{1E}S93JcQ==qn(r;G=x1UO&GqFhHeqdMn($I`y1?zu{ilCMXzvBel zfI+7{1x)&MeZn{gU-|y_EUajk1TSTiZ`{R7OgRn~U_72u;@Jmj1=2oj+LNX|PmF-rpr_c=1H;KMts)!})WY9UjOn+sT}aKVxo&CkyvTF1evk(o&=dB-ZE56vZiw^jjfd zR}GzD%)D2O(G{nLtQ&~E<3f=#3J79u$0ySSqw*+lCMgmnVKB}R=6Wje)3UZ))`LUY zYZA341n5(r9K?GP=kp++(ux6uF*tG(^a)wt*eqham!rOX7+<)mmvci&Cn`WEq?9#p zan^iADoKUk>&CMxvfYd#%Enr%={nZb<@vHg%mYbOrPt(N*vTGGJr&dVB*3HD_j0Wv z;);6<<7h$ylNDBFeGl&@XRVx-I~ev32)q`Nvj-kG0I zmVGm}NpVxzs9buA7($tZwoDP06*?*#?tuY}!$BFoWcfJOna}NTJsYa}w!ZflT_g-c z02-Jy>&?a5p{uY(HBNwDv6u_;<7-x~Opx)c*O3CcQIjq+S2dB!Bvmd|;Yp}AD`1#vBw48nsG|a{g(*t)UGc*qD_v*Wn)pluJa6iCTEVBwD z8$+|(Ml_|?x~epA9+$nEp~C~pX_F)7it6zJgBG>W@GWAgyN)tRJz*357or$~Lt6AG z8G}#f1O+ij*Jq!F9jdl6gjid(WXd@*cL{lzKk1a(xf5WoJzT1r!j=uQvAm;W6?if7 zqcj{V^dgQsSD;ze$cHZxR|}AXaGpH5N5+)U(4y*XXW*Q$o-5E3%blO+9~6rtSDmmH zqgevhYQB~(A0!#5cx^B2=#i`YiUA=Z5o|>S=Zx=JfiX2Zo6g?3)zW@DOY<5NU8KT9n9{m99xI>JTN3;TujGT+ZCK*v}Up~z-aL7d}v%%@9 z#@PUl=!NHPp0Bq+S4_)|kS1ZbY}7gY#uYeZd~RoPEVi^e zq?#Hl(F=m11tCnTGbicKK5dO72X`N8OfX^)56pb|3W5@gFNhkqg2fwTZi&vs3djV9 zQHvBujI~7-m&8CK#NsJJg7r4Nlwz-=-}HdwaLJV+w>Up#87<8OPN(H4H6jJ7&TU>Z z0@gtz@9PZkA=uXp?(vsOVF;nebuBo^9LwQ|W0&l+a;#IY1Qw?8_7v);u4zPuQ4EA@ zMa)z5ubk%FFAEg9a~BjI1XNWOiD0aCctZhtoI%{p+r$F6&ak@kE8obEMRbS?^-DmP zi86@Q$rQrQgLw0H*@h$IcDX+iI6wRFjWR)hnu27&o+>zzo*3p*(5tRT>dyC3k5D=; zNF@SKiRehbNwUtofke+if|n$YgmvqtC2STMg@ROckH+3)L$?2jNG8ca$Xdozi8(z znZS)lI?$ON78W}yFSk0m?6h{one%hL;Gzg2r(*a-ok$|#Q3yUAI$-7MP!L0if8$q5 z5|Q&qOI-ZJ0d6v6wTD8;PFHJ@e9yh z+(u`)E0RQ(^exgIVoN8(HY=)PV|7pciywgTWE- z`Vurc_e+ID=nmm6Id;2IGulzQx`iWR9xtsihtv@k(rL1?_UZa|fB4u?GsyH0ks01j ziOog|qq5Dms}1Nou`giGw|fHf=?7|f>{0ff71t2<{c%>-StHphH7otUcg2gzP5zM; zmQs*Hau6%+I^EOJ)!dtvnMuY`f9dJL3TDXcpR55;hX}Vj9WYXceYWLlaliZHPK~y_ z&$eRGy9)IakbA0k>`4;MwzLEH7N}G2f+H6NqqSPO`0X3Sjd?(RL{@rvH7!Y&iXW5R zHNXI@`pU@=YsuJ<#HtG=S2R;I059Qcl>bvb0NsudFCqJ zw6p1qcmBz5bd{H&1;(Tq_EW_%bBePbZ5Kw$E6OQuG5$C6GhO}C*KR&WXiEJ&iq@E? zSBa0WNdiD@I(`msY`I$%?9U~v?iXjNzCTdBP~g zbvtDWSmpePMTB<+Miu=cg8kE1IRwoL#o&S=~Q z`tT?szuWCXMtNAh8e_uOr0?Y;8M3wIzjB*yMlu6v+l76*=+O21`BQs1r57U-M%bj` zEV2M$)=zD>4U!-U-xzOP7M}$s5m;UY(N*r<24joN0F$=27@oy^L&(t|br#qGYUKchg2tf4iS}`*`4tzqG3B#Bsu`dGeCB+bz~`dxU=n z{nhZ}HrGs335JlLoVZbd^}t`8+cc`Dhx`C2$MRLZYCWTDH~=oZN2xs7RJgoS!Z_= zMj-V?1dyL?br9C)T|&A6>6wxADQ%k2I#3ME2m?Qj5tqc$Jjy`!BO8K?_8QZ)K)C<~ zCMApNMJx1{uVcOkCiUr76p3L!GsVcTd}GENq_#3qV1wPM#0YORsGTLzU|>Uxe+=3{ z(MxMzaQZw2)kvOpGuvox>7k{vSOHhp-g9}1b>8N<%X!*({Dtcm zTiIB5XoT-;;=S~@T{&E$x+)5*|~e{^T=+!1wVB1Gjh+%Eef<_v;V%dV$B`Mve|mQ zr;1;Ua`0q1jU7&var)jy4b4~C8^uF}^A02o+xOeHqPWV8cDc#27Jt)&sA~gOJVh`? z_=E$*BDEgzKAXR*XCeES6w?du5jA3y=?3i^sJfkh&0jvWL8^Cg?fPGap;ea$VM_2p z@)ZtTdrQ#PJ7=47qq)LimeF)0XV3gPSyR*yrEaCoq7svRYN39v5*mG1Tw*tEN!2I| zN2asq;6Ma(;Q7VIueRFt^|KIA&^7wrry8ZKD0y?r^1mX1SSYeVn{OYSa@7RD!AZNHBaPq942qx0hojx8Uhx8Vqa^-#OWM9@!D zijs9FUH7}GeWs-XIj13jQ$gy=jEY4~1qlzSOhrB_TXK^usKdp>BL&k*mw%FPTU?>< zpQcs9&3?KSlGmR$chi`lYTFlF_AiXH@ip%=$2*rrzsGcl6e^h6iBkOrk}B6UI|eNc z-|;_wEu^80xvic4T+#=xh4y+ku)>hhvZi28RPRaa7~9^3ea}F7bIzR99!7v6v+0vjYrfRM=`s><~1=`~80! z{c71)2974{b`;wkXDM)x|8&(ihQ$)?RWnRwX`muvb)CB`Wbkk>BnE7Mel z#`qOB<7LpO52vjHAb&mh!elc?i>+CaToc>kA#s?usDKcbkx->~LqxUccZ9QTIIgrm z->;`wmzB6z;49~o14OK@KjBMs_|j?E8rbC=zq&D3E`$ms_cNHre0jQ25jMtPnjcbj z6$|@A-oq_vbV`5F)Ka+C(>>B^{MayhhTy2O@MJRRIdB;U5cF8PpEU7LpVaiBumhbh z?~!!x-Upm1Z-heeL@s5gLP8uUNeg5&N}z~?aGsO6jq%j>Yd`|7dlr8bVw{mZfx0`5 zN2tpVwaS%@bR`>LQ9_{T*V2}*J8-1Zs3GKih=p}&isPiHIHHRKk8D%8~=uJTjnJpNHv=IoHqU0Q%64eOxqI0{j#nxL@I;>(A+J{ySBK&yrnPXjd{9a`<^X3 zMaP_+e+`)T!UU%~e@W>FBDK--5@%N0Zz`LH86=-2|9IYQ{=+Y^g=Z`ZdR*TqBpHnp zt-8CI+($J}T2PR{>I**G^5EioORb5dJr|u%(Z!tZ!48|;#EL*;L<<;< z>^o%%f@LX90V&cX9ml~p^M1W^&$&PD`*rS|Q_envFf%`_Rl}x?<-!|$1Py*zilXPB zt+OsqF;uYhE#Drw{yaeDO4gEqBAhP?yOePuba}7Uku=l*q!NuYo63AO?3=HiHv3M0 zn@7iR?zW6<`!oyNv8&UVTKt*$;?xlrs==`hC(6;Bpy9GFQT6P~Mi+G2+Rg>F3%JZB zfti07?hf}Igb9Tz?OaZv@g9NCeV4_9IOJEsOYY@d%Qi?BtWi@MAS1UT9v5ZUX02ov zgEMOj`l8j6O^>lWR-|Ry(>1jp&_~;JdRc$+H(7RA;}{n`PD z1jzX;7xnKwRt@DzclFY*4jTN`%WlvXXyx4kW(p; zzTdRWHf7B%k`4{r{CeX+*V_9W@F?Xt_xqm=Kt_7}4f9Eg(HJG*P4PcfuOI6kA0p=N zE07A6Zi9_$aA)=v(^BM9muFVNHDqIwMs)|hjjyuKytuv==}^=qvIv*u6d2ZuwQic^8_oURff?q4)A_9xvpCW!#|G z=O@q1PR?80nBJCGyqcKr?wk};aq9B&xV1gsCa`C~{P~0*6?rhFH%~{XES=jk>WK(& znarzJOqWm7xBfNAZ|Kdm!B~UL$p8-$clzFHeZ-ab><% z>bhU--)enL>k#fRjRWW4#GO%d@7QJoZ0FJs!(6aiY5S!JcI*Kky!%dq1u?OiY|;1h25(a<-$0WJ!*V(0 zPC=$GI=3d0oS31=^kz~da}?zh#~2D5<$}u}tty2baaP@{bk8m4 z=g7O+g{Rk79eLfU)7JE-YJ(5M?{fqm-xAq5NYopM`|N9PHLZk&fbBy zmA$%xnOXeh=T7gE#&y|mw_2{wqS>;o;m$*I_GleTSUt@CfQvM#lvZuhpmr#^>Ear! zQZJZQWm=((Lx0uSSZ^CP?$sZDlQWP@(ck#KYOxvPc>K47Qh+`U{gXWT_tbzMhGLwJ6($ z0|$ZBL=N+Z)0nm?DFVju@>*hvPqz?10_j>}c0ktyF6l+=R|9q)Dv(r!L^UokDn9H} z*-K*2Skwqg_oJ*RWe3!lW(JgaAS8;rT)7W&5{!b8nAwOL^(ieP^rf1Y2tpQJ5wqm{ zPn+#5{%Sz0vmD?@fJqt^|3n~~paRjrO5REcN)la17GvdGQB||3KaE`^u{aS>%ivSh zK1NTExFB5$1UkB_Km*VsB9YzhAdnHr3F(E7AbGi*iE)TVq7s9hW3cf)rzt*EPn4ru VVz{ZvIcJJ_A}W|l^+0>u{s$7 GENtimestamp - Tue Dec 21 14:13:47 UTC 2021 + Tue Dec 28 14:26:12 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.v index 3c0f6dc7..e9f5615f 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:47 2021 +// Date : Tue Dec 28 14:26:12 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.vhdl index 755569d1..e334630d 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:47 2021 +-- Date : Tue Dec 28 14:26:12 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.v index 10f0adfa..3805d799 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:47 2021 +// Date : Tue Dec 28 14:26:12 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.vhdl index 7041b80a..c1e8a130 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:47 2021 +-- Date : Tue Dec 28 14:26:12 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/keyboard/ip/keyboard_emu_fnkeys_0_0/keyboard_emu_fnkeys_0_0_stub.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0.dcp index 1ebedf7a4b5d03a886c739630ce952ce3f5525fc..214282f3e8114f27223c293980f23a49e3b445ab 100644 GIT binary patch delta 13137 zcmZ9zb8u!`us8g~wr$&(*tTuk6FqSzwylY6+nLz5olG#%JLlZ*t6S&ps@{Ke|GHPN zs$RQx_v#*ZpLYi;$%28S0{{SMfS7Bxs>u;n$tnl{Ug*KnL06g+1sTo8pdS` zAp>qt{?KspH6yve>9n(*iwh~@LIgWm=5f-|pJ;4S)M>pxK{(a|=hidc-GIhoYj`uLU`>iwkB~jT6xvDdxnE?ilR|nN^DlfW+YhdcmrBHAubFD61B{CH(F#d)|sCW0>x$q zu-9G|8RWmg%?s=X=|a=AvSC!ZJ@2=TOkXK{NTUz6;$LQNC$@iTk@E>oKHra-`@tdW zHChP4?P&WbT49C!oS$c+75lEl^xNP&{_F-%5s-vE|LMEi7gtxq%2yHLK@(nAE5l*i z*!`waJ(7<5gwi7?Z*ydkgCxD3PdWO z^flA|ajCbS;bUt@aK;x`{D8kv;Tuvq4fZE+=Nik@(Pjb6hgDb3zv{9J7f275m#=}e9i-FE~CsT^<$Bkyg+7&sfI&C`b>SN zM9EHW&KhpQMR<{Aw09B*>$LJ(SJ^Vt#K~z3hlxBvYD3la&g|X=KTr1jg`{g2VQ(Q_ zuGy-VX;0fm(6o|8jkX@=vQnJK_HdlwMmPYuc+kp z!#kl5!~1UA&=2NuY)Z4eHF$kb-Osr{_7QXLog0K&^1Z0Qc@D(S2)(Xcp33I0sFd>- zNdjALSeXQu*v^sdL9ZZXuSmDY0o@{7H+FYEKI30c0&#!xB>fK_6`lG%WxU*0?5<~v zdV(Z#X2?v#8Gnm0LYP;%G{i5ZIKNda+1<=uDWW=XK^TOKucMmt2EU6i2%`iPSeP=u z=xmWlN}A39@semxjXn8B%hp6oEN*m<3g5fkqANyG;axc5VI$YL7(f_|Gio(faF5as ze66+178@G66Do{;$cWOo=D&?~RbAFSwB)84OUN}Dk`y7l^O#+c$csq#!=+k3O z)q+$6Lgj=L?YGN}(((7#OuxU100m1Nh+^YZ7g#Eij&_Z5E^YRBMVCtb*xe1rz#9oR z#7cIbTkk#pWEF!t4f>NZ+VEs~3wFcY^iB;@4fak>QPXb8M)VT{&h{ih*+Hd&K+{)5 z_<6;RRtRhS?X;-iD)n=9_4wjvctUD^DsViY#qRJPr%v@#AK@1K`e2qpX$l|#lo&#{ z+YzD1HKzMge{k0r*9T_d&^Xfv*44EEwd_q$m(KS~eRr7nyJ92Jija8y2StfzCGDErY3_JT4c`I0r!WisR0z}mvKOD&T4mHiXoTC?9j`2`&pRx~ zaWuWKb#1vqA#g0F)5Wa7A5@19oLnXuAl42Kqa|NshEV?z7H@{p5CVG44IbI61`AIj1Kix4HDhZi;kdQJVr@BJPN@j(cj*z8#^u7)ES+#x#fm4>L`G( zX;+CpNw+Xkb>PvtXi&KZEx-r=l(pE=`bOeM(D;2^mAcbcBL+1A_Bnl738S69l}ngm zVY0rdVS~pmY@-3?1Y{dGb_3INkK}5OWEZvMZX35IP;Q+BkH?%54-BU!T90IYvtJ&F zu)j%KJn@!F0ZpprR`K`4v)k5$ivTMQb1NlMWKo~e3|B~@Z>nLCCBQxu#%DPa z9BEx*vx}~2@9~cc4*^MN2sVj%ZaoWAd&OeGiHB6#ojJDtRluD^6kz$T2XA7El09Gw zB@v!MMLfM7TO}*r$}Jf!aZH(Cq;O7IL5fuJH*|!ABo9dhzB(4_Ekk*BqSep{jdX~- zzI5Nph>J=g3LX^&3a2~GxKGNngKKzFB6Ma)vXCR^QE@RgyG^^?B^>Ct&5*2+u#7%% z{7h0jtBOdwe4r!@Dc&?c`RD^-C=1bU4LWlwP5Nagcc3rVOX9KG4h~sJX$T}F>JD6Xu}?wycN!4KVBq~e;N6^o6d=(34<3V7;11EqM}(~w zqCwXQw4rVSg;2JFuqm8{gOeh{$);0A{7FViMonU@%J-e( z@2Lp6Myo}p^{X_@_EXG`yeW;1S_FSrO&WzI2bM11lVg$v&45O_1qO&&1&orPch*mn zCYT|bY2jI79UZo{B*j@oA=qbKk7S->yU?ymDEByuxVCV@X;IK8E!&w{;)N>#dxGpSua0Hvj{K<&GFG3K4(r<-eG6jc)cTb5Do5wA$1#U0j zKA=y_u74m>^CU+R=$!68ZRWeZY&bxl#%Iw7Ov9Z9+{^Irx>@}m!EBM!aT!*3=s>3e z?+Q$b`ss~5j=G`OoRY-?8+|xgO`U&k3?vtI4DNP@h#sR*6{4jyAvbguXW_Wu?**m6 z$;7jxqRSU!q)^Q#H*^;d6houH>ATyj&W$HOG zm{t;^qM@B+MWKl7ZE7V@ey_x63LREde>g1(>1!~2o_^(g@-Lcb=2W1Rii>YNb-@0w zAaAgXb;73FONWCN0!QXYvd@u;)HnG0-qo*FLaALSqxZ>yxE`~txyi3mroQx)#swYz zensCyu4G(_PRCfE;^sFO!nmtdzii2rxapV z&d!y_O^dPn0m?|L1f{1>5*`8thj|vRHN4w95|%817b0#8Ln_2xn`~wIho4*TIa3sw2`rMcQ)T&wI53!seXN<@L@iLZ-_i5Ww2*& zj2q*uzup))9<3HUcqk)8s|J%A>y;U6sVYY!wLffcdARu@2e|3~c6(EmL?1!Gh0=3B#`f^B^K}KxdX9OgO*tMNhN`nwUeKyFZr3JH< z5_0`vF+*rWpcla*Cez6jmHO zDt@B_R|x~O+dL%e2G=pl_Nxm#3|5;JF7nsqZI?jHP`A4&)J$vV>MtahEUMSauS$1u zt%euxTDb<5r@NeP4To&Hx4*3mNDj;dE<VVlS+Q=kHcpp=3I-u&5iG%7lK2wCDD-q?*$Y$dogrGqEdB?19l@ zFcjUdmr>7|8sJ6MS=@CPATmqXUL^pvlQkntxQ(hqK|0fI6%*{i)>!@h*;fA^3^+uf ze;6xd%~UWNqGbX&C#$f+>Kc=2D+U39Z{uwmQ>%%o2-@C{Z)#Q`{7chWnL2V=xY!{R zwi=k~+wF+OvS^>M=5l(u;p}m5{hu|3pJ+-<`zr@@i*GQ-!`b3k7nRl8s#~7d9*OM7 z$2p0VIo`U|rhD^z@jL>&1w{Q@fNFH0J72V5Ea}_D;Xvc0d0-qU%LEecyKs5~CE;*k>1#-BQW`blICS1|l zayN6Q^hhEeyg2Ap2J)(?6D2%S{5vr77HL{ZM^o{9UE-!w-#{gCFmjD;2 zodvj#ea$Ed7(oKTZa3u|?O#yjFWC^+SY8Cx9nq?i4J^YqW?y>3ar4s~0x3$2;Ggh* z`)qq?XYl2^?pxbsI}y}BaPBpyQbg0Q?kNIjxyTRXJ20)i<)A2pZ^BZsw(~CR= zB;tmVW5Y_3!SDRlLC-#=RGJZV;Bk(sC8%23W3Qjr0Em=jLIv_ABKGw#?r8htguct( zH$qoes<{1Emx4HtB&8_aPK?NbjNX*#eL%}uzfn&TGn!92wl^h1#xKgl7VKAnJ$E1Z0e|S=Q%2GlZ)A zIY`iOfNoi5JBuPCGmt|YPIqNmV%Ip^Vk6xaH5{JoV%oPwiZ?2xMJ?+txxQZ~x2dri60BvmvVGYkKbAmDpaeZg!Wkn{ z$_MpaR}8Q(by&MnksVGC^}$K%&~J=QPR-!L;AWMNt58R2xR`7>; zIc-an9tbWp!6;R#2ja%4{OaeW=*P`fY*vDN?Kj4^`ze&=e81G9Ux_J0iLSj!QAnez zWO6K|G-#qUGE&VMJ4?C_qlL2rO?W@?BB4|sXu^}NI`-#O;<#YMLZUga z6~-O28a;fJ4ie$##)U`s8Zw#bteN+4OQaQf2d&kd%b6%62GB1jBsIO`x=H3pbrxcmz5l?xAi9{FNfR$YH# z2!7{Q1*Q`V6;P|#s97g@)rJh7YVA>{QC$mZkcLzLrYgnN_*B}B89p!ZR0&$4F<`4g zd>0-L!-in)i1Lx9&5QlmR=$!TNU;$hfL(OU45r35X^Zm>HVZ6B`z>0w!HVZ1k%By~ zjXeAiKjA|g_VzTuDByt+1*Aof6jaYidU|=UkzT5p5EvRIGrFqm6+L#33gPc7#C%JU zX6F2Y#_s#QoG@^vK0bs8OhEAlBEt?b9Pc)iWU%r+YN!Ef=qG}g%UE5kqO};3*-#k# zOJbNS$B`6R4?WIn<}F5y_+de%ZYZWebWcUZb|O85;ou6!nsfsOip1^(F5CoI-!9(z zEx5*zIsDVbrOL~qeRbkj-!r>~ z&QfBdj_Y}Bvqm$^AlvOnflX%xj9_0s_PDYmj`B;vh)B-Jp2UOI;0%Z0Zsq>c1+X1Q zxCxpo_v>cC@tPBBibjcDFHb<*XtdL1fLljY- z0N~7{v*9{bpddlK(YpH2g-p12Mq;90?#p_XAYh%)iQ+#1T zo0oJ|RAp$UEIm{-#Qqg7R!*#Yt81J86<(>EA9Yt;g4EJ>_E%2y+*UM*c2!*dRqGt7 zocP4i92P_#WdTBe`R7cNCVqdnE|b_(Elh(?(Q!_@yvM6x@ls;VfF?|oOAQM)Yhduw ziYYR2(PwQZCREOC5++p7O=fl|!znxRl4H%_ButZYNX??9o5-KX?xvfZo!;A_CD?F;N7&amU_5oP`&%^lEWGL0NI zen0RT`S6zbcrC7TzK=d(qFft}0J3D<9c;C$>g5Z2eXQs0u$4J6ZTTt_3)E7*S++7> zertcNbFBd0y_l?=ebeqjTOf>b?eYBc($BaZ??b7L+397t-IHJ1qRpDYXpom79h}5rhq$2fwb%ygm+wxp5|g^RoUMv1t-Gfe06 zC5GlOaX6W@zn2f*>zYWhGQ8n;Gvp+z-*uTrnaO-Y)5A@(UBD;+^CaJ3)TZsfqTuI{ z6-t}ePbKb<=&r59Bj{E+-Kxzt!8dc0Wi>7H0IJaIsdAa!biMm5=3e)P<$Wc4;{EgI zg|IhIO_q*coZXWmx_4HV8Ek$;u8FJ#;IZ80Z`trS8M0&4&!_)$xmvpEJeO2O$PSFB z&PB5$zN*u}9ZLc?!62TVl4XRgnOc}psFs^hq?d_+V%bk}y-#Y4IzZS*c$|MwX;SnK z@%B2;ec&PT6`_(lIDB=AaqY2G5b&KCLf-u~Hq;le;e~7jzHc8xjLDJ;;nmn6?8d?h z4sxbdhXeRe8m-!lU~kuv4+;PXPyhhsWHu6E4MA zbc@vB8@aGgG)Klm8G$!z@uzO7j0o92j*6jbjedCVi8t~5V3eM!)DiR>tg;i5)yYyhnl0XGAt6_Z}k`k2ZzYdgZ;?~kd2@y_a0nr32>q%TKqTJtL3{eT01Nbifv zBeeF$+xVj(J%U9?wpvB!j{&qJ&VG~MBc_m8#v?g zhJC~$%;9*1fed0Kxym#5=J^ea9yyMLDj|d0nk&sVZ1umF`{dxKRwq6<*6n9tT%LPZ zbEzhVSo7|z(OF-b7O`ENXRfb3AE#w%pDUh@#KswG-O)Tj@a}#k#1?k;H5=8-Ez~3#8m%|c9fvj^JP=(kdK`%iu#Vcn>0cq#HosPvbZ<5>a20~=P2Tn zUbUr7t+#DP#`(9Ezas~{AGOl-2ho3{3iGYLJBgoE4JdaBYZb{i8K(Diult-o^NVlt z&>V?eF0Zt?1FPn?FQCU5i0g!fqt!+jQQLjARro6=KaW?>=ftB*#KA2x$KZQDaHpTc zkr<5qLFX}Bttr5jF#`?bGx+FGyI+#*P0-Y1;T!%cgtF+B$GGp~2$h2)BLa(?G-<+V zJ(F`T9I##RBHEDQ#Z}3EwKEqox1eVqa`Ps70?9Do@y6qQX=7Qd|9ZhtibVib(d%4* z=e6BU%G#%S)(E{bzpm+S{3I6LTYtugA#%(&cu>T1%zz3z3Qt@yBldot!jf{oZ6<%n z+WJWOQbbxb?A|R{RNqegc%N8cINstSKM|uJ3>eoJ@jFWuh4nRE;m3m&?YPzY1wsfO z;vI-<$k^c;GV1M$WaHhvZQX=o#MlE&>aLe-SJ$A9j>?O-$Iv0YbOUf3+ku%HXZqdQIIplXFB=efI3>ki zzF5cz8N>d%h7lBfcl6B^jiCVwSy5*Tk_gds2baYr3AGvgu}=Vl6|{>L`Ow6niU=jVnOP)XNR|4T%cY5`Pvj&I#!(f zJGWpOBT0f(bw41aM+$aZd!4Tjb96Bz(0lB*b>-H6{ ziyk+8f6N9msG6h6Qp~}xs=oe=+YZOG%}}y-oEdc9^VQGex?w-F!~I3UBMU0uTq7L6 zEB6pawV!#H8#ikGdvtLFmoD_9mrN9bD9dT!8Y470F?6ppAgZWl#0Lbg>v8y2`f$iY zPX=7;kK@B*=sD*McTFdfATTQf>4n5{9LJ`;Xbf`Gsc|l^V?RP)BoiX#Z@rJHa@mxE zaf{^waRXHPg;~z=u0eM#HdQWDbZjhh0nf6~7KLhc3=^StFYrTf zSC|b;Dp@c6@of-@jI$aC+)~N+){exL3dT%d2lODAIMm!7{-HwkD$sFmp~n8wSJHjUhOO{*$Lm5O>cA0sHJW-c^A z!{>WQduwGRO`zr$u88?F?dRi&7VQ~m0W2H6^_~IMIy=Y_u9n{w=aGo*OjQ|WPXUYk z#nEKDn0a{C@3IR`*ZP(mihQ5xX<-c)?U~)&U}jlKjrQ&(5dlVKn|C``4_HvB&AxAu zdtt<--tew`gff-K#DkY9m}rM;9irrL0wf36Xl{Zm(m*Y=87@om2%5LLtxD4@g2fWS zDZkKW%z-3&2nr_XZ1*e}P?@1>CoTfELqf%RjUuq~)MpB%G$q4aO{&ec9C31Rd<iS^UtcY}IyOrnlvb}Q7KJKcurc7nkkZ4i7Vl5YMTzV;ByRp;{oCWV_n_$0TFl^{E}v{oL*xVq zXVyb^(Kj%w1!e&(+PAAiz0fQu^lpp_^+7_!H$(8HqP%)p51%SXvnti#;2XY4` zc~(ePX??G=&PvIZzOmw~n@w`xzm*I{n4*L~DbNX|o6qaMYMnhY{FwJ$9Wn$93fnms zBxj>vLL=pz1{5d{?+z|bJcFj+bGIS5aelW#iA8#U2U(}Q9N0Iyd(aBl4nVu?w~%!T z_5-E{2HZA{|AK-H8j$g}kDqm>iU2z*a2q#ZLPHy-@Y@}tVyjLY;W$O8Ry()2kOzie zgD#YljIR!8gV}k+Ja>Eog6AI71^GiLsmTbE11oilQ5ODcX4dU)@}*J@yot;*MdQ1_SLoEt%z-Rr zwBJ+cK6x6{Q{Y??LCx-~`p_-b~hc%$3GjP*z0M@Pc)Bk$k47^3IXFS-?2DSC4jg`m1ig*kuL{E=aWlCN zpLvf|3|*5}s#P+G3p_L-+W0&CZi?<~mCCH{{^(U8ngri46VfI(^c5HoGwU5s_0tv)TDhT%0|odB^WSq@Mq z(8_z;gmgA#%P;EhNHBYheWs1KypeYAcLqt=2TI&vTkQ~4h+g8m=&EhAkguXMHIkL5 zIW9Z4k*czH!b|2?gh8>C9DGhU1&iZ4rWh{g)oyM-&T=tFrUZJ-1E`td80G6e5pNJ% zGpA!V8j>n_XTj>$?-mlqnSgoZ!fy>d=XPnf=A)jaJ(bozzK&lMvQ5v@2!n=1 zu@T_c(*>+9DfBGSBhkvSltfHgn|3(v@rxP5n+D?krxwkK518^pNXOc>I z3K{e76bv}}u}OaIK-D0f2!C?n1cNEbr2*SSF741Vg*V$67HydX>g%kaC?4_#PA*!i z?fyM5Q^f0aSMd_tZWM#IUz$E3AVzufvSqz4yNaXW^24FmzWbU<(VpaoNl_u_%i#{^ zdpcA5-z9(EdzQl1H2^)D%O7DYv?p+>0o6n-N7q`ot>(L*dG z8Yzg({Evdw#`!t9V)y}wKM|PNI6iNVL2W_Y>TYZ9PR}MtTg(~BA-}O3TWk4TaIv#G zO3-bJMu{buCtvsZO@3rVagwF4gxfuKZQV040sY+;i354Yn1D3(nY(pgwFE&1-dC_D zf^-wcu?TfzBZ5OSuH4;2!GJp-N^tRVF`lDzr;IdnLI!n2i&M&qrRExTg})Y z2S8$-^PgSKW-(usd~ha(^S*0@avkE8SN%SAU8#4baPZTh)O3J6ph428EU6YhayEAl zjxJo}bSH+?cLv%yRPo*kXn^UA6X9jn_(c|@LimLC9F?VC0y z@g&(6!Od<9zmd%f@6V?imP14cP(^kIDVivu#J(Sq`jR)>88H21 zilN9alNbb>=sdi#oI4O;JQoU>xF6M@iqXLdknWZ2GeVZ20Kx^i#z;B;jgK#zVj-l= zjm|LKc`jgY66m9*td(~lh6;#(L!?DIogP!Y(Dx-szhpkc?_%ZC)j!bwqdoTN zuELZRIc{a&tBf<8+q87!j-;ncWYL;a`Q`{BpWrz~}g;@goGy%`#(8s z5+h3v%0CSTmaz!0QDlLILchvo(OXn;g9~Lryr8Ps>JJ4gNu|sHY;QSBzj1hQfm5I%w(`G zIS@P;M@BtwA$=~<3{*x}cTIr(hUUgY=hj_R8}iDd^~A^i2PgDOxd6JJ;OQfnC!lu( z)nT1BF)5brV=Ed)pH*g1G^YhzNxDsW_-llE1eLUVYjiFGhDMeF2_9hGrDh_HW z;MdTmi$;*(M8~ur{|?<4~5Jrd~zmJR#PhQm^Yr$@+xf>5W)gQ?YdY z>bFvX=GNeLm4lduQ~#U7Kz*UO(DfU}Q|2;_wRhIjkCTpJAEtE787B6nmFg!#W}q#$ zjo~2EZVa@sj%M}17yh3)Arxi0^!QD!rHmDKLEia*72B;@8B@m+mXvhNrXi#yr$M+( zQ?^pQW_G8|Z(-@KOTNq7GIQ3}J$y9cr6so(FLO_xl&AwnSJZY9Cj>x>p7Ndv)4K z%I*RJ_BV_g(>T0Ap#T4=iXkp8XAO>!as3;eg~Hf7~`Io5v$I}0|YZ{g$7IwU(C?4E@ z*Zj{({10&ae}KC@=>Gz9&Qwyk|9S)WcUwgN0TQzRwMatue_gmCWG?vjS0U)H0_lGg zl9G&gIoJ`o002gSIJ=pLq7(-+mz|DAj8x!>Ju_3FKhoR$6bMnGGO3n0000Q(B_z|vM6j_um%DEm_h&mP=BSSCJqc9cDBh&2C)T9 z-vBo!cPear+x%ndY+g97q-Yupu#gU`-qtip&6F*W5uv-EeV04Ax(p8X!RarqJR)fV zDJN*URw=Cg7TOA-8wXvi%`t2|df*2rd|y$~Y;{JuKvPx(>${oI@^ zZsP-gQ=_mMUn6ZeMB9qvHh?fR=qg}bfhO5h`6zil?4Bq|>2recBCIbh{E*=f2|Ekl_~gDn3$eJfJLQOS(bi+2g7hR`7?;xx%5OpU;po4d$G zNpj!AJf3VBTrJ;vkhUmQGf+mm$NkTaq1_7vuprA^bm4jS2G&l61T{W;+1vH7p#uWi zKGjRG<+`ey5-*rn#pV?Aq=D;n)w-4has49;xDOb({f2YUyN|B@73&qX=ypS(wdPoV z)SlmsgzGxuc+bJp9aZQi0bV)0@+0BlU6P>*-;&f~{dAtos`PSOyz=mpYP9)Xyy|tU z(Jd<$BU&3H(QPfY&)E?US)<^S9+STIJupM#w)>JMu=Yor_2D1f)KOsIVyMB_tY_&R z8rZ)LfMVFH;4$$VC_8Y<1s6WJYs%cBZI5h6#vgRLW%JAfnkwABpDCnh{OST|AmxWC z&_E~Z#&9`h7hO%7eG>1IW1^!WFrab*^3iAi6j{&Nd=|i;PEYY&-EFuAkH|$7uFKH= zh)!2k3|*Bv)PnaJVm5Gh=;mY~b7pk%)B{78fe^2H<>2A&HvowLai8^o)461PId$v6 zpTnrstjr##BzAwz!(v_LH}hN9D^kLqPokLj#EefbX-?@>B0R&VDrKT-%al3S#64QN zm!G$hon#eBVixOx!p1bKQ2L?3&rOM2qV*El=679^nd?^wqQUj}ev?L=l(j7Fq|eW1qZ)8au~yNLxh{v91b?atN%s>jO#+;F_*e3(wx zfws%-Y}>dfzaM^?PhXyEmbd*ycYbNP2_wJ7RaG{o?5RX1z639*LeAE(?d@|&%+|>Z z|KrAUzhgMEQ3A8<*gzw8$5qQm;ln0Mp-rO=VpWO(%oz{|>PwVCe>Q7*<7-&jby{f@ zCniw2DC?l6{)s8a5G{uUzx!t86bD}pA4x&8v3s`g^CW(k>jM?94v0dq-K@=fUsk)P zbaFSgmM_B}FC&CqnLuaiYPQv5-8zNe@gX&EnbLP&7e=jA!aBydgn_DxhuBI zSKgd>6t4&*ra>s43b-P@YiJpq+4Ry6F@q0hQ4_+(@;lJ*Crl}qN-CF0;zmviD6jck zFNe(2+UAWOBMB9b1xJ+1Kj|*{5^Mi9E(xqV-vpWpD#E6|XAde?6oJe5d?}cJzc`{{ zhXN%quCdgzuOHfZMesdy>0QIGwAo;5zNhsYB4D9e_8OLC!Xtb^n7++oIx)@!-+RN} z@eM*^*f)RpG0jX;e?pgA$C+an!#_Rk>G5;5(}tXYI}w8VqUOV8_xn-XA>a%4TKjtC=5cdV^t|Mp>s$c;dcGy7= zhm2b(tQvi(#Qqyi{dJZ%sOl4z$i^EY!F!mJ9innmqzIZ_#ObWFnaKQeIEM~e__gU{ z^Qre`6j+-7C-&#TFRKErNAsA0JX)ZEu=?q>>Dt4tdeK(&W#BSXXB|RL7Y)vvR8Gb% zM@tWf#U{c0t$0U+UA{JUjWD!s3nDV)I1igD@wm8};!jIxDRp9*vN}X54pCRjGT{V8 z5yhWm`2{qlFz749;~`~;2x^ij+Q_QJQe|bZTEahFB^!t41C4!$LZ&WLMd1=&>n$itt08y7Xp(#bM-l!z*h6t?3A zpJtthJ7hkfD0Co&Cqn_Hsh7Ym1GAO`#|t{>Za`662pXN2fF@irlAOzG0`eN?O|zG< z;~X@Tb3G2gjZ@^q+~l4Cg#geN!Ny5~9-7;+I?e)}lTXeUDhFD^+qF8=w|Q@% zB^YM!xJf6t#@2x_OGr0dT_*|sIrk%p^I8(Etj)?9>iLa~R^8*2fbv!$q0;m^Q7(N^ z*-_=ssqEBIfKE_K$IRzy1!B)|)FejBC$DGhp))4qr+)JTHC!bPE2m?~ABp&oO&p5P z0FvcbaIc%FLDtwDt{KqaB_89YV^}ii$27S_hP=nSWaOS5^Fm%JdIG7Pw+Av0LuPoN zUHnv7&W!Xi3l4}m!$85wFn}BtJ37Y6O7^fUhfch(P}g<=FN2L|f}AP7@b`<1pQcx- z(lj|EGTXxz`FFDJMZUd5(V&b&%Is@4XD;gbJhB&Z84mtYFqxBTY@DS_5^BPj62EZ4ypp^miNx|xa32|(QZk%+M`;uz z6%CY|=K8E8%b^h|sbD!h=|B2M-J4tcMPz{`4CHf}a@^F^kkQ%1R`9>5> zAfY8=kQo&uxTip+z%fX#4w8m|djnIjVi6KtDqgg8!SRN%lD2TrMOabVn<~LZ&`DS_ z5mY@x(4YL;6gi{gB;f}`Mtm=4SJF3CrEjt1z98xmVD0;qSkOZbScgNE{Vacu*w8C0 z$e^|aWSLO679!|K8a||wRc~p8SSPO`hOu6_3;9ek71SbfJaST89d%Au z!rzr9{Di6jo!pbHm*uCB6>(iUA!ZHwsgXDeO9nKkK9Zsn2hW8-I|Bs?p9927ExDUy zi;;}ujn#3;Gt!JZ+EEftW8iLZY)7*%GastfMHl$&1|QqnVbn=$QdF;x$(z0gCmsz% zZEQVqCjo#^_#hxojZIuwl1;xrD7>heKQ_3OAzJ4ViwAdYBm_gZbm`@x$VQ!>JhV^u zT7ZZ7F3+8Rpikr4Ae0Kgq}dLQ+c0?AroE}~KR@|FdF0(hp^}8h#SSjXquZwK?nKUR z++UIBly;Hv6`iOsd7I|?FWZIAZd$j$%@K2I0kSdYK#r1~Tu$eHN7LJ;HyuV)Zn;p) zLwkVHV#+-*C6KqYThnrypka-t>L>{=4+14ab|AeEV6oz5O8ix2ha`J`MHpMJc>6&} zv#@cl$*T*7>q?ghO7;AX01c;*W^v(M^ZJiW`#-Wj5hVYSHF2(${FP;GP$?$V_7o@< zgrocr5fBgWrOx$7;qF$-1NXWi!C40xHi%Qsn;&KWs6qNc@!7`!)#upXLVG znhx{=JKrEk({O9`v>CyL{|M`?Z#WVVxgdPR+ysMp2Sfq2p663-S-oDq?jh2wFYk*S zQ}!qY{@_YSCv0<$@-J-o21SkiGVmw9Gc!f3$)I1n;)F8Yi#NDkdoy%(Z?^LDH85${Pdw8B?5`0S)rqzDNSs z2=~#duq;a>E4|2|-F_(u)JZ_HyDMlRiP5c+bMHXJn8WwxyTeqEJf7b&S7F9V^!jV| z6gf&)ND2Sz#))!HmDC&Y`V{aJZdDH76j(0fZ2HiF-E+M9Y0W#?)0!rru#!qGN_d*g zdm)dxV}^&Zz8*s;&TujmRa6jc?bac~vO8&d-iqWcrA&za;DdqC}Kg7-P_!gW|sz8Y2P|c+c8W`ZnXBsmzQ}G%r+z+h~9+x9k~7X zI6bVsn~^|t!N=wCI$M8|0ITjOXW!;wo`LvrLHMfiLiCyR0Qer~D0}d386d8J)oi)2 z;VsBHbAU<_pX>WnH#BlKkEWsrI>>KBp@GH{+{YQUx8>%=aTZNX-t_kJ*jhyLHaIAN z)V?QqisbcGx2Znh?}wD>EBm%vOP4Q^*)qv2g=dVPlNA#!ZN{&~zf$qg3z_R&XfW|f z_%A;tkq;>n=06Ur$H!W?aN-;iHE{a}t{B>gQZdb9QCR-m3aBXz17LjTJFg=NESFnW z2$!2Rr5$phSr>18=!JoWIeuv9UIZ;fE59Pp$9gzPE%XiMW zUn&Te1NwqKmW%SkL00VZDRxf85o63K(X&a;hvvrJa(vFQu&2D+oB(cQLxzLoM_GD@ zRg&y91jUBssaM8c3YdA^J@_3EI{F~B8A zTzIQJjOsOBGg51(TcwJPDX`BCWNP4nHxPfNRxsHfq4{H=#{Ch=db>*f4WQP~+Zt?r z#lh=#P_|Oh%Ja|-uZv2b&hzrbn%sr<)sKa?6Te|$#lf4WZ zCu>5mz$R{Gt2Y8x16Jz#eqml@leOoTf*72{%~@o=_@YooyIaNk222TeJ<@r2?7?qF zWbJA8m&fL`hfEi@&>ktH+eu3uUYsLJYA85>)NXKjf4B^2xFUy`sG6cxTvV*Fa0oQR zzN=%ghC7CfR*`!4mlm5W>*1)7z7JmA;H$Fgs!0WlnwRE%WQ_cy+@1hl#m;GX;(STn zU`Ny$le-Jg5q)tV2n@>2&kCq)+zTGmA`6I?jaPAdoE)+flYrW#dq0|-9!i@N#c~dX zrOzwf@KjNWlu`i;QuLhu-8I3x7+`BPV33~`zG^`{{mZYewXp09d};Fe;S~14Pg;}N zVt)A5+Ga)dDZ4$JBD&qNv}n9!hhjpjjRnp$Bv$@Z!husz255$llo(q$_Q}(8bK#`* z%aO?Z)u=MFB_DJt#_*E}?A!R-S{<6zxDN*WMUOJtg;w(L2I@jHf=PANh0sOHu7H~s z5j9en1@F>bf~4#rGlmT3uO2)Y-i|m6yZXmqvVhDyvPZh4%DsiniAL8YzN|1~hLl6m zBsTLMf2#9mQ(*jK;94k~vu#-RcyO{#<|=wE`|mrkl1Fl7t;l597Q2CT)knU#iilWD zD}{x6)BJ{(Xs7eLkvSV0J#0J*l4MLqlr0NPsg`<8@5GE$3RkeBis#JD$t3LM#kefq zGCh%Y9(|EBJ2`WZ|kRV?+mTv@MdaYKXL__cX&+gyMXt3SKmmPZa_)Q)1r7)!%*;i0DlQFNeR zx>-%^g1qJ+^nHXV-cHVUp+re7VP%6c*sef2v_uOBqZM~5G|~aHd?vS*@ci=yWUxs( z%xF+QLLmR1DzZS{R{zs|Le2AX;d9pRq73G8`jN3<;H=i3Ux3DZ!z7n08Lra1{O2tB zJ=J;Fr3%cE@ZRhkr2wix{7hU2`|9QJhrFoJR9vrd4c>r@23tZn($2%1=@yv~mdwMZ z$~|;RU!ngZ26G@NFy zvC~>{;DkDtVa&!07C(jv7fz1wH?lW&n4-7(B5T|xES8C<%m+?t%%CvJnY+M*<;F<50FSg8;oT;KeFg;0B^PKGTb z3ZSwg&r=ip07ENBAp(C7`2M~JB`U1uH_(2vb8E6vkzCb#&3m#n%6+nvzAb@}d;Pt( z9%|(^^P@4g6c^Y%y6~7D?cD9+crY*$9$me2<+2~|oI3NQklP+N!(g7WuySmkajO36 zV_)h1X?(r3ldU3KSKa`<`eAX;8Th30j;CQu=o6{^3aWOeR908W+fcRn1Y*a4b1yN(vaNNl^ zYtb!NJy6NAW^>JBO;I)KS)|nQncvs6_JL%^z)wXnjG@!mz#5vL*2_r1l4h$r zM-K&OO#+f7Lr6BP2by748JCwWurn2l%U&&<(SkI#&8fkW{>gTl*SFGGAz>QzZ%XDs znE~`>Q@~P8HHI~)68wfX3J^o1h~>KIQ!QIQ2wN@zX|0Q+!mj7K5be|krKZ@5Fo}V= zHjGz_r7B7nSo0Hryhh{CbDN)%*w?cp$iG&{7Xc!}?~qO;yT_OToIDa(qBD)%4LV z-3K;uy{`cfOG5hnwrs#!rM8tUTdf=GhBT4w4xHc-6;po4Gz}Z3w^g^nK-G`cbgx@w z#*qr~P21Ou`mzumA|oPuM5ab+g^+ijXhS=kBiYA{B5x|0fr74Zov zIZBnl5YmsAB#gFqxlnXcdHiLO0RU-xu(0@WMUb=Sf*oKW!v8C(RSCim`*T8Bw>AuFvh#3GW z^TMebdPxq2ZUvXE_14t9X#76e0+!D0uONbzC z=%U891+iAN>NZ?f)M=mVxJK^PJ=<0e0ufhV{Zb>?Pv^`)@WN7_18z&z%az~*2F$K^mZJUt;6K&0_*J-Lk_s}xS-B%{szulAZ zU=~6`+9Mx1D$O0iOeF~K22;GPDF&?|AcqgmB0I9bE z%fVx*Ixveq4TxHc1Ib-*s40nJ+gnVCuZ78-*VH8F=uorVA3SZ$IHey?xZ(EEi_a$0 zK{mn?sIB+s1Hkv>At)G%>5>EC9K;5BHL_DN2o4e!YxbU`e-2`@ceQVwNK|Wa(d7(H zztj0hnK*J!hxyR@Xqnud>JO*4O8nfT#mW4U|1Grkmy8NQ>qVLQ{JsrN=J)|>cf5bC z4W0G+d9-k46UlCY@C{l>4Ms&Vm2UF*fb`)-;oVKsNW%1Ti;CS|lwB4OMu*`bX_CSR zOof~_M~k7wiTb%@M7C1fN!><%yMCpD!<-9Z7G7;rP3{>3@<1}iYci~_l^x@P4UscL z0@ttR1;hZoR^V$g(?~SZ;ehk&^;Sm9xj&18oz+l(af;B2f|GM<*Xno?6#E$Uc$e*N zHp5fzpa-Da{+a=O1P}l&j`~{Vf`8s8fwR`IB(CW0xr4k|)-)dTzzNQP#x(*C58Sgq zYW~)A0B$%z0hOA&r1O7X|F+IHo%3Dtz~#}O>h>txf8|NtSv{|R9$Xf7CA@j!CKCE+ zRsr76hBeBSh1zZhSW^vVAotc&>i|X`cfG)NKl(_mW#G53&stC$(A{SSqwi;oC^;z4 z?Sv>f6h6^6QGMTE*!Ka$(z$tktF@?*0gT@zxX5l)zLQra&f3F-LVUi}LQ9N&K@32? z$nZHKZHP&4c9uBhtLNao*DGvl;X zp)}WX3)4vM{@ZKSLv8*0LB%uFFD}TvT>CjKpeRf1cZ6jsAMN{u{J>$ohDrOf`#XPA zr+z-FbXy$6iA0nMk%>g*5!dm<6c0_7c1wbISq^MYRlH`%*a~d2NGXcQ8Mz}VgwX?Q zS!rI23GuUI7i39zz&aPbBYW^m)m20rupFW$eSZ6m3u5u$;C+#|}J<}1Jq<5gb?gd)YDqTUl znDhNt2B*!8+2`pQHBhHVZ9lA;`McJ?E1lZ?NU(yHyGPSuDHY6Rz`RY>{tkNEVrl&a z_3z^p;}r9>*Wa7f;lG6dkjTW1o47%M1k}{$VqX*7Fsf#Yql6iv5zI%)N(xxLM*qaTa(EmQNcQzuU(-@tMu?c}@x^ z?#ql14*+SsCF+A7+Qbo}Gp2*PF*gf3H8zC?U8px?1^jzbqToGb*7=FHge+hwqS}f1 zge<^FacY*M5-D#OmO}c48v&`g;Cc#Yf@TqgkR{-`YPjXShqNsF@rLWOcMTK?jQ$~x z2wqHG?Kg-ghu}-4Mv63n1utOPgGZTbxx?Peidx;TC!?62vNPiI&e3-a!pG=WdV&kg zC!MNm?3c~UYt*;ROF~RA?~yOaw_H|0NFxyB0bJPDG^ig(436kI+IkM>oOHl5I3IE| zFv$feebu+rR>Y8I2YBC$u*tj>AmU52I#o3!&w4{z!ksje~|DbR?{7QU)XAHMf6Avt|p6_FCiN&$1bJrOC~xT+6y)b=+l-N7v~o(GwN1Z@B6f zox9OEG0>N!gp(V5euz7y(sVjwFxEg~*xM+t6h^S*;+AutyEq}P3tv~gN)A*VdxR-b zqY?G1+V1VgEF$->$V<-xS-LyyTyf%{Sea;I%%_VhKZ)zAd#_q+1No$jKiZs*n03gz zcxO}{P;D6SEl<;<{`Q>cCsoCe9S|N_==mcnUL%~OXaqj2svUAMGlh|!-}Vw`i3NpB zR%h!66W?MQtydWp?k&^yR_dhOZBL{y*T z<6Q-3T_2vPh_v@q@kHHNE(}Pw9OB?Eq{a>be&y}PBPq>d&mfaCH|GrPz*;a$66h`` zW2lLBRw?7;=a+4uhb~379Z^yBKRQ(egwHVB`f~&Ojj-@5ikZiL>dMY_G_Xzeq3J#N zi~%(q+*Z+m0om|<@A9mfQJkp=Egz?ct{7{&$Z$xgYs<=4IWmkZm!E}>WjkON_vY-` z6Y6lY=cyS}RJ_3#OI76d<$kbBvY~wG>qUook9Qo-JJTrbw%l%`dYrs#=i?9XHv%?2 zQaeC-B(P(4l}2I;Q7M^zt??v?oFb){G$U5>l#E|y(U zq7RHXdTC`oSUq@t4vqHe*=~*T!1E$SO5Xc`+DOlZC~N)g%< zx1%P@OYi`x}m9%?GrXz%&9dIDU zPg(O}V?tLc9h`3m_)rtoR?z{I7`37-sx(bWj%Wc47<%~V#K@9l66tZmCi1Dx>CR*s zSUF`XPw?miUGrszS?LnmDJ7sWO~(^=xv~U_$}|sffM=)QSn1y}X=pJ`bCwX^QNS#0 zQdQSYT?m*evaPFjPr$_F3e8Lg>4<u>xT?!~_btfTujyXMy){0DMgxFPhjE**qw^Q~Lc@p~) zDbG{ArHvrK?jlUh8A;o3Tq#|)lLn)ivyR=0!aKf{1jHs`9+g2dgKEIHLS&W6!qR^LUC=% zOiW9J06SFh*ck9cnMYUb8XdGl$@RzSzzwM3VSq|1zDo3TEiqJ+w?hdWb0CODP8r60 z@E4orHqAY_2wA6Mg%Dni-!$u88a#{Z!cz(87AZEs`Pd6+j;C2Zh{JsULB*(!{!R2< zC2`on)=IZppTQrYKY&V`msE-FWepXF2ZPIiba zlhP#BzM(NtV5BYY64{g=-PLcH5uIS=DQ~4+!L}G}iY$@>Q49~1H(Z2HR?@X{`4jb# z*1fbbs4e8i;ji`}e#$Favt7SV5|l>4U!MUae;n11VjQ@0g5YtSRx)5$5`pUv9MgM9 zs>OCOMd#%i){mMt#OGssNp2ppc?TCzi23$w7!{^^lMTC?)W0C3iCJU z4Z0|fN{CGV8=|gQ+c@`bOS@!LCIz;Tb5{6d<-X+^AO}~O*U)hzu2VPzDknNdyJrjYY?io^?H)mFWEE3gpHz8{~V z%o14cZdH)eKsc~=ym#v2NgqY?0v%H@KJ>thah&g(L;@+_>J%{5@KI6FCIFVyPFSzF z-T=B^K`VJhp;WpNgtSX5#UFMN_egH=Yng}(OC`$R!Wd<6>7xqR8QRmz^3-4E`Y29=>0LAcV@+%M~^o0m4+R)bCsHNZXzz z?maa*&n+kF?xr+y;#=vDtc9>Z9X@U`K9t}J=CsCIG=5gl(LK=*50e_dz?Sp`f#y9; zMsZ}ZVH=UUFc^Z)dh?_#yR5dEYnubKjod(iZ`JU;H_Mf!-XTg32zMb6vuNgY7y2L} z-s3Rk-|e77+h!8Us7TPRuI4vGnFpO~UtmN?7lK)(zn9`e<9>K>C;3G1_=Q^d-LVfz zP>5=TO*jAz`a3$lPASkN)p09cmvL}`S+d*o7qDGro*!@?hyg`vAsTNwVZ26y^+h9b5hoqPRHp*(Q9P(AdG35|KU z4U^n;AGu(1hl;ir38iZ;(RL0X@-O)da|m#p$EU`30}sE?D&&9)Lyqafa?d-Z2RV#< zrH$EX;Bb&eEtqf}x;;L;pVO<2=UxhW;-9x+#pOmz_N7kKSKk2W)%&q7-u#&#h`B{O zPW?X0Xqot>F-%+~e~5;FLGc!@*v)7Ybs9AQfjH6wQPmbL%KOiErSTQuiOd4@?jILK zy&DCx`sUqx1unVV8xsl+D(%C7(b47ETQ@3>GK8GEjeEJQTl0#^M*|Hjs~#HtL9B8g z-?R8Ck5rKMoAo=igC!ZbH3SL~Lj^}L2=|i6Mf~_bH>Ub#BSy75I{S|K6roOl#P3t+ z$URRIc__}sqI@YDd_DHS%;U6zh(9S&ROEqo&AUYwCSUEBuxhR`)+p*o**|yiNY|y4 zpFB`*NA01_95XmtRX~)W=T+)2;Z0>yN$9t++hReF5yn0e@@MJ*XT2kPhi^5y$| zAWqMSCVM76mvxIz9Ijp3`FpRLct!%_By>dIS7Ct9n$F~)RxUk2j3f4h3O?FN~CRQoLDcL8^0#QRM4H6r!up)dYHmr`nclirwL++)&-etBNZiNC#0kw7k+}M z1Pv0LW^$nxss(=4|E?$M$(1^m*ATXPMYx<}tqsXN)mTg9o)4thP%&@?T! zMDo-W8CQcXS71tkI_cR}4G0jdJNwrqFLK^{CSz}3uQjjdVx6{lm0Mpt^*^Dx2gd;1 zmeZZ336V?BHC<7W$=}0uEhsPpSR$u$c=$e#OrFtYHG5`6BfG5%K8{!rlAYEEQRi#| z9@y>gvEE&O>AjZg`42!UctYLAr#)AKF=e~>6w|uyD?;u7o5m&L#*~f`#XBKyCReH` z#HW60zSHkTMKOZGYy!TKlceH_U60W1kwTF<5rDvEQajqP{bt>6;0YD2b7pOQE7Mcq z&3XroHZqo3YWmvX)}&#MiB_UV#YO5WN6B)n$J#ln%RHFyauYk46xiQO zYqvA(ce6mCKhcRKT)F&MNHMm&rb>{8w`9aeHcvY3Qa>4zU%cLAZ;}Z0 zHb%1@P?n%dw)@Kbc)C@s9xmlK_Hwu$N?Oa6U*m6qV0~1DebU_zq@TO^WW8uxn1r^H zc_apycKJfJ$Jzz9pld=UTTNBxqp79VZ$SeHduI;yY1La97 zWBozNrgo))O=q*PEo_hH*cz%K@S$snv3q!_JOw6BDrqqfkDVM!Z&;+b_7_q@zd)&a z65G@@UFWx53R$pI8+~nIWUcJ|Rz3ga(I#>*Xa5svKt?OTioVcC)Tw^%P8v#Rp+Rj)_OGY~(Hdj~M5^g*NKXl?hYa?ffe7k4#Vf1esaL7Ir z>3f>`KU=w zK!J4`g@Bf2PQc2`&hUZkId?;p6Yrw>HrX7@i4$?Xuqo+-s88rXdN-aV1J#B+V0o)=_JpiRpg&}2{M^!?7Y;J<3~kykvjBW*(B zpq_vOEE7kkl?vE|Fls0a7}Q2!wrKdM>Q4T3u;AmV8WAfqKYdepd7V@mHV{@x>GIP? z*2vhmv1H{P4G%S<_Z_KcVd=!@=~Qa=7z{0yP=bkKERV)JHMu#dDv~X*yY`2S+ z-winHrtmMbX{2Ry0s;FQq0*Sg?gaw`J08&j|>3d{pAY(=V=M({BK10EW=lW@!9R-4T*&{-P4pi!aFz=lRFUvM4Giw4=87^Ppa8)CCW`!< z=lOrZXcHN^Y5w^tU2a@ZV&=aHIEe<#NOb={#6Jt_FX$CG06_eI5dNl~{GE9Je|P#~ zhWX1b{cGF=9$Zj#wtpZwc~JiuMeeUCg8d&+Fb@tJ1SbH%01#u-6n3zpRd5tzR(E$) k=5Us0G!kPqvCwi-P?NV-lXo(6aB&r}(qPjNadhYZFJ2VTxBvhE diff --git a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0.xml b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0.xml index 84667c03..f4fbad05 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0.xml +++ b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0.xml @@ -209,7 +209,7 @@ GENtimestamp - Tue Dec 21 14:13:49 UTC 2021 + Tue Dec 28 14:26:09 UTC 2021 outputProductCRC diff --git a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.v b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.v index 9e5e03e7..becd7c6a 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:49 2021 +// Date : Tue Dec 28 14:26:09 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim // v:/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.vhdl index 30361c13..c26d4bdf 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:49 2021 +-- Date : Tue Dec 28 14:26:09 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- v:/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_sim_netlist.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.v b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.v index 45729d90..fba32abe 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.v +++ b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -// Date : Tue Dec 21 14:13:49 2021 +// Date : Tue Dec 28 14:26:09 2021 // Host : AW13R3 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub // v:/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.v diff --git a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.vhdl b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.vhdl index d4e23f1f..966076bd 100644 --- a/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.vhdl +++ b/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 --- Date : Tue Dec 21 14:13:49 2021 +-- Date : Tue Dec 28 14:26:09 2021 -- Host : AW13R3 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- v:/srcs/sources/bd/keyboard/ip/keyboard_keyb_clocks_0_0/keyboard_keyb_clocks_0_0_stub.vhdl diff --git a/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0.dcp b/srcs/sources/bd/keyboard/ip/keyboard_membrane_0_0/keyboard_membrane_0_0.dcp index a9068e887d283ad5ac1e0cca3857ce534dd6a10a..7fec38ec51e72dc0db07bc8b456bdd963c83cb15 100644 GIT binary patch delta 33039 zcmb5W1yEd3vn`ATcXti$?!hHka0wFJgKLn3yA#~q2`<5dd$8c{7Th8H1IhQ_`)<8g zuU-{R_vy8|*WP1A_r& zjg9P>+&)`JPV2^}vS3259p2Nk>D1%YfR{KCIZM6M*{9(NX}PAuAge5i;X!5i^Wc|u z-0w8xFzh}a9}n4mn=_5ga2dq&Q-*>`V;3oHWL+tgb@zmqy_UuutR@{?-43i( zsOC8ph#%jMy|{-b{hpb2+?3OZNIXHc@KI*5su*=!uWj1)D>Ygh5gP}P4Oc&2c=qkP zU1G+dQJjm4YgD8F$-FN~b4zhXA!6@00+q72zjti0YwH(>N_)|=-gA#Sx4QF7#))xT z?ly{H9qzcb6E(C*IElMQtk|F#gxa|DqvGuR9(EViw4A;|iNpN>6xBFj=Y8IGM%a&TG>M zAIH%sYn%9^D|ijHwd-~g`?#`wuv4gMBjo|y)itd|4UGc=&#Dm$%#C?_`W#VN`L)!W z{u8$2W7`GZOSL&G-ULs#SD)|BWjxyf6>H}9s7w-~@&3G;`@`PzC-akw`2Mu3?VU5z zE5O1_%bK{O?Te>bLx1?ei<^FHa54i;dlJlFEEF;wRGg#LPpDv#XLMmDqR3U+in=nBL(OP)L5pfltCr zB1tSeoO!U#d)h8aYwW$(I<6n|BW^bt zaOXsG)BDfK-|v0pF|X#uh3m6~_#bBX%f@5AfnD?RxzFUdr`|8QBbLM@?T&s7U!@AB zb6ki%cU0Ih9)(O3B5auV8tvvWJvstDmCxdsT>a`j-zdbbaN*Sa)^087(MRyD=tH0F zHF2bAGz0V^p4!)Ty=Cmf`?KNV>xQoovGrI^0_c&@2#ibwkM?~=V-1>U=EjU&@rb4BT5`c&Q9cq_0n8?)5-Fi z$oFXep2*PK@og$>ajPZ2fo9WG#d`6n@=19sL~AR!9~j=HzH!VEdZLpQXK>NA#li7Njvj-o)?z)dZ>aUFeD2m^e{3k>r`q$v6;hcLiSID49T}neBmnf69MSa$}#R=ul??ar*?PmzHJ98crTK1mANiH z-cMa$8&(th{}dXxWS_IF^{87ANdNMMWe^#6S|XQsjB&(di1tB0*^TphkdpZ6mJ6Qx zU5WyG)VN6y5_@!zf}mdsqZDKjargA5ugVAggTc;{B9<$swP|XWD^P64a%H_X4a)3y zmW=Bk)cUH#=fThbk6>@TSyVS--`Wtw#b#Z8VWAtWfs1c)W+^pXIqWKCDqgJlmHH)X z>K7WJpAA7oX~>N`OPGR=9r5mQ4o#Qa zV_`p}QvAFzJ_ZSBUo|>3q&flY4am}s=k=hdwjLBYyhQ9T(RtlVuI?rG60yHT=d~|6 zb|#DJkTFR<{2F7r(5o+C`|C1^n)t9W#FfSpKE@JaiRG{{<>mu%Sm1G3NLn>pmW=!$ zO1up$0eY{GaW4qRjVX5n;gE4yDDi2qL}=h|>G5ieDSeD7K_FC2xpDj;C=1#+`!SUC zZ{E@q)fgA}7#GAJz%oEl`54Q`Q^OIVCxFK#fZDSO*VK^7szXbwbH%@hqXl9pt>C49 zIl0AAD%_M1AIx$cVB2bpUp`n*3a+atY>)^=^lvsjBCQssH&nFcudEDxQodOk?BGAB zeJEriVY5_88plOS|DIlMqmtw}b0e)Q`5>s06i@v5z+r5YxI`#mc6&`L?K>fVi_`(i zy0bgRuR^AiE@%baF!!|Y)8~LkK2zRPW(kg=RX6t4`SGlGQN6L}K?+ap*4@$F#d%|c za1&gimMRsuDu%cZQmzN*k58EzLR&og_+$_fsLMrYnd9^ns_rXK1Z2<=sL%9xWZ)4o zOJ!&W*NP_KH?~llJVkx5-e$bc#sPO1%@Kiagi|)2-&sTvaYcwjL-7EzZ342Pp(r$p zKW2oM&McXzC-H%!RKja=zuE1Gzh7yh(^)`uM3m-rqE%3*^X%&|XEYV`N^gu|v!k9s zj@_I;vB-gKp*_o3K*dNb*`l9SQGq#xc*v6x&q4X%uax1wJI%n#4)j)@i-jX@;!j_Y zXKB;G5U>_wLFQ{?_g!TsE7DC_Gss)(wDI%z;Pkzvr4TufK3Rq`JXdu8E#}_RAMJHY zTSjeNoc`e13Y`^Cj=&8hnCWs%K6(8rfSd%|1y_Oo{+sM(Nw{Bd%bQ@YA$Z9OGUGbt z!8&`G*de+iJt|xI_t^xj_0Ui=EZsISNmyrLd3wl8(jL}n$nTps{*UFwjfurSEBqf! zVQP79pv{Y%Byc>fU*Yu@cAv7=oSFWn9P z=cWF6n18;X_#ghyOA%Mm98wc=eD&~N15c`v&;R1R^v}=z^V9$QPjKKbVdP(806e_L zyYEF+ituk&n18;X;GfDrFZIvE{PX?zAk`xL7uDi_&GP^G?0-J}pHKehzk)pQGQ5}< z)u`9G;{H?l=cWF6n18+>=O6yhOW{<}n7PCr+;zRt8R7!@>VH1xpO5|LegAp;f8O8? z!_d9f8zf*mlSHkvz#5|@lSK8OSNZ3a|9Pc*W(cJW@+%yNN3Prl6`{U7YzR z)&3r(H?}ASKDsbP_?`1z&eLOoyCgoBm5wU!`S}~8TL1h!);Zi$h2-J?9@Xj{-R7}$ zuw=M8RzYDq-nRkFXt8hHw1-+{=!mTp#UBnV0ylGgYLhKd@|4F%bA0FDNxg17q6J$l zeRQdd@b%X+bS5oN20J7@Y(uP$+sK4Qt}EkK>Rl5qI~QL#9vVn2r`MSc3mylHD;?u$ zNuW`V5lp^2Zl#D0_eg44g<PtH4w^gHv+mVp@9gL5R=UdbXrPzd zh)4Zl0MOyum|`aIz6EpQP^fX+0WQM_bqOh8VsWGfk<#0^6iupbh->a`=B-?7pgm4J z7ETh|5@!jH+SngoqHWxxwu_9D#3v{NAv^((fXAQB6uK*G9s>E@1fD>!ldJ#9am<*_ zsh2La<9N`R7rbK@a>fJV&O3mB?*=mYq3g716_eXUFiE0aPiHWG-F2xx`tfAj=$$KT z;u{B7;%5Sz298t(6!i9)-;!Y9!^@kBYg4y(7eya>Q_N;M)~Qkya(e&-$Emj;o~+6h)XPP)^#xDK*3_t?m|NY3GWIjX>Wb0_a8P34!Z;!M z{hoB`gsEuMVPA*_U?3vO3(*A3*l*)Di>T{Q=Dipd4f4Z0+R+J~5KmW4AyOkS%&bBA zDF^tr`gn411w5nG{jsXo#MT%xxv|watjM;fVOE`&eym`!FZlqAzxy##EE^4mfVGMh zGL5B*7LwmUpB*|8*+etNz&I!pkIGcjO(uA3?pTKl`QLDP%kd0|93Gp-1 zv0Qn=!oa%*)W-8%J^iFL$RK`9W)9p#J#&_&wx9Yv9zaf4_Km2&{YfCS*eU{&WCsqu zHJF|`a`N`)#9FO()8^D|i^M!F@1XB|Fg$VkhSAEtB~MC`RM6&;RFWVI0$Cr(pe;bx zAPWLnpGD-3kJgGQZ`!t1VwqN^DR1hwnGEpO?%Z0L?JK%2=T~%XkZFNT0|5O}1DT52 zCMCaA=4YuOw*gKyC4WVyWZSytFaR4DWe4G27PzLU$KLB)V~Z zvR9g4%nOa3?v<7T$5AZc;e%MfC-pnSh=YJQ?SxrTAn- z;Dr|}>p#?HI{2cpQuLzYz^w4f<9>@occc0CwfxWPUz19;m8D#KPl>A&Z5;7Kq9rhhtTx0A7Cm593rQ z)$r?QR((4`-<5|$7q^$NR*iu5{!-?2ATaFh7fQD!Q3>=mbOFm8@=!fE;#%{VE-~y^ z8u(wD-CtT&t_XCrTCsGlhMyGOYqw3zSDI27G@gL;Yc)Wes~9W(4GKl^l^0BnZ+yBJ z@Ty|{SB34bN)kw=8~wFh1nzY(F)y@UK-h2!(a??02PxG1C$h_I;O5Z+Lu_BFulSnKr0)PVAZUgy&FraN|yzm23#u=H- ze%+6p9fugS9b=jiUh$*9_@5tdB&b*fvQ>9URd8SN_lU1JM-W2Th>@Fc$(V>K+biyf z_lnOW;$WtXo%$$e_{*8U;)NWqcsxFnxumOtBmlDi`iUYe$PtMhM0#y{8T2|RzwXyT z^%?{ZdC>VHwvQ^s8dQQT6J${!^9GrX(#sxNU47j{CpNEp?S|`hv+$=u<9Qn8z3kNX ziodk!SDLK_XsZzckuQ7g)?4yrM|*Pr}t8txI{9=%# zLYz04#uBMsPKcvb{U-`?U@kQwyxa#K%)(4N8gs#QMOcp?IX>3`V(Z6n!G6maW1<(W zV~%{|y8=lU0%MLm!1(GKofORXg`?1g{%LJL*7a%4iuGxIkeP$b4rFd1^8r~1$YLti z892rd1&S^_#~gXbHwA((9_0^mp-}N|Zo1+&%KPGSL1qXta*%D5fv(FeN1RB=F2LSt z)z541cKtaz@gq|YjdI%(<)>80_4CcyiKEfw z{@ZLGb=@mbmzwKA+&7F7ZzS?@a0x5U#l+B#gM$ngP>>|*N$|t0gg7wgz0GMFo__u; z4kW?HuRj%Y>}0U5O&>ky9G+qLP)j&^&JJ+!Y=db~X!j5o5JPY0go1y}3q=DN8OT^c zCIT`gkQso?{$n1meqy86nCV^Wwq;_GR+Q=8{9g3e*&$geN$MfmDbCog@NSNE=4lx7 zZr)|~EIP5LW!A%ETFCNZ>^pUt3yQ$pEF?b%3}e}hV)faH@ViiDd}ak z>g%?xQKc9C&FMMq!_l?ArViNs(VOXI6oa4))9l|2bsQ+8GSr+-R&cs$ot={2i?_nF zd!S>>WG0>W$U|UGWoBQ_J=V;3kT#4Lr!F)GojRzMei`Xlsqnnx5X1)YH$(UbltBid zb{&Gy@m^E&@0&6D7=GxUI}fQ+)Agd4C~ywZDrL}M%4YM;6ixSXANw&o-E8;Hf?eG5 zZ8u?XnugjJeLVWQq3|`CvM1x9N+pP2$siHdwX{nC?zgzF7Q|A?AL6E4Vy7+~{D`ZK zVG`aFI%o}JS%!aY9=)BMbo6?_eTx>6M7rQ!x zhh{V7OFeb>-*##^+YYS{f1pxpzqVH1K9r#zWt6aLkNts@Ja@JE-}8d0%=DRegZZFR z-4akK7V5aY)Up~3BoW;w50`>-=K>9|)qMK)$1Ry{D`31*wY~Ckh%lUc7=Kgy;XZc9 zOcUOsC_015h1);@XSpOe1KH(%mU!Iqc_egKLC|VE1FNQT3GdWeBLhpwUVUHz!z{3z z-X40uWk!Xyb|Jc6jW|U?m`6*IuCaEiU#g*EZu|9L$rMO5t62Drry^HC382 zE{vp;2V}>JC!MGwg4Q$_XfmkSwN))XDoZ33vVW+XQq`sgW!{4_eFSQ$l@pSr<}-`@ zUlxs#IZ8PgL#sgD-ZXyCHYK@_?&U6ECU-R1>7vt+^~-l~E|fy9ln)l)85)VfuXG3{ z8{s^U!G2h_+En;L|Ct%s`q`6Dc^;FPC=0a2C0@(OBg@NuBuBBR_NaxluwbU!{)=Pt zk0R$w{HkD2O|BCso-{;R_^(S(3ya*Ok$QTIP&XJggG(wBg_;yQ4a&&U~($j>frpjDK|A`SPCP~QK0gz1XHM#1s`Rvf=U7= z-)QAq%05cU>ljRrPOdo7!nuU>o~HC3Pu_LMV;KcQ9Rl)Al+jV%SG$TIWWclTTb%@U<%AB(Y>?5HBv7%>TG`Hb<%GNkUDLXR zjbMKGRmL6$B&WY6b}&Ry->F67d=c-Wq+FMk>2tBrh>Kf`i#w$>8QNJ$$R7|i)2}Q6 zjl5eU0rQ7Iaj}Sp5aElS@~a+^w2a3g@qey8RN7Q>rjBIPm37pWQM9V3R8Lg*I6y0n znUpv!_VGw$(YJ2kyR#%ld?N6bnUP)3m7abMPebmba(f+NRkI5vqeB@(@?j5%x(=e& zHJ)vN9uSiaGREsOD7B9v+$P6F{X8737AbDh;ZG~I{vuV^JLZI-M@dc~|79JqLF1n`t`;Rxv z{D_$5M`LG$93|@${(+p3P?;SvI+rjx=7vV8EyW%v$_rG?2eFdUY}DGtYlSF~X0|c0 zpJ7o6JbZ?!h9CJ&wzvh~o8HQ_gEn|2?!^Zq2Xn*RIA>D2k%`6YpiIJ=Ni?c#TBvWBT^(-Kh6D!IEKq8+qWCx=5hp`pXmVmiw7qsY)d z7PNnY`fadXq2hqHCg{3l`#;w$K`x|B$B|yV9IW;!pm1cd_FAC_q}L?JkBYO?|wC#cRw~;j#O(xwlOFssGrO2-iMGJLd=3Ds89Z-Q~c5=bPs&WyOR@21{ODT z13JPjN(LqQR{q`k8#y_YAn zw}+IBs6PacZyPiM^g4|%eFj0&$v<;IglL;!;S%sEV)rY!mx;)^e|k3qX?jnUbq-M| z_yZrJ09wxDEen(kQu1|(+Up=EFtc8VIPerKPG)HSK0N)Lf|W`I2ndex3hrt_fDKcG zB700NWxWfPLL>E4azz~VIv1e*Rln&Fff@xUxf165I$G15i5g~=5qHgzH?+Tj%Z=W~Oq=Pzy`>JJ zpkC%hk5l{GAfac0*EExm2&=x>4YHm@CqES?j))swl>vq3Efle0F>vK03M?nWU>+a| zWGgB z)w2{LDrlt54(DgTu;imzyH2h@#vnGdKw(=x^K>XoYP|J0W^xbwLYw}Hx`aNi*(Iq- z)Qfg(JPtAFLJa-;?{nVb{(j)jIc}6}Fx{4EcSq__nDMVw4lAW+D!5z zLw~{Q*@Yn8@+iurTYA>E&y}4w3`>Xq*sTb)*V<$0%fb#b_ZDYf^HkrJTv!NQEC~P`v^@iTS z(L1wVP))-DTl}`SP>)<|Q9md9y81znv^c>Tc?mnhdWI{t7kBmH&ehVg^O9S~^7}^l zqk8uY7gw)_hQ5Kv>E@n+VbPyW!QG;XzR_R^v9r`ETm$w>hvHKqaeXRvzoC$3YZbO4 zicJ%{lE4t0i%b@@RCkKT{EJ%|pdx`49tLB)cDO3kJ4E^^=zDN=5HwW=f}#l4uxk*( z-e`t+o+em8K26hKsO-jS=wbUw#t?!KvNxJEo)Duo1Fgi`W$)0U8~3x;8o+-+a&IrD z;B{M46`P>rSAWs_DLP`L{?qekkMg@UF7DYih01b-xwpWf!)~(QxG#=LA07yC?HwA1UY0srx-mim^=-a6LW^` zQc*Q@LM&E30zZrvH_SqxX5p&*zY{OuBs>WG4{?Q~@S`m8zVMW8dH$GK8RH|9f*nlT z*g3v8)Y>}iP4JY?CQ4h-@UxZIcJihF;sE`V5hx?uvhAHsQo0LQUuy3&*t}s0@|w;D z*XXxSL-FG|6tJJ3XO&d`ghe=J(<}pxn+|thQ?Xn6C{<_cnB+<*cS!Fi7N)S8E2}9d z!P*yKZKdg=>G>AEt#WzfKvA_Sl07&>zBHpZKoC3je#Kc!4Guj${7=c9_7dwG7s=8$ z#{hr5o5(T!L0k59L5-fGfw(pKY+!F8kFF+z43TD^Np+4lyW%Lmk%~MM!?n0?Gk9ef z5r2BPFa_UqyMF`@M}ZhSUtK44Jdq*?W+dXgk0HcwpIj99?^QI~Fp0<#+F-~Mg5hG- zgVZpXX$kgQfsya#CkW)lroYjAOGv5$lK_IicwM%C@bPHa`U>mH6Z?Ko6@d600Cs>+ zq{%@_q**81SB?1HoMlsyA({2b9u6GB*@OdjdM1rR(QJ3GGdCj-GThP^QZ_gj4++^M z#>7s7fEZaqg+;trmzE8}xnKacZp}gf9|M^I8x#4=0Wr>&<4LI_7xWh4j}0t>jcs7A z1L1I8XBiX1`HBo9CMM|?@Z+g>AtF+#&`VH2$FZ8FACYOnfsnH)yPgL*UwXs*fASUWm1{8jBWvixT_bC`j1VgR z2p_?Bge8rQ0FsZ5RRM6} z1Y9U`0*#8XgE#yokQw-3H#&3u(RdP-Oe7;Gx?l;1@bZ7`z~#J?>i7zJ_bl|9*HG)* z{O(We3$6*qe(z%rLTO%61<|215p-o_?)Vsox|D#g{-bY=B_)THEcqwVrf&C2Aj6TV z`KmPi?F{ffCo7pawc-RDb|)j#@DT&iViRX>o|drT@Wm~YFdsp0dCBIreEilv&^1*; zD1Ze7My9}v>menCC5@pePw8JxrH=;W0n#6Ti3v-K;aM893uL54SG2^S-KCa1r1I~| ztjbxu8!bbV+{c*h%*6`@XE>HdN!$@v7bcvGeP^#Pm#n=3CXD}%4DneeOBE7uoTRQi z;~`y8djQLT^ie&ABs*q3Ozs0$l)r?^Ngb%pLli=llqn!7Wo6ihnf-~SFJJ#z7|lki z^fP1#S0`6gFdLZarYqRC4Tf+XZaXO=gUlyYv3HX=m9fN~xt6-V31DE#pXCkoRJAbz z>K?kO9gd`<2UtBtaiinkl}O3}`5$y3-}*olGx*+u$3zi>?LB$jOYqnDd!t41casE= zG3-YlFe%@6J72(R&ddZaDpkH)vQgqxAPZmcAR@VHq!655Jq^@j2R?;-F1GyCbe#@y zj~wj@8NySq295?p>h*<>f3m2k_MwLY88HiLwIkVeeLGV6i8SOa)aBC#(EiOLi9YOC z1JO5l=arMWU?i^5JmwnX7W+Rofd|eT1ad1hnjy_L_yQp!7^^mhtxtl7qT&so$##ZX z1w%yQD?zExyr9&*CE1RQ2`Gi(4N5I@fKp86AQr+4OWwwCeOQb!FeG3|bRh)4L*o|^7&6iW$`!bw`b(-T_qb^*go%1ys>PV8eM2LIh#Zty2{*sJCG);&N zVWt3m@Xy*FA?|_~ddo>Zq0nENz_h{|X=ug)F!S3`<)l0kO$1J>$9-q~p3A(jt0Z z^i)F6Ox`vOOOGU>X|W~Xev&k7`F{n_K~vzuW}*nyl|*lk7>IihZAI}Ox^_tV$A5aF zw?w@4!~_1RRS*A9Q$j{4#C!x_`eK;ttGX zSuL0t54{R&Hbtn?s|Gmb7dWW`fddk?aZwTCT%J2M5s1)z*nf0Ik$_ z>-zW{S#(cG;5}85ND^mX?;Z)(wsk>a#mTIBM z>7Qg%alUcbps9zYao4|*W*t5u&Lw&LRHa@ba=25{hO?qDN-h|g8z1hcQJRKRxllDm z*~FSAG1-Lro$Tp{n%HbJRHIw1G=PsI`l;Yk(*cqbQ`fSe*4s#g9I+{89cMhgq_y^L zhPb}KpNIQ6*l@{%y$N2tO>!YRO}cp_&2mhY0mEICdG@?(%4lUp@^qCZ)5vrx_z8GP zLnERleR*toN^mRW1FgS(1Bbw)hrlCcPRICmoGH@wq~vCBwCj}SPSsZF9sr$XYIq^- z8;Z13DYb2McS>D+!c;CA_$rhsu=`9K=nRZAd<+l z#Cr#Ap=L(OHo>g3>!|SYj9BQ3lZd*TbXUnf)UiUm7GG$o#l@^6ragOs2!!8zy*hSM zjRp~X$8(}aOZ+E?V-!G0?e8)f2&YfYm|&M!94m_}U^D|CkK2sEG`h9Bl8fTfBzTsP z?h(Qi20jHKir;8ZI(+ZY!H2m=!0&UBXpHV6dgq9{^u|>jagUislng*~U|9Z?5OMg& zp@e6T+1#nUO-((feu1AMuw_vxpnEyHBO5mGQDT7H8Bhxi`^^k!WJ25{q&nGM1tVKE z^Rc47D+GiW)Ipm z^2P@`3%uS{Yq)zYyO)$&44Y!>;d^hX0p+q`r2*wn!^~*2CV9jOq;|3} zWqz_oaDG)L;5V2Hz!(4QQa8YxdrCTC>b-4qnwZZw@Rm(Py|~H2$VX<1zw?3cixM2L zCYD3)AQc!!|JiytVqBmYC-StP9}}OvK-s*hhSn#@h^8l8^0u209x2v>hC}B)G^*w( zE^O;^5l+HsKex@Ww5Hd>P{~Z`R16S&g^RB2igR8M)PC#Cyo!M#(9bAmg`R?rUfdfYE`nDTA9nm`h{99@wLWdXuW~09B2{*X01Rp5tdy@MzVnzxjF3~< zWV}(cjD=2%xvz>gho$+|)TLDIpdpMut!4y8=lYy2vK?lNuUdJYC$c?+jIa7`6!eiR zb(1~~knG|vfcUTnvyEU9dpPsC8g$ESMkdh{r%;;E_=n6tTqMV~LqiO!(bvBx>jW$G z-`w<{4U*iQO$-VE-cABISc}*v!-&jboAc!*9gk9f%${qS+KIgw+S_z>%fGo;=+8&0 zWqs~g#?I>)`>_17Q`dgT#7Y8Q+ zd_k|f^UKq{{kumki(g3>j@5aN)wB&lk(pVe&zaBnB%oW26NRq$cZ>QjYKy41O@_vY zxPecP_m|tA9DkZUwXlsEdbA=T0AZjINH?%wQq_ z`oM#}W)O8CwJ4(=MZJ7Lp`#!A`v9{o_CxiYoJsUQ0_63Rne%iXmu`puueD79+>7@x2Pk10YRwknO$ z`!PNvE4$O$ZXI|cw;PppWK)f9)T?6vPs0b)mGr}xz4rEZ89s+et2dPpb8=ODzND)% z5O3UOzbo`t@%{RARuTL7efKJdxK5AHqLqj(RX@{}z7K1}W}fqh9k-m#FNI5H?_WN$ zYk1PgjA>3fo5PAG;x4^;7y>h&CridiruUorl5>Xgt@*mxyQne_aD_6Wtjh69frx<^ zHz&VcEqT6~_ilVdv#^w^DarEGZrD@#Dn#T(Q}*%%VhN#hPJU7#%GdbToS}$$C%+=p zLyvh6fqhpEXLpm>gkXnC-?ydCtBhp_t0u$r$^k{tlco4}Oy%|xVa3zJAJrhE6gVrT z(IW7r%PeQIhDz^U^UlLCS&Myvx>9JuCJUX|$%)w)-dHY2rSyJ}6=_8&xD+S8M!wTe zPL`_DE9oV{O7V^|d#~+N(|NG>swN#e8Z(WbD!<{bFc7?u=_e!UgSdyGK?0qnPMcxt zqcz~5F;uZFHyOIsTKjaV%}8~9sp0dI8rEdN$b``hTbnPonFIRt$r4Ztv@Nl^-WqFH z?yREV*5^3sRgfnKSkwP{^gzht`pQ(P1{ELkc_J0aGMo;YL~97sN3W^Sz8Hno262;K zxHML?ISYhUcLD?V&c$qolYUaWBEPD{65u+zY?C_k#kBg7)u=pCvvncjkv}u?s}gyK zb&jK!(?S58Qfo!9M~J=+xkRPNLK6CBuyqp0iq5yR5d31<6;{EeQzBuVRK~Js>9UJC z2d64fqCuoGabol5DGBGlWCRKfTifzB9nA_v4*BVi1$Q%MB;6}{xidwtS;NLcQ5*Q) zI8HQXuN_cx!uQ>;*}(@0&(J0xW^ZpwlVL{e4s-*wRiY{pJ6A@VZwLgH_+@^WBzCeZ z(#yRe(nuCf$a$+{3Ma>BIsngC852k+_P%ihJ=uwdelcfAF^;hoii&9Hhix(2SJhz# z-}k{a<@lwDloM>S`ja^fAA>;j+Q0O$7dk^N6b_Mj!7$0)`hLot&y()DP}@gOpuOp1 zw=cm9LTg3(&h>vx8e@dpJ#+-q?uO;zT9_EMTjOoBzW#YG zpl$a1#vML$p0u}H{rF&4&omY`4ul_!mX?QaKYYMB3IC)wIvy4E;hApLv$@G)<@tCo z`i?#MX(Ie_{_$>NFm~(D`Q=Tmm@}EOiddD88R!Y;<}kc$W3P8Yt$K-Rg)yw`*|l0P z@r|_$r58PyKH5+A;(LnjbCRRJggoik{61 zwf%iZ?!iN}x7%g+GYse~3jBW^Mv8~v3PF20loUorkS+^4kd%W428I`p%7q6w7#q}U zEZPuA;Pxry(@o`vkQGcr7?Uxr{aA_5;6V%Ib4d@njahh4AUtE)%3mFP6q=$nyB^lK z7UsGR?uPQ)4f+oe{~%ZOCe?rjvAb#e^Fzne2NjCAxvQAPjE@Ds18sx9Cc*Q4j5YXr zu^01Y9_SE{9^Z3ke!Eofb#TK2z!g_4ez}JGvZUXo6$$?f<%lSn$E?jxT;lp>{3nGy z@qkE%d!2+Q-6@Ls;7|LC?-dy=(Qhb@u?Wz)r{$J8;0t#kkUsO+3Ci0^g+uOO1P3oD z6iwE(JXEyxRW6sS@*hK_cX{}jtPOcpMOg;ZW9dQ) zbs96hIRK|xxy(7*l_};FA-mq!)uum1q3pqkz5EGv2>#X@M+QmWBVSm8y|ln6osp1~tsKV^A=SJ%WQ@6nFYAAuLhp9qW+H zWx#BoK)FS$O(JB(geCp;x3F{N>aS4coZR}mj>mL0jHE50pO%ohB7vhzKmV+p2;bq_ zwSaD|x4w~t6w&kZ-_RSeGB8d@e`Kt?7|NNGM>LBC{&K7j4=SwQb9hp4oKk&GZHMW} z7ERlB$NEy3w~rpwA%TZsJ0_aCy}90%>2Su|q}j9ht+ILGk!H*8xF{Z*=zZiHC5Czg z5+)pY@X7wA?;7{{@pb@#34>1R8@6r)>Pw0ykD$abzQRxxnafqlq^w;_tu=enLI6cu zw-yPj$OXy>cHbYm?^j|0uIx^2w(ZT=7X1-A#-GVCm7j45Buo%D8gKuc4MV(l7ZzB| z$)u+Gfs3$(Z~qB7kVqH4wp!RoG_F&g6x|4W=&RkjSKvHvC5b$+#ey?nN8Zn)01;z^ zfr4$y5aK0G*B|7TBB!1b>Arj_>EEuIZ>dKfS1%l^zett--Xy|T+T@5~BspNCSJcE3 z$AoJaDUf)cw?v4YGDL;`I%ehrOfM-S_xc#OnBSjn?7qwmKhc4UX=#K znnZ>^b*@hr`MxC{+jGMb-0K17`M??hLy|L-2VT^J)vT$ABgS1|j@O6byShakiSScF zOW5%=KAbNa=qNHL>TBEdnRz1*PpN|Dz*yN=1-=s;G!ztAkvwMA%R>~VO(yaDG zqOaE1aIt9WidBo{xpIFe-@2i+TU2-yUnA2*uDM)i0f_ejQ^uvus3F2-0yuJO9qfC) zn=Po{FrO1rylIl2Iky@@ys}O&bXH9KE(PF=f<|(#wSPbk#wL4$67&ieLdAoKmf z7UNV6*LlKh&`dSQZ0IGPYqKnT=-mzBc3T-vkS=p)}L9(Kyb}Td!7FTYd|NXnH@tEXt^|JV?{I;XYBYuL~ zfrf$5^zpG}$_BU?CVum zOuFq2oNm@$MXD*x%wI<$BjW-V3o8D&0EZ=i0%5LCCOD2spJsnYPvv>qN)DvD91SY6 z05&u^UFdcX%#AihCqXE=0HP!36t{osqJV{~Uum1QPY~^_HO%g;hrc{BBD*e}$0D%n zfpZVt!=iSf{*@2LgJs#NRAj1Tp3#vJ!G4-Dkp)I2XQ6gE^>~}q*FtKLBmbk%+npfI zHUzL_gl)7W{Q1BWh&|(?!pI}k$z(N^=wd{rSgm0#n~&}+KSRpjs`wlYXzY=Y1<$`P z1@mOkDG(co*TVcLO{O$yZ=4Veflme$Bzv($)+X+2nT}QlZsV7l_NglEovZn1!8`Tl zqs`c~?Jzz>&LAF1#4Oc($PN)c=_K>(CNve5-<+r-J`DGVIewZv(A{@g2vF<3?5(rPa^7R^Ep^_)j zwgKLbc}G(5SD(*^a2eQctdl*CrC?7?vx*JXS@r85PnyYlZ+pjoK!#xSUGYsgo|29& zSuk;W7!V`mjrfIR`LgX$yYIIHqQ9vLp;Yk$y1!ZlPkvoCxU;ds#zwWuPs?|-6IU-G zqR~ahro0v%S*Kxi$anqzE|~H?4J5g;9b!?)-mjYut6Lgs0iwkyGhq&0x_XSXNN#-A7JGfV%LZDwt!P zP`5BzY4<3PTwmNE)J)+?eQM%pPEZPLNMSlO!Cee&ut|7e@y3yP z+n@ic;jhPp;+Z+Pzxo2-{!y8D3!Z^GD1PUODZ;!=WEs`~WRav`Sf&RYk!X zghG8w*;N7NWr7pOn@{SK)o%rYJOmmOf}G_(R#fgeAVco!=tRyW8r{FYh5wxs&I%b# zfvT;i!{~uGhYL}<&E(}*J}PZIxBJ}{p#*p^kUOn#P&F^MT}H)W48 zuyB3xvN@OUeLZ=o#VvAozycU7bJYa0bx58uiH@1mPS8Vd!Dql4#@l~oIFh_|U~enz zcXXmr1}b;8N=D!b+en#3-yY;CdN(hd?c~U_Al;i)BV58&7=zlqQ^+6{ zweJ)BZ*IxD%l9js8D{m=KPl19739#XPmTRRQe*fSN_jF(_!zQYSGxf-iafeEB{%J` zovpWf%9bEg;pPrh?=X#a(jzv{*ZC)?|3n^{DU`y>^wp3m$Ehatmh&G}LG?Bx7)k|J;NDwB6r)mu6A4vBWG=nvwO#f?mk3x{J5QZMCt>&ZoU6t zZ}vBLeKLKN?<1kJglqEN{LIP+oo!!HoWMa@6^A`*v=9uuGt8b?g1em>)pjsPcX0*o zzolhBwO-RZBnGWMU!X865pGc;2`xV5#o)d12$`tLujKNIsKu*lbnjeNh@7hx|xm&wl{($#85eVPC(*m%-Mm`57-pkG-xCI zb6@pkclPAAODW~~CkY{Yo$7-3nLj!BN&EHT4IMKB1F%(jIwj5dbWB5 zXsHFMhixYASX6dud`7~M=g&R2|1isR!#i~qAYizVzI}Pwx0XJ$ zIu}!lyJ!FL8s1DsbFKOr?0-G_?$aaZ;12rAgAR}pfXvFo-O$#+!8o3P3mI^9w{bE@ zI5jmzGtk#x(~n`w#IPnku2RPzh=($iARQZ#WrD99nHpE9kQ@5IAQL(+KPpcrGa?(w z9m4%nc3gJi-9FmEdWpx_uIDLS21{i8Za3%HT_*3{DJ_PW4>tt{B&1EPh!0vwBd4Dx z)MxlpQxku41LISeNhN(qFh#`w{v{2yLgI4}urDu+XieD><4L5tfFC)erUi9~Id{jQ z0XGia52LJNWSTrww`;-!wv@%+0!DTRqNP61x1ecF;Cw+?RzV2gR1cZ@7y11bQF~rll99+N^;TuZ{ zKTejfHv$uP;L;d}D@`-YI;>&lM=g|a=y;FaxT&g`;ck%+=$hD(^FMkd;=A3oL|U7A z#lU^xOAyyZH;S-sJ(;^;gd4=J{dE1sAm#AGT3mCA3*#ix0eRBNwM&lKf0b3N{9 zXLt|L!@rl@EZjFxGD9k~=kBL;_0456#J^iTm6W%VcyL3yrT9^3lxcH_Is2pb;+s=$ zahNUduWppyUjRp`o{rzv(xPraR8k_f|Ig-pYywwT#IYhv(v_MicPD ze^M3VPTI01%V_tC>F5)!5lLNr*f`ekmG|Sr=r`7_F8N|iW-i(5 zbVges1>)pOjQ@e-u#C2@KsjH`fpuN|g~b6D7!lUR(gtv~f^O@?OTWdGsTSUhGBxJ@ z!P~V)2M)ToNH?!wY0_XBg|-rEER2HK_I$Z4CHCpFfZ~an55w0Km(K1T3$@Ed@XT-h z6qiA>kVT z_I(#Hxf1?OnaWPw6t=K6D@EFeURq5ib*O5|BvNfR8{a0U+M(mTF_ld#s_A-sRt7W7 zm>ViTIc3a`8F8aK_RvTlmA?(JQ?zn;9Sl=j3p)Xt%p3i?v#XW}ZI6)Y>b;G%AM;Ijnbch!0D3?THzHb}mUTjlWWyWgV?@yEc^ z?X{KQ+PT(BlJ_8@$j+`S9Z4;!f9zS=GETpWrG2nUM970GBzO@sB3 zaVq4y&={J%*{3ft+$$6Z`{c7YTe9xz)W>MNCCcmI+(9+xXl$YsI491=)AyYhFgxF3 zOYPLJRfqTe7~9XqgfLygAlICRKo$q4HpL2oxKLB^%07snhcndRPffvNpn_B&8YHQ6qg6aLc)U>D`|+Y&8I{j|5|3>v9jfCbg5htTa`n2 zmZ${GQYRt8P6~K)o%2V0S~U+ED6mbVt2I4?xa*+1YAL{k0sFwWT=}K*jpO-IKn)M zGIa31i1T`D7A~hIv|DAWqH?u-a2V(rW!eJ3(1XYN8v0LsQfa?k7bIE~NwkDCML_%m z0~T67MMY9^p79v0iJ_Wh;2{}(v0#U-ZY=~f0=aUCP*s;GgC3aXQ84=XS0u-?B_WU2SWfSpmQY@gK|_3Z|% zL6-Z`{KRGqcDEQ8j!%%8mgY@%kA!6m%P*CWB+Xi6{Xk$mUrD?Nk%Q1D4nB;Bu2l7l zzo>pw#&hg|5gQWuRz!#-$JPrMQIT2!=}r)P& zG|bP~t73)hu3G_H>~X}hK)|>=bPI_muPWtJ(QlWiMl?-qVRpXid8379pSK2>#+Wy* zlo;l=;oOPHWDVulnqm#urQnFXv};Y7!RxW*>LNSXW2RkmmEWomA152>%B2AuKJdfn z;w{|EedIAIMMwU)PvpT-?k48G)JaJ79<)+QpEMG+)}=`eyEI;h){lk_=49u*7m4h- z5NuBQdp?YGW<_xi=jeL*TpXh{3DMEMPS+^GBm}{ieuLr?WrSxTy`_=ZqvUmX^cAHM z4#K}gq?ufSIdfIYWT6UbiEjZ2#d}Xm(w4WkkERbV)R8&WOQhFD9rkqss}CfWeUgfQ zMndB8guOfHBv5CLJXI^;?9n}D_JcTxwtgtg=u}$c_t4UaMV7q}kXM6=5$PdSfg8!53(x31EuQiI6&i%Q0zC;UrNsxE z@<(W{ODe>C+=9$JgEIxBUW%C-*$3~cYuhkh%-XejOku385kvU&zLrOb{5gw%Rj?fQYJq9MPXCt1yDln&NN#pcr03LOBk6dzs9#C^;g^i^|*&yTX896n7a@?5}EKf^OT8i>(yD3G(u#TLi_#0q2hZXBQLM)O zyA%qsTv)5r{wKxdjw&oX78T!J*92tL@UGtBGiVUB3bFb$l@cL9=9Zz9ZE{Q;1O1}w zzF(mg%VQ>rU==c410}aPV4~S#1LGxeU0Vj0Dy+I8niPPq-S#s@Ppk*(mu6aQuaett zl3<$p8msx7xuiWsuT!2ByJj(BS0;!0~C@(Y8H&D%)8l-Vqg)e zpw@u{q^iOvt51I6dko)PRpcY7C8cmW6y2?=d)oSfID~DljjB>(?ei-cuXTH3x93AM z1_v==_zl2fV)PSC=mlGEvSZ!?yn9N}JY2(XccgFJq5=UX3+Gqs1c9|bN^naZP(BzQ zZAnWZ=!}Aw1${v(wql7le0>J8axu`>XH$F-M3$4i&MXb!Kx#f=j%SZNfzf{#K0nqc z-Nw=XO+F~77&Qxa$gLt6UKdC(UoZXN)GmAf4EzFkcga6)Kz1@@A6G~A=bzA zCj`xX8qo&N3{g$BIMHwRyc-{f@xW8?ODbIg4xg5F{uMR9;=ka=p(-WAN2|#`>{3El z@$LXN1P>OLSRAYU@>B&RAqK0%w6k!w-yxaT`ynG^#mTM}+W5;w+Ek;0+YH&5wMz!Deza#S9A zic4TyHr*|MKgHS|>r@l9%I&ou8wP=?rzCu`?LR>M3BE`v7;;P!YMopZIPpWCaw1wW51pc=yV8|TWC@s{du!El0b=&kWZ zVs^6!A7Xd;C>(efHloW2r!H;|XZl@f>;&r926!1{^9U~t*n#^^Bz4{rr)dKc%>Two zcgbWkQN^dWC8@2!Tx(3ev0EbM`)U$KmG2Lcta!$g3M&YaZk5qE{o70qwZq9DGnI6G zb<6pvf&RIme8XLyw!wb*%^$^F$gMyYYr*M6QUBF*xYvM^SG?U+bG^4;3I+=mq7Jf! z5%I41o>o6Ruk1mB)ZEQOEsPdSVuKl}bg;*wiC3k!O zNkzCD-LT2RsZ0J8FQ01|%A4~#+0*4~gfJi->InzW>^E~)vpN9Il(SEL~qniHDLnrn`I&V}OSLS~0<0lpca)LS(R>pIo9WtRSz z<`geheotYftRYI7$-kv23UUj<&ps1PicPMdc(I_HKc8aznren6<}(wSQrlnFHU`yw zbd2P<2tps@^l;rVUfzq<(6=uS+aq8Hd42eF$cHB{-HYIhTCMv}nM|~_HW0MhBKCC@ z3+sw&N_6)=ovVd_9V{}ziEO{}Cx(S*2r=aa;^edM#$G~%7js{lPleuB)8nU*fP)Gp z`&U9G(n|f?k?O?Ugz&u5V+VLP9z9ls%CL>UAi{(^-DeCAf*BqK+qkX`E$R~A*^xxL z9FtNyRs}2eg~d`O+T3J6Hh%~NBe`2H8kijk`;9ITV-#fo=2`S(zlAm-S}`d$6N<`A zEmf52ipX+2E)tmyZa|NF7J$8@bG4}39Gu;<-|E1DI6?uR5LbckVu)zt=pEz9a_)1T zX>BWvt;JiORiv6L*~KXHv)hU%r3gvbjzSo^B25at0_i`DuzGTeomhJuQld*0^|%zp zD(Ft5#@r47`&;*6oi%r12LQ0smD z3Ii2lfY&N8|G8>u`Jg=aMH{V(s)IGJuLWGmh~6!rdCcnXXyKL9_(EkMj}7OVt+;a} zgWX_-%R|a*_9p>`jhr-FBD2_Mih_Pd;dWGYR7h01JJx2glgEZZR$ViU5=run))dEj z=TLg0PVmP4B%^g8bWx6rO8z&_z)B9UEH=0AQcy_RCuwx>L?+KeGJtE+qv_Ad+|#>_ z=nxFxZ!6-pHyEn6v4&1pTterX9xUZ{`NU?Wk0{44OEi2Mr%P{!j}_5d$HX@_Z3}`tNWt? z%*n1y?qIv;-AD~;bJ?dwV5NI;EBBan2eC+ByUEf^qrHo%zp?m{_K0WQXC~MEk}|P4 z$RiAen2E$5sL>lvNbaf$!wbHhp}R-H???7*Ag8jc z;IQ?2qE6j-Qvq`GpFSX@cM}AgvOM4)689Enci!zRB~O5K$1-NU_&6pWP|Bz407K+Q ztH;gTS_!#13Hbe(vwaiQVIUay_ipBE9Imq?#Ac`dK{ecJ6R;R}mf*yNmL8?mXv50f(7L&F7PH8I}&DHop(p`ixPm=Sr zv#PfJGrbbR&)reQeIz?KezNH0t)JLl$h*0z$i^0&m$d*=I|Z>`p0yKS08Wu5?J4-k zB?uS4Z3NxOn;8!%7TxcFjQBbcGd3$}Y zN5Gbjc@xg&g;U0{phgP^<&S;9om0wh;yMU=MCG-m5z{vX+D$=zcHu3+!bRgV>E!1y zX$;so3Uh;uo!PaGbK;1TicvN#=U^%HDkh5%;(q)hoLELBx1h{~5S3X12O48s?{;>Y zmK%q^N+fdSO^#7SmyFCw?Ad(vE=fn?I2;XyCS!wsqJD&v8>NMt-J+Q$y10DdnqEyG z+O&bFidU3MvG~_e%3&m+H(Vo7N^+DaQ8&u&8$%2{(L2Nq3PHuM8n-gEIEI?D63+0! zaWMo*Jt}{j$=dcuTDDm;Drq;Rd4L3H>lAHP&zj%DvyD9=hMXRl?8BF=9?Eg#;RVac z>5-UXaMG6-E-fw5s1(wdzvq?JJeyMiX*7nRTPLr1iUnEepfL*|7q`Y>_KOyeSEGLD zO)B8TZjBh0fz(23C9C1l+eM!S|6CV(0%){6HMVuR*x_2Wsz`y*&7$JwdV1h_VBc$4 zV9$1q;=Y2cj_l=HB%DfaB!-pbTD|ch{AAGci5Xjz;tVzTKw`kIN`vv4bIX7V+>@LD zy!V5P+7TLQj9UPZ?$pxl@nZ6~O%##?=>wSk#wMe{JOIY-{LE*e)kac<;@K4#;9wdn z`82f2?v`p}UDSFtm&~1cjeCB|ruzWJ5v|x0cq#J1@>LwI+m&1?7fPIdxOZXxc(f`; zdv>}>YFX$nq6m_MeXJYcfOdvp5@U{(2UkSluhXl$w^aqWJxFD_X7QXB>{HCjkN^JI zBj;mt!Ro0rDcPco z0)=|`ay&(g!=W^Si4Bcm=aJq}iJAS|ILYWWyiLtX+;Yt7ck|fGNLe1avMJ#!F-qmK zhdPjezIGrK9f<(%#jgZ#3^3&+Mv3pm%i=GNHC)vjmXNe1fHY&!WlZ~MaZWHeS6n4S^eyx|ilvnz1`uLBeA~q?wuWx!} zdseo$`X^yR1LrJh3^ok9S->B8*bAE~k%Oc5W;?7ots^a#1DSKo;iWxr_z? zL(t`jEL#WWq*d=EjSv&TtAB6o`bVT6DxGM=_RtbR89iN{{ZO{CeH)!?`=IV9xqYG04K-+MInrC4RIBm7em>LI%9r6?~s86-q2) zhK8{?_wz7C@T6IN!NghK!2}LL?uBarHUQ{={6IGMe7z!)s!Vx&=gQ6YPBk{VDiipg zUm~#pv!7^2VA&(nazWZ>eM!$e)(IrB5% z%N8hMvDOI7>_NjvZZg>uT5L3bfzdPQvG|C<4(-aU5YSN|Jowg2i~#2IG;jvMk^)?E zNv@t~iM!1Nii*INYAit^2VP(VBwDy|@P!ySFd*hQ@j~C8LIL&$hProZ$m&~$MKR5C zggx?>+6e}02s8xOHM~_t_LFW_06<0oe;s-_$5!;FzBBjH)Nsw%wG31Hju@kA;$0clcgp8t zI1W^_2I(9~LhJ1}=;4M!wq1n{cr!~;sQM=;Mv_hX=DdB%8D<8*2SovPcejC+W;*DI z=mV37rwH_uYPvxVrR>Yx)E(;o{vmGRK*3UJs?#PIXd5rVLB$2pN(gd=YZ-yav*NfK!{BcgDpibpSbnERH z@{2xYwfXouh_5PjcBH(Ixxd~>aM__igJX+?coSM@)SQq0XZRNu#nnJEx7*Y0Usuki zElXyHiRJt%lGgyNNe_>(wuR>m;^Qxm1E`@m0y!~BD5GwQW+My$B1H}<6Qc!}Dt43+ zK%0EAZPYI?3RQ*>#S|gLn;LsP|H73WUZz#i25MChkIR@#h z7E{$>a906$f#sHfQ z6xl1Lu3s3{5FK{_7k|Ko(LWMKQJ60@4hu$&XIOkVriYMS&^Na5ZlzC zorz`;C11z*LKqEZIoNo<4?E_Y*x0Q4V_oSwigf^JyFNWctn)u*QVH7SP9OMd@p0{c zRZB+^70*4TE|FNQKy!=F(zj3O$UaoIVF78AOq#;Jub0XI7oZm&p+w4_J=517&7~_J z8A6l!mBzeH<+Rqs|U%5YDms1N*KP`zSO*CXvry$I4Gsr^9ZbD3HuR%3FqUR!NBaxFuB z8RXljGN(lV2-VM8_U;w*Ygs>JmJIMxsdjzLgW?tV8g&h-{#c_=;z!L>`a1*@gm_c= zfSd$FA92_G(WTqt2=d!ZOztZP_&sg6RIuPR8eqtMiC~=A6!Tr;VG7^DZIY1cdAZ8a zYw*HdwSs3mgN6W)P(&RT(4&mkjcdJ2iJ=!lKRIflKeJ8nPJj6E zsp#-#D`#D`R4M32*q#q5jAG5 zzO{J373i_J{6c?1P+C;UM#2M^IMwr1jZo-ux-5daiFk{x3ii(~AZ6?oIg=4|OyaAQ zu1EYumgC1sZn9HW=$&)15n}>}lE&6bwpdIu7GjWiNSOM$Y0jaxJsS{w;P>3QV><8w za15K$(CHm&iiND34y@?tZo3ijq|+WDm7zO6xm1_>l@_En<{dWhJ|-;M-vZ))>5*(9 zDP7KL0hLM4gC#`K1AWdA%bgpw`s%fICDHYeAE*r$9;(^d(TmCbLAZ#!4rifZMVF2X zUmq1Htg*2~rfd|iQ}MG~eo72h)O|Aur%on@f^7_~zao2IO6|7`@(BY+B3x|%WQw4T z`uC6wak7<2b0F~ns<6a&P^5z=E3bB8Dl;!A*hS50!XeGtjJ~Ytnl(lcjxF^C&ufh$ z9Qw_J$jNE}02frXSZz%zE8hFN;&ZKovD{b%7e@;Yh>j?kmrmjK1hycLV;<`=?w`9T zx%Xchac6|ccI;qVfhXiSL?>$iqnGZjc>fwCdgBR=(Kr5grh;D1{+qgxsUiVJn^w5Q z%2mp-*zlZNt2Q!B%8ZWUHip>lA8;X@eL~1_*(*;MlU*(!pW&HL1gVJ`|9BT$k5WEL$lZvN*(0t6F>&>Gt~?zn7>E;=z@vpS0%Pt@@bDew~NMVaR3; zg~?L-+s!de9OmPxCzrS~p2nu=q)UV$jR5Psf}Mi%{VDn7>2U`$bKgBHyP%A7EWWx5 zmVDbLc-(gKN(>7Sz4@6`_o)bUrVt@|fsO|_%kmbiKg8?Y+`R#y`?yCIZ{sj!M4|-J zmDSBszH|{E0(_um4vk~r3H+uhx+U|@Rr(Ecg!Blx?aIPTw&^n8(Sbbf&ZRs{ zEk`J})vW?hLlLlUe{~Gb2Q7TFa%y2VBf+uH)WcBQNemsNO(`Za3NJW&FK&e1oW;}O zW%@1_oCt+7SmQ}-FWAQa+vApJi2n09?Ury#MGwTZqi@Vop=qrwKyEEOoXd|xYb!j# zF25}9s;3o!G@r-UKFkHxRg0+0DWTo+Y$B(#MYRqfmCbHi`LmG=`cF%cx(D5ItPk`U z_RH(`c>F!=2M!a)&@H^q9C^T}^pIJeum!OR3O)>M<;$^tQ{nAK{PtJZ4R1<8{lQyD zq34{*mt0%}$!E?pTbE$k(3_`i*)uil&3e_y**j<5-j-3KF_9_XYb$-R$M`L{(ZCuW z(qsonkkSJVCN}47Vo)46mHkXeTC)(QEgL!Ei0pd2?ERuW zALommlMT&wVs)$@u~5kw6sU*IwP$yjehyfQptJ(9f9pz{s|sd4J|~vLhtG_!JC`O{ zXeT<^9G{{6LNf>|e@gBoa{Oi8(`$y?5L5?n{ZFMYe%XlfThZ9#9yoltptWW+*f>}( z1Wro7kfK0vQ~H{a*nPC);lP@C6EtyEdgZtn?fTl0bGY>J`AJs8M$u0Yq~?@)Y*0E zGVz=Br2J-;-~A*jb)@)2ps4Se5tJaVYFn!gY)Qi@%)MBq>G|*mGlOXIHCNYlB|Z-K zJ00avN8r{8a=_TWLRwOE<>OqT)g6&o1;fTT*f8U6rLks)E5hz*)W@rj=sG4+LU1`x z9p0AjgeCsx7oon~j=I9}&a@AkybT`UY5CDELmaA^R=usuejR;pL9_9AOoVXE)3~)d z)39(W%fD5o{D#S6LLEnpzx%ZI`$>et@T7n1i1gv^^#&U=|)xv+6k&4YWK zRuYLkHG%LROLC)5CDS~uyRhFUjs55W13{Pe0hP9ZRj5rPI>hG=Na+vrhJng@y(}II zOiy@DM9}omr1P>Ul$7P#yA}mtgpPO{qOwcj_@=0ZlH-$U#qY7ZfMC^}|Lzj6FK?^ znhO@$5?*YJf5ZUB4w6y&;*WuLhaan3gey?pA~-)C8wD%jxsPjtV?~&fgdfhv#PT^{ zSfAT_v)DOO@V-7ImK3}9w*l9;j>UDQj+h?$kQs$WGPwR+B*+W@e1ux%}%=3C0^!K$rkVW`9x@2islqO+e(qH?nv=O_Xv;_ zA5o3DhK_0hk@7`3#)ez()bSf><=@Jl+iv0(_4spO^4@+rf|HL$6E_&ms#L-x4WDp{ z{yyE;Q56WNwU`sJ)aacP!-x6vG!%~w!>W|L5i(vV;*Ft4V$TCG8TEYZl8l_MlOH>1 z6f(KK9VE>Q)NLCWwz2lB~##XXtH^(R`clBsZV@b%Xhr1#dhs|J%2 z64c9ruB-42$o@HTRS8-O`t} zS=HA?L*foWXS@R7KlyBA!}^M~7B?{d>>|zwXOp|Omtt(w#Cb~8ke7$#YM5RBt9v5k zS23abRiDNZs9nkjVlloJZw~gz(BFs8PIKm#lww}ge7R#C49Ty``aMj|$R{S5UV?mC zF!DDZo&25o!bJ;5s@oqHw9PlY%+%b)4TSqdE$6BuDP>`RCM@lqO&L7~)6UIHTn7;2 zQI#Ljq(ssrX6{&Gr-C|3NJZ;$Ot6lI9%Y5m*l^rG(kHPb*X%~vYGU}vjQW*LLg~@` zy35iK%Q34wHh=1Ix$e_;$ci8}se;jOlMN!*lGY6pAV^n(7H_cw5}4UnN-Spfibm}| zd@8hF;b=kt1`4Rl$w}1O@V;;$2MMaKGM3;6zY$j;9u4bsR2Da(Ld-#H7cs~Rf-8n+ z;v>AU;bvJX&l3-~Df!|3b^H;8#30W4AYW=!ei{*Q>a5I_yTS2Wpc+W{Y2{tLznlxW z-tq)L54i`sCn{9S3~}24VqQp0wReCdEnZd51_U~wz(rDjUxG_*4_i^F7+G_)#M?7z z^z!z?X)vegL5&0Q?05=6;y+WM8mrFYCx_mJcCK?tHjRFUAu*+8{06J z#0MSll1=FBx0>G?6MCCPJ>R4pQ){%S7%tGr@X7+2;Zc|n339XF@?NPNntgIqv?QWc zkWkBrfZ#k2@W#|^XXCaN%xRR2<~G6Pr>4HgI_2YHV7=|@rYAepFXiGeE7^N`53b1^ z_3ewqImz4^2%Y^m$IH$sX-6!vPvA-?yf6SUC7%S$Lg;X+fo<_AqEHb1m1BHq>hD?k>)d=N8%#X-}4FP+bsym`ufCY26w zCE%{>)YvlZSH+D;oNOY5B_#=_TU>6mz%oLUDI`n$svzaTee{QD9KVOsG`DOO4&@nf zGxvGQnG+7f?V?NcD_8{@X#Sdeu0>c@hJxcJQNB^pBKojXp!WUUgOFwz5sCzPH5tn7 zIxU)7t*w??o}$^{>j2=WihX2 zOnE<1XaAYhhcwX*s?>=n?LP7i0z9~ZiMM6+kfw94_IP$p7|TuQmd|;;v5D-Ao)Gg^ zPf)BlE7>n{@s@jA_gD;B41MPJ8{zmnCi3CT6w{oiJJ97pjhqmEpymwKj?^=N>beOQ zEgtt_m!5-#9V>vtqEK6x%BrNS9g7- ztUBBKz@2-%zP^LMN!=yn*BxHz8r>^LnlU%Ei|6~LX)wa{I>kwgTMRQkOs9Ay!6fWs z<76t^Oc7JJ^a+&@hbQ3>A!I&arVu-HfQBgFDvNo5O#HgP;%|J;+qAT?`31l5QyHgC ztn;zi_OshWh~U=(MatO}`4#_LZLPwUr?B5zziy6=~_==E6KPiwdvc=T}FbKv-wN3aVjn^ z&z@Q}l_o8=UkOvSR^!BLxo=DcgXQ!3DlOW!Lp_EmRi{{ma2z#*LC++25S3*JZ9+Ef zEjC_55y5l|v^x-!w~`*v&bm7_O$!J7IG=KQC(Es4PsCE4Tmf5PbN#aSn}z(s)StzZ zs?Y}OZJ^H0NIT(b$dDdY&;ptEHGK;L-`KN0*7dDvXU{}2{6I|Fop#+@*bdhWT|oO4 zszR$E(OzQgpS=F51&n!v>0noZ2by52R^&k`TL>EOf(JQEbk7tZ=m&g2TJK<1_HXEh zsEB7!ExKl9#NXmDf-=j$8Cp);|CqZYW4pZY5M9@e!pkev zfK|#7_ah2|TgB-_N>=B=$>{s!#3?+%uPD7#IntUn*y#%13}XFq$x^ifiQw{G4 zuMLMpe#>^}KI#zAM&F31kS&AVB+P}OHB zJ@TnTF!v%wD@ROoFfnlWRGj-4kZq8}d5^XfO%sUB@S##ImvrvSN1-J7yER+kQYz!B z5!E*m!Au#%Ya^3>#Ov>(Wn~P!l^QMtJ0G4KbMIX>7PnJCb`8*IQfo~9LkQ8dyNBX# zUeP<7QXNtJZScJjZ@%k3`{4cftr2A`%H)d1vYqKFvqED%^Eloomh0K%uQK@FEgN0l zJTh71GA9P2p{0&0NVCbEhTX_j?sV;N;q$IK>36-N%_MXS#;6_fHJi%4Xw?J|% z0lX8>pZwUMV=_i1?DG%9IJC272#9tSDErrWxU(@p6KY=Kww7e;+k5^^v>o$ALJCB1 z;;J`B`}zI)`X~_ULsT=H`sGt&Qfe;}bgGn^^3?K}(6}-)KKq!d-kmmS<`=1QcH?;V zr$P7j0J*!G*VAcN&==oRT?KAb-6cCO-#Y9)g&$e|yn{QZB3*u#+Qv!8!e?Mv=u1As zs2U-_2Iu&C2SdH!nT~>i9QoY>Car?9b;EL~+G7KR`uLbwlwa&9WEp3B?n^+1Ot^~* z4YP?NFwtN@yjX>QdJdMq?K$S}a6n|-3WN>$dQ9uYq}k)iFM{p{qmFeil_i6rWgF6# zAz}R}i*If|905{d3428B&zD?dI2GPK$+KxdRHi!Rt7;F+Z?Bou4^nY*<<)q|6%49U zs1?>KtkPGja4dHlf|e9+Wf-)9smK`ZKuR|to{wfOqZE+AC!x{?6w;K>=bjAqUDZVR zMo}brba%z}1^T)G-Qx#Nxj!|)OPo1@MQk+MP{WPMB(f?Zg?yi;P?k4^iN>wsN~51OAyd#XbwyfT>RjYxoUVj0{~YPt-5A6_#0{sw>y&Z)aeBM!LTMD> zwN6v>4F}0>9ct0U4(7TZWhDkxQNVP7ABOIEWlq?3doDdb^tf**eVgCH?R>i z=p`OD8yfhH;0T7!wqMv~K>4V@``VT`O%M&^+_)$g)P;!^CCVG}Q`%;Cd59Go$?#<8;X{pW^OlO@=Yv~vI#A-|HwyY5*aWv(k13)!Zf^tX z+u^r$^L#;UnH{8ie=bQ)1$Y{6!FgN5kS6kb>M5OAz}{9H6hvt*nN?mGZ-0UCHbg!+ z7t}1*w@AE&o?0j2_w?;51`?+L1X0Ky>RH_Vdbu9su4|6I&AkmT*c1{kAvo)8W+clz zZ7cuKY{qjx6SMmi-M$gkPCmRnUxt)51{GpAPWW&*HDrk3AKmrycIbYK2kcj`O2hhP zci=BrAnDO2Q>4}e`~MThk<`N5s^(6;yowD%dA1UBseZrg5DX(? zuYcvEXMHsgu7a>snZkgMk3Q@myd2R$gr0v0=%ik?MV!r?ijw+P5BwN;ZU@kRr6ESw z&9Km{bhf*NfD(!wS>pc&luXV;ZsBzxFs?Z{>?KJ%4M6-N(SdlJLDIykxJOAhl9~s~ zsn|>7a#&%st768Nv2ej8o$2SF5~vFoh};s!uUCe^m#D!l9-V>pfuh*KR5t0z;mY6Z zGSCVt0b3@}eo=Zzj`koXT5Nw355AMd`rO#d(32@}e?Gf9yOM%rPJXATIo4>`I@295rf5`KU)8v2QNYHFH~MEdm0!2(Q!#9^0&c0V;jH zs7uq)@>c(@A8R3c1iUwi=|E$7whF}|#8PC>9>;;XSk9a>)-lKV#4pU2qK^;0XxJvM zS|JA+#w-^Msc3EP!ooXO5uQ`zUiZsEq-kkcVB5^%aE_A!0DN7Gf6EU-OX=KK{HBsi~KiPKc)eK-@`^-{kmd!Q*S z6GSk)Ktlj*kR$nKtVTw|*+b5&{sx?| z1={mgf@hK)07b(FOn%$vnTI<)@C8riep&9k!zoK!-3CaZ7s=|PB68ex39*5>uKBBa z(qn)&z6v#I3mge-ekwPqtNV#dkEOKAHsC-Ob&u)*5~#7Qn{%`e9dO|35nSBbiJ@q) zr8D(XH#+tJm$;S2LakCcI8(ZbvP1Xv-J#kfC});G=P)bp3ONwLg~-$Ruxz@%vc~ZQ z_CmeM;Rse+*N8)~R@Ql&CvPjn*0oJ}Yz--_cFjHunBE@F;k92tSc*jxS=ZLRLuX~q zZ>p_;&7t!GLqSG!U)HQ3rr&L0kYG)<@Raq{j7;&ND8yXTIUmPWqm~}IuEcY-T`Hx~ zo(B4STf*GKQ7iB7ccJg_*cpyLR`*1Wf0{IFEpNgQubbN9mRLUl zpuN`GF5f>cAP&flBI%twnhJqMcn{a2|J6=!kK{)fJ}WlRtGvy+6jnF70s#rT$p`Eq z7M{6|s}jV6gN!}#{&GkSm@kxOk2h0(L|#YLN&iheoM2-ydhgoil8@}lAt~Q2Ez`}@ACgrM*#5x#dtL;*ecQy z{?lk);mk<>1dC626iLS4QD$QSx0tj9YMmM;STdqj3J>|arXnUC;aHiqi<}gSs%q+( z)q>B)tUvyEFmszzhKcpHQy4PWi%B$C_rL&CPgDJ3k#zeTTBC0sVA1`@Mv~PW5R8xX z%Zp*ima*AzhiSMijK1!7yn1rC7!ms+jZ?;*4dQIZi0P;&k^%4q&U~B565yC6DK5{> zNV3Rc@q(pXjutdS$5S)@F!z&3!ScS5?1{|c-~YeI4U6VvjMi~Y$wdgpg6)csfy$g2 z>M5ef*GZEe>gXUVOpS-YGE@P__(&(129?oS$Owy#v2i}f(|e>pcv?mHNe3)jx$qC^ z2Tgyuo5IB@srWWg4y>oevq@9bk5fVCsT%}(u`R{(xD#y&i?uE>>DL#$;C}Co4Htc; zBBaFs;s+;92PQ)Q59t5*WD!ISu(w}lKpfe5QO=4d4_N#ZN4<~jZ<0ONVijSq z%pj&{58N0sb4wJW?(sG*MYDu09M4>xy~%mVmiOcedOrheJ1+3gy-bbDLQQUrMUdB8 zcn_{^4Wv^S?e5n1XU6^ox8jEPD$6{397-00ln4$C-EX)2BR7?Xw`pTtckU=S)oEWo~eg4N!# zWt}PGk%N*-MUel2S^WDfQ8YLKU_)IFc0hoUKw~Xq(=Sm@>KMwZ`WLJalGsJ*K+Xxm zc_&d@t*UBtn%B-)PGtR^E)jNr)$QLgr)I;G!&8fb7v17A+!^bvfLm&hLC`eT z>54o455`3Wi9=qU1RZTwR`x5q;>F!3t?7D|Rc}ivaB}eK+dS;#syt3N=Lr*e;H!kc zLxJPhQO|lXaneysij+Kb;Oqy&8#~PSNpR#{Ia$(G3_;WfnT*WnrMvNFuSbB};sU;t zPI38;8MSlB2hI(2@A;Psk||@ zG^_>qKhidV#XCe2Nd)bG$@G7ox2_q%_dl4Vj0hZ%XVxSnHe4D7X?uWw*(-F{|97``t=`>%6tY# z`Co_Lzef6h0fGMm4g@AS24N=oMq&TIzM{M+G!XTme;tJXzXzuFj{5Qb=eqyzHQ@fQ zNTnhFMZ$?j{%^Pp(KsMFVgH$;!=RJwq7hk8B7lJCfJ9k1*-a&k)tqb@tgPMKoi*j0 gw3NJ9*v&*O+}XrM+{~neR5jI=*k!3LJ!N_S4?;}M*Z=?k delta 33110 zcmb4r1yCK`vM%oKPH+wGE%b5+D#fxVyUqceelm0>Sld_;c<%=hl7o z>Q>S9_3Hjw)=ab6d(RB@X%N+E5P;%)NGL2YFfcfJ=G5U_ifk%I`>)HspP5geJd~JyW#ukey!@0@SO*Gf?r}?R$k& z_ittnnSG?q#&=?v-B4z61i+cgsI=!;i^sxuse%0x^yniwyB;#(kt)ol6C3z%l&co0 z=umMVt1Y9i;nQ#fmcJ+wp{V&d$)0Rrck`!a!Yj9bKdaa4&Py<;lrH*SMeHcjJOxS=?@^(fy3|avEy*q zNjqVH$Exsz5p$7=O^{pnSGRdO8&(^2L9*k_II8-ev9EDze<*|!+?K{|3uNcUwKT7) zwaCwMiOpIH*5%Z)UFXKnzk9ML^)+T)2>#Z+zz?ODe@y$6oGYl4ZF*H|Yu<&*t;?x% zn-snc)D5lPcR0=+JiuW7=lKtjxq<0UK!unGD9+Yhu&DA}s7G4$D%L8P?IgV`aI%@p z)xGl`TfBb34^Et|QQWqT%#MAiT8X}TI=6CcBTmYG_IN7B%hI=#n(VS95|*)0=!eZ) z|B^NG{;|de^l-s=9QymGH^B3oEjrc~fgG+sbI(Y~VNvykrF^X1De^C~?bCRwSdB_=E;IwoO8 zjrr2+b;4SWU zGqYO8`uWJQ`n&hON2b2MJ{RY$PwKaAeNH-M>w3C4c`XgHDJeu!%#!ySk*MDv`Um-hc{g)uY z7>O2AJ$ni5r?%#|bIbO5F|s8OyFG`^9`{y%vLj1@2S=ZCDPJEKwh4*o`-$owkFzOe zRV2sZ3MG_l$}9VheS4p7$7rK1YT#f_^k+?NlDGQvc2rs?@&rg@uF^XUqyYQ#s-kcj z?kw?AV}H)@sPuQJ>In-)GCs_ltm0Lzd34DdeQNq#sQ3{}gcW%**pW(m22DcHc{0~d zu5Yy>0E0s8h9P$uTc!T7f`$5fz58#2=rx0=G0({p3Mczwz@1uDnC7qMHF^%vrYzK= zd@LlG9T_}Yn3S%qd3?@+}BHwigW7}b!p*8o|JX4yV;u7+1^)iGmUUDn18E0aT$x8v9cF{Fq7A|o2Pz=BNP!NnUTrKkMJ z3N{Oy>E!HIl68tREtazb7o0-tJa{USZ*Tt49z$QI6i!V1F&IJ2dZ-uwsD4_1ds%pV z+-EEZlM8&AHLsjCzqYLNaDJClfT&i#*Wz@;Ayi+U+! zR=6lBgbywaRpZStsU43DRr^}!1C{g?8_d3QUJ4a{*He^i*nLrH%3qA-FUA*b_eGPYwO_k0Dl8JCMK4Ml`7YN5Z;nxu@SVt}AzAgDGSn$>m#amw&w z%RC0-u_5EJQMIa#MXI;R-Y4k55n@pJnNo=-mqEprnGVJ$fyE~wC4|8dvq4ib5LcUi z^E3Sha$?1nxqzGy@kuCJ)u4fzB73inAg#`4IwT7|Bpb@8g(*@^ov;JP$j&BGT@7-= zNUL+14h@414TJi@f1|YsY6p^%fw0=t%+J&elo2Vm?BifOCp4uEUxGM19q^m-eddXZ z;7o<>%-gl{F9+9)`QF`ynZ3fkcrgQxw}kBqytX>tGHq>19r-7134r^I#q|e0A=8-x zrfgOM9#>wi)dHsdlGC{6s4MRRCK|z|ncd6*L1h50Vx+5v%azy7EoO$a`{1hO?Gi_Tl`xy6w1$euLV#31m{wCi*+fl7EV&Q=XppACKn5yZ#*N(xK?2X~&j3`4I9YLA5w zLn0S13y2PJ`4+aW9!d5t|5Yg1Yio!z70G}d()AYj-ksd@mC$py7}EO<6?KILMc(UM z4`d;$T`kHfnNe1A~yg&4q1TYvMPSk1DCUm8;nC>L z*zZf|uDS2GRJdv?(w%@BW}*Mt1pGG|wb|oCV2IO1jPQnXeYolb>R*fz`n&#%F@k^B ze=$bDP-#v@a*p%aqHEJOP8q46(P{>7rdnDZA?Ul0HhV*UW1=kxP5z?zU!?epkbiL-;U8WPf@b1n4FbWipm2%b`$cMH zL1CciuTtlA>Xr_;Y4i;Z-=3e@C47)6wFdYhvGd z$(#>1!BODDU{GnBi`>+8W74?XgDN4sU?RA2DR|~GqucIn;TC`@CQyZ;pxdh=14c3Ap&*@ha zcvO^yI3WynptoNT@8)Jl?D?3x+%z{?4CCPD0$PxGUpLM+^|fc|NUeSO-1l5kW8QoE z33HyWDWp|_W&@+1bh8ls~(c0DQh$ zLz`dn7WYj()}v~(Ii)}FZ*T{3uF44vH;x5u>#k=S+JJ0sW>A+|-1(oDJf%o*ubJFC zP)+^xGBl7K>}{X?#r19elz?a2KD8VkL{=;td#dT~l1AG1JCt3;JvV;r1quzg9)5%W zw9GU;8-4zXo6y1|i9*gC9Z$&PZJRS}cq(XmxmrDcVv2mVc3r#v*86P*_ky>@&5Jg| zy5POfzy9_fzT210;|lIJ*IBB$wd>kLI+Xk73FY7fW__6~Yjxwk8ENkea@IZ8o)9tL zHU8))E|(Knmg~Sx#|}EcZrF<{(x&yy>Qz@cwx(x2XEbDVCwV5WB%Z zM3Pq`7>IZ#%pdS5co{0ENOV<0v9QkW>kwe}fza+b*`QpuUF=!E<92=a=$XRuao)O$ zu{?1EI9V^B(-GZP)Gw-zyV23xQqD%>7_TD;6`?@kGBz}U;W9E*fpP2ZG)H129QVGb!Sj1-;_4{5u)q`HQko`1UEHUSWOlm}ZJkVA zut@H-`j#wnZ9fbfy>q+5uEhdHPlI8$*AS6IfOcGhC|_od1+dm1L@XoAj21OMV@{kS z(~MFz4@j=AC=Qfey(HftRPOT#J|O)d_z(pWq)8Bh1ZfI{AVHc2;WWV*cunh=6W7Q* zqehLum=njyMBYF~SR0K+l*o#4Mq;)!~*|H0L_j1)V) zX2*kiWWsU9I3p8$dbW>m#L1xDsT*LOv1m0F9f|-G5v?f^iqZD zH9QdGwF=n_E#ld)w*bHQw@W|D#z7!)=kx`?Utym+n1c8z*q5tS@PM&H&x&S9k%pr4 zIPpu&-MVw9YY$@~VbN?wjxQN2vtUtBXy73bVPGcUz&pP#F!D}IFmyv6KR6Z=5hy&g zHxLnFc~#Lx-u?)*_C39_Ki-u665aX4i9Fm`(kh-SYDVxOa9B46CJ7!MnO-dcCP|MU z;Q=U|`ONo8GSVZO@9T*B<)k={yI8u)Faq9IZOIYaBDq9AAQ7knNfc=r zF8ss}a$&KCF$HrJyMG3dtKg7;rY%+m5fwC*z+i1KENCoEx4NMv%$WpoRQKOF_yWZ$IU%z){mz{~p_`zXqR)mMv=&{2L!^ z+K*SioYkwJnp5{j)Jf*Q{{T@ZM7*-%f#eBZ6nQN-O?{HU%0r zeuCFgdyE2&8bEbp_YaSG`c0s{*=smA+v}_?F836MscZI#H<5iiW{Izx{Ir{dy2b2G6|ARkeq=8T&JfP9VBErJzADu<~`;2 zZ!`Cuz6^Mx?B8b0NWi~gJ2?%c>eKp6B>|E?O%Q^lPXmM+v#AF{Vte2|m8PU*&fr3t z)iJTRV5E$TQiGX(sL8)5O!Yfq-(R7#0o)sbRQGUcqz=Y$_z)2rVc4|pZiAQi%?76g7zq8_%{r4qQ&3scJXYI@(!0o%PhP?5Ko(Gqhe>R8r% z82rohIDr*G8xJu;nwf=1dp9(MdY{kTqQ(d4i4+h z&^AMz|4#VK(lJ#MNvVs88us9}Utt2J|NW?*60+K+G=qUL_l>!^`K-^HPZi6D!A0f`o7D8$v zcdX9E7q9PC-?gQyoYqlvx({}2r+kOQOWD<;cS@%}>{T}`Je62{$Ic6ASbXnZ_aIi= zk8$P||CB;%0XeqA7)?6Bt05H+vgnonW09u>Sqc+D7TL{#MGm{&f(~bbKLeOK@Q(UYgq@Vt#Lnwb zVSlx65b?5dH~;$hJFf&1cq2lFh~x{S*BK?Oq%P>H>cfWI&-U%Ain&H($1+ zn@dq(_*C1ke#!10$yR{1%V_xA4kZoGf6*)U(K|@u#j#HYIQtu}E-A()DzT>IN%{4D z*>z_!HLIZV6aTuX5d1Rxl!iHxQcZcDz(l0lRbUy^3vd(CF8KAvr>|^yEyX zZwGRC$X!*nfD+#a^ZKHx+WZLm4;Ei63ybUJL6&%sC5pR*Loe^M$d8f+_lx==B1=^( zZ44t&HbgsD(J`S5(A#)fgLiwwqk(GW$xt%8hjKAQx)~_pqe*FGoVvRh;;A+}WN)up z=KHfQ)R*+126Mw@-h(V}Q{1TD9w(&}rKi@u14yQfugzhnru0>Z|N7n1iKQdUbSyYn zt-=NJ`$%g4@A779>K${Mjm8wl<`l-+w{P%9Z1d&$66H}LP5q!m6H%#YV%~jRq2$yI zRiR}IbZnSGSKUr15^~DMs4`WBXC?V)lKs}{dNL8Z7%@{WvJqK80X>}y$RHc_UiKFm z-Ibp$Ww2Zo18=w^Z}_cK_x@5+W^ZF=uMclpWs@5fjT0tps?8P|%~ifan+jR|k1H5V z&^GcZN#c!9!)Exgji=_<5Cg?A6Owwx)s-1On3vD5RR@ZrCnWZYEC0euqx({(VS&ZU zDUgT0lB$b}YV-YY;#eJnOq}<4r>+X9^Uw-p@1F5z7K32Sj&d0LaJL+02^Wh+BPXnZtmHl=f=!A^) zifjMchA*i_vmajKk9M>)o?<(T#kp^@fDJS}9-HI=ouxDn>By`C`nNB~F>+-N`P)Ha zvbyk0-y2Qu7GBW7SEu&T3Qvy?ldEUYi(B5!HEn9fU>(?ACcKLq0)`(S4Xe{aD{BW2 zyT$Sr*4$&o(J_-o#Z^H^OaG&N%wTR9yzCws?Nz9w%pgz3-@wL{wAr8jg_#c}-cBhWOC1_jv5QVU^jm zn#kzn+z!d;<7Dbxfd;XUg~wycpqxf&=fT0gDsyY|N#dB8DaE0U`ReJ!NI`WCIlDi4 zd(95Xm_VZmTDy<@*Bbd)M0bhs-pSHG87$^wpJ??7HjFc zyB+#6t3r#=d_=4_A=In$o&9+fE(^M#Avs9D@C*M5jyVZg@aSg)mx#uK(T9w8MwCRt za+cxKjhLEB!on(OXzbP5d*Np#?2HTX4My%hoF&^Yj@GU-(NixUR_>fS#sfS7_yH-Y z8jAAbKFCI+>eT=gfe(e!;KYtTTAL@GY1qJezq)YVU-B zR==U2;0cqEk}SD^I4Bv(DvG}{WP#xMA?C|QQqS9cN?=DXJ_zkX%XtTJLdhbhUP&9m zB@(b4qnExmnMWt|+G5;2YPeYMk9Zv3T`6O^f+sjsvU`+3cU&~ATsW~CPBoKr%ouVJ zsJs)r^!pu8Je zqw<$i?DU&m5Bk3?<1CG=d=7GhcBdH1cwEI+cE$9|AN(>Xt}NiCGgu~+g{PwwJ3d!a zacL+`v2c>#b4!N*(H)*~=E>1FE5AbeYDw=L;Zm8WLta^f()pOlG5Ah7Gn)z@X+Mh_!tl~=dT^#>ENr9x?aof8|$_8P*TErs}=peV|(9QwK;<{ z|BOd?1pH|80maZ4HtQ@<{;u;@?d8Cx7(^%u9y=QUQQ4pFb&Dpvb=w;S)}Hc3MuEmLaeQOybI9!i;wTll(U?`K)SEPTSzSHz>ilvtb8=w^yQMCk zhh9*~`Af*dJSYT)=q2O`6vD0o3V|(n31J6?P^Q0xK)!@n_klvjBS0Y^XU(XAkw@RK zG!KOs8}sh(GvRSzTw4;#7C7Dfr}B4p#`9xGO)W=_XTB{7b{PvcfB241?mpUnj=L>( z4v$L-&T&Ky4Q7NS1;-(;P++r--TpckUVu*ZxzKVIo}kiy>4h-aw_B#d!+WtA8RVv7Z+1vV|;zwHt0=XAhZ`Qyih>FurWf z2~Hg-%el=7|K`(aYv5u5qYE6U_F7bhog@brNqC17WV1_f}EjI2pipe{UB z-B6HUJr=RISE4!oPA43cs$Pr|c5wWGO48omff#a7X*okXWNl5=N$7ZNlM{v4+FNDh z$8v^j^x7I9nUt;lVLhRjcO>L;h9G0cxp$LQ zIgK8ombA4voTK#uXsNS;j8%N$SidV1qfLt|QAwgoaIsp`mYDs_EyBnPCC|X)#8P3w zn(kDrJ<|Gj;vQzi6&K7rs~-_3(Jb4AgX++2P+nV>t5`HzJa^yN@?~H3;Cv**ZLA1C zR~_gRVtV~g2#t#!q#PY}@xk?o3g*0X-0wi+r_Vk8r$$KkMWT@9(TiN9P>yq+TSYY{ zQTgrkJejLbNk}X_m=|U`6Kc0Hmg{>=r#z{18c?BFS?v-jm8lWNQSgg%mA@))q_Mp8 zTGD27iwp@ZQ1~-c`6J=I8Obl(j;c#AD0y%nRsJ+Injrj&Qbk;Hw>}G4(wOlUJ$?_E zwdHh&Exap7jQrr(T&bDJR~a>8EdFSolg`;+kgluwD;&ZZQWu-wjR)6X(xvDVEIzZP zY^b@5rN06-e~u+B0e&@jAJhuC1Syt_ky1ShBXLAM7*T{5PN;E$0tzh`!}cH|;iu|6 z?(~o%10{V1W+U(jBygu+!@;f&t#ib}bqa>mCe{U!LNU~y(4V3ScK*a?V+-;8WTa0w7a6c~mnwkVrI z9m>X`TXs!*a8MIzGSU)l?c!0cTi)gQN`Ss14C-Ux>K&;9aOZlOn(3ep4*?rcUg%9l zYeXk_P6*e338xU$p|ua(%whnMW*IbZWfXlLMvS;pxRe)Niadp)5lwBVv*G02cIVfz z0e=bXLI0%s0A*p=WM3@~0!P|FM(PF>Y)7Ordk!+ij;}8trRl2|^xh-EMuYChsG-^B z?qBBT^u*FOW&&{F2~N>*4P;dizL38>(=h_SUZT?I1)+6^-lhGe(pxPL*V-|E4IMM`gj>+CQJMTNV+>W z0yb(2Og1(u3K~;WNlAGlN-W(j0Cg&@iz+!VtxG}&ssIMJ7Gl&tYH%<$N^Wp4F)A}Q z4j-R4I8M<4TKK^TCPh=m0tVOiF_S>|$21Iz={w1OQ&Ta?0@IzKsL{bex~QN4hMOun z_QqcFckGRp?@?zr0_KSMV$t#O6XM|U)8gYm(RmWtrGv*X#Xd#*(t*A`!#H;Ct=6xZ$bGwK`&B3Uamk7&)+s16 zC~-TGGM~tfnrzpS9i9$0LuN24Dlu=yEuW&`Y*GalhgjalunK0gn{A0DZ}_JNGfH0w zE3u-B07>ZA?X#O1G#qS2!2KI)?aNnQlJ0f-pW*@wRd_w>B?%S7?By%yZaa$9mR^Aae6V1}OR!=~CUdNx zA#>7y!i#annMmRLzKKed6;?IToI)+nW#~+|UiKqjiB?^PL9_L(hO@d3RoGdGBZ)J)31T6k`K0_g zQ98R3Mj8xSQ48V>fP6zN2=?Rt{vy)N6!wvv$UQ_DPF!<}bXG6_BJ^kvy|SbfwINUU zQ{(Da>r646x@$h6LwhN&qK-|(HuI-;v}MKFc86nL=nGrx1E6p_0N7q@%` z1&ix&DIz=}_;!Hxw0ndw?oJeK8}qom_mjailLppzTYi0P;IMVQ3YG9WYYZsGU2|MGFE!KQ$n>7(BYGUQyH4h-@truX$(KRDlbDsPYVZ`-k-gJ0X`Qr zho9AFVgzM*f}}zw9$5{wO^Kx`CwWkgBl{e)umoj5D)$$#PWv zDRF~L|62!MB6{5)xRkgBCJNSOe?wKO<78#@?XlSgrAvF){RIHr=V-GCE*!JD!2T99l(DJ zp$2V%1Th7@>Ab_X65c>ERuGy9#)d)$re=5$w2MJ6H*V0O8N{AYH`c`$ol|ZB)qGc8 zUx%?h3|AdJ{~?N*0<$Gb?q7Qx>}8_B1o7jGdvDm-szzRN{Bv|oL4|s|&471ykkee6 z{VC|Cf%u_aOVaUOWo+?hW>AYOy)Vg}?hzSS%cI^9+JHvK?44bkAyt18x~U56OB<$c z_(T7yByFA&2jc%t{%?*!tVHz7&0h}qaoz!S$XvT4aMjDHJ%+ZFLx$@3X}~$C)WPs; zDQ3#oQZ0;4FQra;OkPWclD_U((6Fqx@$Z=%oMEC+`LA8ojSMEf7Orx@FIF#tJCJ^V zQ1pZl7imrvz2}F=(}>@+?a|tb8A+*gcpGX4K8$rDmSxkol(|%2NSNyd`B`D@w?#CkaA~u zyEF4R4yK@X*$#(U!k@Z8Knrw@Gy$$yXn{fFA)5`PQFEac#x`sl&`ytNE2i41j6E zQ&{n%F^wVuVf~d9*I7*JA#*(*$W;n*J%<%P8&8WP5C%L&#_!boI_X4tc?)eH6XRy{ zQy^=v<6E8bo<>EHWqx3HgG7$5ZxCM8`?2kThr2Qv*|ZUD*MsM}R2AIc=)N|eC52|O zs*wcsv)zdf4EV`l+1k(st{7XlCi}cfs2(D+X06kc2Xg`;{r5s zpj_Z`L>))ikp}Yn?6EW=o`m83Fi;EXp|ujL!(Ao0#a+w}(yd5$1^m~O3-$A{ zWt=ncZd3H@*u(JZ##+Pqja(ukB%zmAd(iw7OF0xA_(n&PM zGRMF? zCi?ofYbp5pSOYa$D!^^b!^D%B<0rv8O&{-;W=(_Rsm^M`QlVwvmba2XR`%#bG>Xl| z)4s$Rba&VU<=)?0UyBRNhVNGU05ewW5~dG4+B=~G0O7I0+qu47w<_)EXnFmIc1U%D zk8sJ_u0q1zPZyx8HXdH;+Jz4`7CFMv(e4JZ^$FjeIlS)9cMmovr~lm6OqDV3?;A_) z8>@T-2n2;Qo&}#Tg(c5EG6IM0;|ekR777Z(BZ=8b_U$*92P3zZ56-vM03!?FcDFp8 zmym_cR3W@)hK$=l8gbcosX!H)@~7s{!|Y__B;HlB*xt{b+k@zV`Myiz+sl2>4a^B+ zTaV{kcSF0Ehv(Y~KldGNE6#D5aKi$4(&X7(^*yg;9VLW>&#qt|#is7o=Haisj#qID z2NWiv$Dco4T>O6csdg}$2Xs8$(RfJdf4`FN`}J#=SC?(}<2~-tWnY6N{gK{K#_?LV z^!H4`bIzlIv*_s*)Y7A^;X_koc&z08(XK#so2Gjx359xh2 z->L6XW)*Zbk`E%cw}5kg@OkErQ$6U)G(%Si(e@-Lgx}?^SSoO zyN>#7$4Ih9KAZw_z8dF-uI6?GmQt~QZ3zrfmbGpiIUZ-M`fQ1ClG^2|6dEx`i6s9tt^jU#DG ztEXEEM@_c;mGfDsuL$`Y#YgNeN>iPNy$tkv5SK{@#8sLr7q8Est0UyFvf*(*N z_KoNqN3)Dv%02w80_7GWqBD=8Ru~An%WyE6oF}DTe0H-CE(l39kutP;mz`nvdyQFv&i;BJ6#&7wwu=u*QnI?ApYF^Dj|h znHL=yh!dm5n}`clF$^xi8#@~4N8|#$zPcp_jWGIKqFJ%YHRW?#vh0szn0P z&Htfez0kGH;hFe;$fgLN_e`_@0l#nQ2E6KSd>rct`nGxQcwtC^27w1x-B|TI*+T-HyN2%YTTsPs)MERd}`drh`8`e_D=m{CwY#A;-yr`uJD#{ zjPC;TTTc~zn_74ERtE8=sQt-XYnP{7JmQF8`NC7l4p4c3mTP&^@vNzFEkUUXPzy67 zx{|Wk<@{Y}^A>ehy(nbRexT6vAT*^rk*4GM3fJ{a790DvD+?Rxj(J@E+5YL5G{iR zQ1q8)m@a1sm1IHBFXf_xf#D~hbKwI{rbcxd%gPiIcwAU&Iv3sA^<_r|GqPIxKPA`- zp&)h-LxL)$Q9eSn6xDIgrdh=&^DeF?AnV`a=-)C4`JHZNO>78ffz`yuLNjP^9Gsj! zKiNO2%!g6B3GTQRsuz22E|cwk3y4-X#oHC&%h$hJXP(?<_gX`Qcel;H6O;!atdHuy zdz9-tW4VkT0GdwgWSkj{j4QnVnkMbAcffYfGl(Ji&Jp&2#llJ@Qk z=|$#L;r<*@+$17Sh@z{2xiY!sciX#}TR&aQaeU%yQ&DBZ%`hw90%q}>#1OyHiL@+l z$q3;mn4es$w^WYxeWPpbaup$PEc+I^9@P-1d=2wgkr#4_hegq9id)^PK$**wHYa#V z(DezN+ImI9@si^=CEMCkSh_|&Z8q132REMKG~BCoiLx2%)$J@q$_EHb+l{Pc$Aam@ zrv+IXXz2o0R4al!$B%k_kmV4CA0B?W4^MRi|^^=M8xVk9>Oen54*^U zmYbcYt2)Y4ldcZ>PjG)RWvjxsuV#q~QPmiNn{}+HHUt$JyI>}@OA(KyUt3ifslaW< zkQZ)sTOvLp4%%(uHUxg40QlQBHY{jOHqIG&HXU$e3@HW%P28?Zdu=85p?qo_EE==$ zFw@~oP84%5b&tR1S}`{3c1*8u%ytxx06Cb9Pl~!^9?xz^i)?dNN2#*+dlU{c(5bNU)gS1#81elF$L=3LuG(m}2ff`nwzc z5$@zm?zgYeR$GA>j}4Q%`%T(79zpkl8AI1BMiM#N`?sELA>dff+<8K*%E(`85 z;nm0@a!}{n5cW$d;RoKlZ3iBzMU+Xcb)XYuvxmzc5m~o2J zQ^HK&DpD@g!&@lho4irR=SO6x&h@BLOk0vj8}kg@NB&g)83EHX0x=nusb(Z`Njs-X z#)xp=9@%X8=Dr0nkzi!Nh{v6_#oy^uB1z+-S`gN4Ii)fsbDzFm%!QadrR(f3aE0;l z5xXmN?PIFb{w#-D(#()W;pEA~1uKmZf>{{8B*2sq`J&$q|Q6`dm3dRQHG1iyX#* z!v_&P_Ll}_>`7mIiR};LPFnl9p%#6z|_|f}1KcJx9U?t~^i7Lzqrbx}GO1v5njg+w>f2rXRh#i)vY+3hwoBLlz90 zsT8%oA>R`I&(}PLa(WL5&~uvkP{?3lgs-o4&Xz_FW}h`yoe4Ft*GmVdCS@7)h!>+X zxkRxbaxZ$6iI)AjTSGnZPb83*0K_69m@46Y9hl7M=gMYWFc#cF^puES0p8#))hZ()Zg5tkCEqCM z#Z@imoYd3RNsu_i7>2Ok5cVN#QS7kr%V7J(d@FT<^JGI*L8PUWg+cj#t|&s017Ovl z7C6qa>5Y^v*5&9?%#Nng%jvBlu)Gg1Pv22Gp0zoNnAaTX)Q1}S?g146=W|5fi9^<#VngG6SfIPG&rR)71-VcyL0y6;Y+81?Y<>U>`bLg}uGXc+ zW|8-%EWHtof{5V5I}z*+XHis*Ru#9tDuEg`zZOogIY7SL~QLy^Tl^mCSqxCq(f7B(x$KlEDJwz%Puf2gxwi6ZV^i>=IVkc)u&mF*Rgc5qCQ>CJHvtr!J0U2 zNI{D3uY+^Q(Kt2^pB9u7nlvQ#GnShgk*t7jN}rT@XCwg$+esIzT1bD8sMf(elTgdq z(xgBsGFk(Nuc+n7ZutOif{~|)Ten717G49oERD>EC&;)Q@dx(%hDV@WuR(j@n+*60 zyu6^4z}Z5M)z37x4y22paTI$Q=fj=w(C^}Td1Ml>Oy9p9>>?fpPbtLCr6y5X5U^t|*!Xs7-rpCL}lu6(Xn zv0O`=R+CykYNx?fzbFHA@n&i}H_v$?jBl&9G^iWMcZtZnr@Lv6kEY&4U)grkv0CWN zpFIqx+hMN~Ua*|_)U+)yWFdps9gX>MkogcP?;ga%eb|Ztrp86PU=-=6g#)#QS z*|iyg^m_qL(eD^742+))4ctw<^4H;S9%@QUi;Zx$abm@#Ni|}C+>}9^siF8?vy1&=n{m#Rf8-^v&}I`=pqA6(s3ZK7fxVv%2;E`$n~J&QO|&jctlIkRFaWU z-ER=&S=c$~H@iduw(B-OK7CUP+Q%1c>b{m5sfv!|u2RVg#>Ly4Wiz<|zai`4WwW0e zem+hLAZ3km4lfksZ(T z2Ib6WyibhV6>N@=peua%KzzseO$FZi#JcGN9`_A$|GMFW|JP(coB4H|HCC|CHWJ_S z>HL#w8%d^xfGZ5h&vWvf+4`T}H&bu6q3U~`>?uR&KXF38@9_qnHr%+VNzwe%YP7S+SBK>Mv(f0iOZu+ZbzH}$U=^#p9 zf+(Z4dLzO?g6Pb$mRhi>+NX%5OS$ST)OR`<)ny`kU!^Z*LGO-uyNbzR#Wzt5+MixP>4E>=z<*E5KfVvB zi9$JXaNp2t=$-eW8*YLZcxRK-=%_ zT3Cd^yv|oPRfynKx`%h2M13u{6xW&!*5?Qw!wlQ{G*_w`VJU$3ta#MP=>Fw2WKH}$ zVZ)vI=rp_cLL_a`S8S%@#ujR<)1G&HcR9eq7vYB>wcloY-bE>$Ko$+TvY5w8!5i+l zcs@5e^$s9fD_YlbPSqWOQ&>3g{O+>foi&Vy5%LfH)s=D_3$NC73vH>FTU2J6;yprK z6kdZ1(-rJYU)s^0!lkk#{3V(F=MB;$Vg)OcKBL(dgtw@BRc!!0-1K?K=T<~E$e%!j zr{wNl64_B}xRub|MMBJ?-mV0VcgS^I?)Idn?xAq`U?8QG#vh1>I-;KRN=Dl&=ZZyBQFEhEJR9I7pZ%JUiSlENtI=5WMgj3&o&WvhAvxyE4E+_r*pPQ#dU$g2Y zjDu1ycXnP_KCSrZ%x*ZXvc~-M9p{(w@0?>%xKlGhk(chd#m$;( zk|~PgQ5S-iuSR3UxT#n8db0rc=s|W>q41dNp6#a(ZtoY_TO(q$GqnNp6K7ZdYN|`Q zGw=xsdSukkG>fw;9dP@lPUQ?rKh>DhzBBde(i#PVp`t1Zg!tL&pxpxGPiY{EHS#21QM8BuTDzhvX?iu!hocZESLP7hX zX>l1pRK%Lk_!@V!Kfu5xIi@2X?SRW;hdYgIr$pKwcJViS|3pLrkcP0Z;OqH+x_So~ zO`>iKv~6?Rn6_=(nzn7*U)#2A+n%;<+cw|)|9kf(_f}G=WM{8cr;=TjsychEqiGkf z-6Vd7y@>=lvB`rlyFE=N)sr1o+$90t0Rsm&%7mQjFiE-dD)3?g=X|7LPbpTPVMa5N zIM^~-Cq*p#O<5Gq)8;@EcrC}HFL&%KNkVv9Gh(X3U!q6QKKIpwnXxOw!IzcDQjWj} z&S5u3#Fq%xFu^hI$P7@{mA_Z0garSL#F_^+ig$5H!UbU_WSoiwLiM0L z)5DX0hw$61vk!9u`3EcyqpzeoB7Wz!*F(3FmIUiG;RRqdkV=^`wZgs=-lWG&P^f3! ze^CuES1_)<>R#&Fv5${?8Ji{_Zb}J#!*8hsuW2C3EXCmxh2VwN>E1f{v=mig6r&RN z+SO_=A;nVGx9h6_Th5S5gpnLS&jCggZjm|;Jw=vcIDAI%vg=!zG|aK;4+@rD2n+w< z#PK*y4Fq(OBe;y)dcPRYU=Fb!oVx7ek0fqH0^6^{BJMjH-}O%^;r|Q@dyy7k-+R4t zj^)0+Zd)I;BT7ziqsK(xEiUrw6OQ(=(UQ^=M$t@ewTc_Fj_tG~_L0MoEbNCq!jOKApVr z8EUPzl-vmFqGdbbU9WX5DJ2f1Su|VIlNx}Zj0@>p#zOW<+ta-4YTJvU>=lcHDABXDbD7#GC=V=2-&z3mY2 zR4aZ@Jvy1AT&NJk7(^@!|Kf72+Pxd`T)QfBQx|Sm1^dAf7DT_)`tBj{3lMqLv;?3o z4kA>va>yQW{^Z$pOpMw!5!?BFfI=c^up;D|4!_5SlN8OGo6C>K`B~me|F27qT}t4K zk}b6)=cIT&vKLAZ7l`yK))~0?64IgsKtDtNRlF&#njk-gMWsRl1DsN0N({*i(Y16| zAqz8#;9QYgq@b`e)^wjWh>dUE(FJ%Ii{aZZ{%IpYQvk7d^SjAiCTOYs`qaX?Dc0{r z`E1AY@EV=0W3YR1UHomv3rawEYvEx)x7DRw*Cnv(ABduh+Dk8I!u(WbgHN#mI;|6>(I-<}2u@yQ_NRoToK_Q#4@Hog-VUdy)Uj(ql{3cSO z(NYLu<4q^~E6l&A$AV-*kO(dy*uvIqScyP^AU#J*1EH$_M<<%MROVp_D+X$Fa+4FM zjk3GdFgt;JWj1UF>SSP>CgJ#GVRd~4-wA_R^Be|3CdO#3^NNA*2Tw*5^b6&?C;({J z@pbe|+#ZKkC~;=}3EC?-zZ&2S#&nx?V-^&koj!pKEfs=nU&J{$!wtJbbE7JWaj`nD z=Y3N!*kJqS;TP^l0jkPhpOcHur{C0J4%}2Dpzz)43g;m5ku<-4wlHbDs=LXcjs9>I zb>Cokaw2Ebg=rvm1f3=PML_0FC~!a|;l;47S_S4m#Bo z>d;Z?ET>+p%Xq$$_}2@sFYf0TV7K1Kt0ji4by&M`PAlLR=OY9_PV*(Ff>(By;$#%{7aEz?`1fd+`<^=a=|;Q zt*3t7tMZOw0bxo*-8}C?_yUpXhyQRMK|FWKA-OqcI07E?Fa7x$+9qMQ;xO6FCxN(t zXxW!D&^$0*VH=4FmpSM@!k1Y7`lrBa$m~?N~$o&r!%tB9S0RGa48t59~Y_A@RgTY3Adn zx2M1lYs=C+=A>>w285j7WIhX*r>0SnC?@plat8)TrYdg~>@NqZ!bnH2{j&b{^+&w- z+tiR_7fnE;d+Y%!YU3T@%4t1c$OYTK50F{_CL!4Ki+Lx{`C4`-&X_7=icHp9EsVL3{h+q?Ym&*M&MZsmenIgZ;Z|*4@lM{#;MGWS5rhQROT2ZspvJPz`6G!^^mU)L{KBAI z2UIfHdh?PreZ8y9ghf!A5C2Zo>Q;pWuRhvkdof?T+zS(ktYQ`qQ5d*l<5Ly>(0L7L z(L#*P}p$r4HY0)|rl5fv0-8cmE=QrPV zxNbKc$!z4@UX!4-$D?!MP-sEOi(Ap~jS1j)2!Cp^;W69>p$O$nj~D>F=&z4HEu@G{ zk(1)2H!E&8Mw?j=7VedU9K|d;t~KX{o@lEC<%@=y40M{fOl})PB8o*jwcpW)5gK~$ z5RlXE!1q0dwRSvw7~jZ#v-m3(G?c}7f%6%7FZ+7jnE=$1q}8s{!)uHUSNZNG79YT? z&a;#4MYJRVt=o4faS8cGD&GHcQ_fyLr5|propuPB4a4tyo1gViO>%s6YN|M{2cg+Y z5b|(GRZxl7vWusy?W}6J^bH+cdqF9F@)t){KdQmOZk~$3+0*rht}w%V&kD67sy{3R z7K{4k#eB4*&GFp9MIU@%>r{S<;1;<{Q;7NMY^4wiY(nZ;8t8s21q5|-eIC${H z8q=3D;*v{RkdZA2bj_F*TrMRqq;5NrjGZskN<-OjW<=~q8tz$RAgZnoqP2Icp|_zP z4T;+IE2Ip=3wj;G{5UoQhTPE;q?OWs!9uk5vQgF*OFP^3ZEHng@Nym;6dP|A0WPi7 zaq+5}o#u@}zL?f$+QSWb#slo}O(#?20DpeI=LK~&J&58luz8j;0c)7Lkez2dzx=G4 zABgrsSHfjttQ+}|RwHFmxW;4#;!dvZO8@9{9#~?>=tI7hdfWA3CNZJ>&!*uD5!o70Sx~DI$^^bv`x1 zbotsKZ0o9$?3Zi?BLQZHTN(b5ZRm@Mik(VVoaH2BoJ z30q4wU1ZN^3l*REbA1@_gUZvo>ryAzB^$YiMk0hVfD8;-y-wuF5>50ZITCG?QcxjJ z#1$1{lq_6e(b>2!p@o&NaV)>jrT8XMPaQGsI19upyaUn@rUn+Pkdtr$0cY2W6(h+7EosDUb$cB=aR{-%LNckMzokfY-%$;!C?>07O^9Tgz@`C01Io3Kr zL$rjx?oHdaIw0;|^Pd@;2<#Vn2M!rr$(JXjXC^+@mbuLD@Lydf9C6AZBM2{lv(=<_ zD!^Rt5&)HiPw2SIKj47oTlm~0+t+YC9~Yzqv%)vHq3teE_Ad5-=F^7XdO+P`5anGV z^PDLsWw+EV-C$qXEUEp`Ll_th2T>YOY1W13fD5*H>I59V>)q_wUt*6hpDg5UPzxPl zdQ5cbSed%^M`**bD&eDhPu2^X_(^a0W_RwOjDUb3#8SO;c~=L%Bb`Ta5kYGt1ry~M zm}BOE9a4H`n{SyY!yr60R4aN3-vnt>t0)j0(|{E+psk;0khY&6P7Ji!arpL$e7%J``0!woV>!m z#ov5pxEYtZVrIdb)U{;vt(Osi1#&AO%7Ci?3&Ga)R#v1)d~FOO8)Sp9KY8DX%!U;v zzs-YvpCtB5IcQ1Smub-UuH^QB75b%XvjoWPD9$<^(`)jne@oL(||Tk{+(SWddp&OTfa{;}KZJgRZcbi!j@+r|Jxx7_<= zy0A(T_k1h%DA1|4GSDH)Ocfxk%eiux_3Ajlc@fJb8p8J znZroo+&)0?Ss>NsEZHml5&_mkMh-~H>#AR_IjKGEb+|-)iN+jrGRcF-J**&;4@vA9 z_rExg+i8;6#2q&<=rp&#kb>>(5G4sB$@vy+4KdT*r4dCd!;_r)WLZ>=tGJR&eUxhbwLDkVOa@_y zdm6WSGbxIaaO$)HRO51s4ji*L-mZlAAUeCGXrZ<2<-1o}Tl#$jV3dNTrl>SK*S<@8 zCW0f#w3?~n=}eM8fOQ69h67B!Sy&7YP_0ug>L_%($745_s6nraCGf;bHld`6cA&Sa z?-(A( zE-2{((UvvZvE!W*MSfZOX-efs+)r!HU;=RrcZ?F56qMqH^0IZI=E1j#1*OV7i6LF4 zv6c+q2E)HB8#%q6si!s2ny;NUuF-aiFSCn;bSrwsAzPn~b!@d2*GpZ%xS|?^!5p3C_7>IIF2c z_j2Y_8KEWIpQ2W8uSu~~A(p%nAo5f3u^+~++x)(t2l3LD(9yEAWA64pXN8Kym*qL8Fz!>}H(8_;jCPg#+k4@g)rrn7B^z-Lap*7`_#&sC zWI~5$6^1Sa&6XHEmP6jOEvtOTGcExF_cJWpP$Z3zRLS#cdDo@0WO1}5G3D3bQ&X$Ui~G|ENqMsj)K zrf+ZA`2NM4fcL5a%y{ZpdaWXb_H7PwHt{wA-S_)t5do10%DKoD4Pwhw8;8#8%G=T0 zPmM%`6aQMNm@j)>IZ^|2bd%cq3{So zzU*tzSOI|Sj4z{`(o1G`WJL1?G}Jb!bCl-dmOSf58^v_#AJ1mE=C)-vj2JoPFv})U z(Ozvj9zls z=J=Z|31(lmn1a!7{XUVDC!6OrmmJN`4H%;+nkuWlPG<&Fb-VCWeMG|Lm2NN8-_RMN3QZWTm9c97V2DD(es9#5gpL@G{;K=36#W-8NWQe?LYO@VB~|DRynGxMma_ zS%d+4BZ%}=WqVI&tU!<)K{ILPOZ9zr0bFY5mY-N}2F%J8F)f+w=_UQE0`y`I}jt-nIOZ8rS^EJg$N zwz32FHm>MiVuC9`mZtf!1~~e1j5<;$4WC#=ZrVdKc$f9R69HGQ9N{WMwOIb%wZu&zSx?&&w+Q zuqbjco=Kh3tl#YeBY}N}+t3qi`-Afmx*0)fx3epf{^52F#5n19OGQSZcl-`6zMzOq zG2{tN5WOppT4NqNShv0%oTZ-YKG(R7ym6G`7CzMv0^-Wnn(;2(+6?XnYkm%hM|c}< zmE4ENM{Hzg^qNKBkHg_Br4=&+4I}SxMQj4G!-Y%6WIa{tEBQt2A$NMU*M?rLe1G5{ zE%urb6X4;*!Tf3Q{J~bnA>AYvoq#ee22c~daHnB_2K?wsCNx^44k9}ppQBc)zkG2} zC4Q!sKK!m^kkWD1dDM$}wz~rqMuVCkL1GvRbG=z$19_sZd*}OmMt-nVf6~!1fOm&B zfTT;JC>;2n@KtCndVd+RAaR#ES@PDsAnPClMjks!Ab2rCs)-6Kkt|!~30_`jcgkjUOTbL>-_wFOo%xr8`Z+AqCgS6BNi7zGv(z8S;F3PyVrG0Kc z(f?tjRq^&&qyX1-6TDy6s>36GLSsOPD$=6H+PnAdQL){Ews3(7QWbsT^pdrXZX$6v z;cj!di>li3PR%@O(#K$#Y`x@wpAMab2L9J1_4Me=ek)qSH<$^)3%BMa1`QUI#9v$) zA`j@}_fRQ+7cLfdW$$T@5WB(qW})U+Tg6^Q5R3CK1=bg zII+Fq*<_us@qGtIJJmsk&mXT>JvO&xs*`?0jmN1KMnl}P6EU_A%>D4K{q(#L}x7CBu?uG-3X zLM?NrQkv0+KQRoAUVpl>DyqUGsgHDB;>xwDjZw;u_KUH9a$;)a!zFr030h9tvfq(p zGMDtvuOS-)rY^Hv?F>H>VDk<7K zf7DL;eH{QbO8dbn_B2LdF_4C&&GvmKlAeC>?Yo1s+~tQ^MGy2P7b^#Acrm<+)5@zf za>q@cO^Ae3t)a?;beQt@Ts0m*{sR0-J6w-RtwZ@h2hYxk6?~kJzsCZ|oT=3cl^ESI zJ&yIB@Qn})-*jd87GTYew~|z;DE{`-5pJIdRN(`lMY2~_j#6@npN{)UVV^kc{dD98 zN`C=a72%x6Clcz;=_LBejWm{T(&%R!n)7@ZG3$9vDO2-3F(W+r4f;hBUF^FJ%1o$C z<=Tq`hX)>ivOd7A{^vh2tWS<8yl{Do$g15~5S0c)%CE@|U>p)O5#M`btLDJqXuc|F za99Ae#7WGnrgY6vrLnO75ccUT|ILf$QL!RQ8!QdI6-fFRdDH9Dlmt6q9tpDFExe21 ztHXjs5nC2z$9(aiP@dd^PHEpBT#vEh#Z<#4Z0H)Ipn%f^N=r_RYaKs)Cweoi-g}LV11g1Mtj0Hsja6&Pb$1Q$7Hv z$6E!fHHbf7?=B06m>Yn<{_+{+E9RBrYL5*ngQy0`2XC-eA7l;W?# zz+@-++H+|ALz~iJL{D@W-HcAt?f!ZN+DuNdLk`h_)Ci~D-q$)@x6B8pFPxIJ#y(iPHeBhG*l>ptFBItV#YrIjlXpLAT1lMUK)bGXjqQ;;_#%y?f4$Avge%S@!#g z?C(QSN(3!y??MX&6-aDp3N|39dOkXsGE{qOM3v`o{Qh!nuA3F^8aiy)G{}GEUr9;= ziNd6)H+=)9v@v{l?S?0IL2kKulpRjQ-*NmG0q-#oEq7XDQNIj49gDb? zKK*?h;9kD~Qd#~PApIBbeWpxcl+>Z$r)y^D;Ps|VWC>9y?H$Itnje5`HEWp(X*1jy z5#=H=tHz+K2V(8HP1f{2$Q54@HE9G4!?}bKo)x;gGXu;VKYf4&GG@?0d%$YcUyZSE z#I@twID^QS0Z_~XE<^VjB>F>RbEVLz9UUL^rUiEIS5KR{{D$?hQiH((E-K$me~N;R zupXmINdk*yO}tnW+b@A6PGv~vnEi^zb(K zikn7|W@t&dGY=Hx=wo&@5hnSpc3-G1bs6$4QuSc#l+Ifq=KO{JqkC8QnimeV9x4=oMG&%5JJz@_)jIf((CHqB@*%=dy%;wT9 ze?PwO81f;|Gl6H3SjvmY6vc4-t;&AHOT0)w{Y64S(}%|hQGB}+g^}k(&6k&BRvAYb zIvsJt)9H?3B6I=5)tp&$GZ@~g^s6DDWA+iiQ0VNd0s2-j532?zFU`W4M(S{4{Ddo8 z)oPJMSSpG*dYTb|Lo11yQMedjUbyU*`$mEj6?Y8Zbb@!6$3MZn=@R_y?u77xe@yhn#NBvrWg?}BaP z)wiXvzc=kz3Vb-+X2=y?Udm5hH)x&Y{uW%I!9GnzUE*q?yuJw(#H5PBxQ!Q`p;6i5 zgZFV}-BD`SxqM~Nth3HmY+vsCBxo-e$hA%&Xx%tfD!z627UiMM>*Z`ENu8jw)V)lp95)QbY`@B-2j(qOL8*-QFAe@QMeev2H+XH;?bLM%@(X_pnY z09XI=3XQ7t(p^F^Y@T5Cm2F(5-LCv8pny>Vepz;sm1zjFfAGz^oP8EYM0_6-AaL@( zCAkN*g`PqM6isC{!I}4!9&9%J&Xf>Yf7g55Z?^LFH5q4)Uv`0NKezc_gU@cStha7F z>;3M}A8F&L4X5$Mjz?l(>n-=Y8_VA7k8NWhN*sZW$8-NY-yHRTF0^&=0Wz^wu;E$b zI87KMZdb4!^aR3fg4OA}m=Ol>S((l^+ztWu5faz*Pi_`f$ye_wc?X&wvg>1LKz-B#kgQmrCBG?P?F`69yqpY6#Z-!HirUDJQi4t~NB* zVJy_qlAXP7@23|x*0JD+OiZ%rcF88JW_xnbnIZVP`5_CKJbD1Z_riD*RVIj*eP010 z$tl*T$;UU|U#0GoR6pE=hodgrP>A&XHnD}kjv#wVI=*!l?_MTKDTz_si3u%*>s;GpDW(t_US{h|^tjSXKW_DV>sX4yL z*FkHtUuFn{y|7npA_wq3I|vl(H0=^-sC_H=Ik{l?9wgkjNjhMok!A7*mw|XT3sa^J zCz|NEk@S7R1a{y(eI%$MU1DR98KyP zl~Vo3F3IX3Cv@!@=Ii!Z>6i@v*A+(`$b^H(e9{#<(#44*^U?vB?Z9i9xfm*Ugbpt_ zC%v4ojxwg^A%&R5>O*e~HIezHBX2-OB@a*YF~qf>%^%B3V8}MJ)?LqeE#q!%fG_NN zg9A>KE+smtQ8{3HsCpx0em>SMUGE1b@V4%5@OG~c!!5C=IS5fj)#^U;t_BO8B>(vU zrK=KLKQkA5f2*c@8B*vLll6fe+yTM-hfT+eFF?v_l=iElm{}id=f$_h8?wbOZ2gWE%dUo2oEev4Dz^Ol?53uV3x-3=8WP?e=32-b5KB2Ve! z@8CthM&9CJ@0q`ucw8PN+JolPX!MQ2msj*2I0TR7s<}j=Z*Oi@fW5^M2Ws0z5{eoy z@wFu`Dh8xie=!^dlNm5?yyHc7JkCWnqQBosFPwaQe}D`m*$t2yy!ZT(0--4iCzQp8 znvx+dohDq95cbW2t_l*+I3;~fRZ*ejY4H5b2!oA>RQ1wvj-oIQq($EPqS9lK<-@v0 zrvguP(D_PurrhRe&w$t*0q$qeame*yLSpHysfPG`mIz* z3B1M$fVb;N9X~~7AG*A?e-sJ_{Ck13Rh_NWz=3;N*`Yw74iLWcc?CASVAvj`dC`zC zOa*9Gb$~eCVSb6{ev1xyan(JO>;EPz;uSS%Zy^ac*cXZFDY4)8a#{^(f=1$!{%C7F zYGGWa!FX2AD6tMnSx}CP3IBej`o*b(H4`TH4)j8EEkeh;|WFK@{nnGffvjwz8 zOCBJKC}WH+5ssY0;g5@4(zEFoP$wioJKy|WPx4r`%MFsfef9m7YNA4*08YJ}i~!Ik zs^aW!L8-L63}0z^yiSXe-)|W)dvosjO_-CeU5zx$E#g3P3j3f~q+_)X5;_?$LmL6| zEAfaAXxfyuM5Y=Aa&0Ik8&M`!vNpa;bzh<0rEDOL-;n2z5>u|8c4S%vQV9>~>vUk> zhr1Z^B>4Sn`A5jv*mKmvj|{$BFDYR3o%CXflEbO^lkhEL%})ru*A!KQg{WH#ygqwq zB47tT!t;WxOo^A1+Lz1>_irL^=NY;kbJsFqB5cnTRrr_t*XPL>uKR)Mn`q=0db8Q# zb#)q0_$qc=Ma+oWA@nx}@FtGs7BiM#`dygEivim@k1lz&Rzy9M98)JZ$ z7CLp~FIJq~W}JIlu>pfswTLH`S{M6lHYnYFrgks{a7*vc?rs-G92{Xz%5_Rs$j~aP z`6j4tJM_UhDb*(W#6b_hF9PL}9w$LdxJtOPQx?Q#HP{utV0uv-Vma(CXR`>iIB%pr z$4#2SdRy+{Y4X|fr1@LOFH3-3N@`%qD4(HIU}}{%-zk)dqFu#;q0n9~6rvn*cz^oY zf>OI#lZ+Y~hI-G@WBo2Y(q;KKl1}iXckZ-UcxS(C{bL&(S`kn6Z7lmFF;}8TL6$B; z5&^dv(vLGUvH*y>YF!;kPjEVD%;%5jl5nAST1cXHHY8%cp(E9+5*R?pi2`=AqU1P- zzYcDAd#$VWos0sgG)4JvLkgiP`fUz9x~1x;ng&m3Vptq_qOJqFajd8QCHecf9(a4J zcGU1edlrxc?k8=RNAq<+Am0Mx>H_am_>y=hZXd~2n+}}zBUc4M*1T*!8IVuD^mg}V zxpl_})saX%tp4g)t_%>7b+cIZ2*#qNS$MH-in^Jg2q|tlaY;ki`P?=2&Wn!DQ*f_2xjsDenP-8(po3=T>d0VQcYq)7n(20lrmqy^blW3#|I$izUCb3oT8&V8hChT zEhSGnDxigAarLKKK(-dU{Xv~jVBmaPKQe8?+-BOj>oq&jMIkAxgjTT};P2jrJ4te^ z+k=xRks1H93fZ*4J>&ExH&o#}W!vS- z>ACMuFQIC79{{*6wv7W@ujjNfvTEAfkQW-jyY&8>&a4YH6MRD}`H_DR_`$&O>DM6< zvW0PRI}B*!tQ>IBF>PU=jxEogtTW57kUSeJG z#vgmGq~p_#h%fb}dwhFB4+L2eNFi})rvw)g8=Oogtp zC%1*2heUsSK#0;moUiqcah#VDDooo$MGDX`I--PcFFFguD% z$4G6v5ccOoXB}(WAH{=|tTqq`M>I^gML8@;Nd~aaMDAoQ9FUxx+sIO28^~rfX`_k3 z#LYBFmqnYmPFRHUHzktjN14(RG=JnkSl%iXtvo3X0&oqRQV1NCcw-^e*;(ZR*U6Kh zBbhGGD2zg3Q=j@%eY8LrY}4Uu%BP02x?3uTO1a9xGon6vCuboUp=nw&uYQX_JhhU? z)Cy=<-?vCXjB9(fO2q7^rP3_<9qII<6B1o`DCE75`WWg7ms#6+6>{@Rb7v(OIo-pX z?v8Itj<1$L_`xW2mTCcJt+=V}{cF{Alzw_a=&&Klkw!cCrjS?+kFOd=lW`h8s$6sa zmjiI?mVSo#A>SfR_vOOi-OoAgo5lJgwIKk*HBa!~kxTZj=QBRc#~bhCp9{)lE)lAn zY=x?y8MBO|o@y$$u)fdgR6%A7lz3g?KqpG|VXxEjvTY`ti@UMa*W@_aO7CK&51Q0~ zQ)zlV?;;g<500{aL#GH z&9;FoSjm6k{R^I7Py>wq5_9sFGkEP+a43VNy-)a<=wJRxO|=V4W~%4K;|Itl=C_wy z1XxzT2$x=uOufFdTTMfu8Vv2Y^T7{r>@s~=13?_A5p9@e`2YY37HuppKd?8@wpyp3 zE=a#mWoKF2&LhG{-s*L^Vw`jGy#oL}(|nAeW~^2cs0-n$l zCBo;8&T$k5KH2i2zf7+$5(v3&n!ky;om>Kkq+Z&A<&tBlh5}f^K4LgnXntf+dm< zXgOB4m2K`(DU}&RuUaCmkqEiq=I^3FE=v6Bev99sFDg#U^I_i`LI=C&QG_%1a)Hfj zJ*QKnh*G;HnK%+$b>qT@q8I>mOXxibYA)I^NseA85~C5w>tSm$>PGqOrr8eF{0;$O z^5ed_P;y@{7eEo$%%g>I6E@QoUxC`ab60NM=<#!Mxa3O+BtD0vz z7cSDW#27OxFvi`?!5h`J{*sDF!~uxr=tJQ3ijLZ^1Mq3A4(*IWV~3M8uRA0>ftvl? z#6ZajSX|#{WS&t%QE~w=?v_Ol--O|})K5Wp9)W=4-K3!-rv#O{``exH`ibb`zadew zYGQ1Ng<7r~mf$>ph2`M0^Y2}KK%h_K1*Hvk#mmX&Ea*>bZ*BzWf!UYB*Y=tG8Gff{ zI7x%PZ$j;1X&Kq$s+?wkGnw~F?uOrqHUC$e@&2~YrH3?kc{lgejkQ8xEp zRrw;3vfqTQw^E)hEC*%Oi?R#5H4K>rsuU{q^|2~SY)1dBdCcUff5c=uvd`fMet~h( zHZQeNBL4K5zm1=)f#)Zqv@OMl5MrYE{& z?b_GM#j#F3Xv9yc5tnH8jL-opeeI>mp=8{Ck_F2wAh5lgTBv;PDl&LG4r*bdX3ohO zci@i0#5xLis2;?EUH6*sTbyqSW}*oRP?|mJ-w-5by+rs`@qUIVlwWk-%jrh!%T_(p z(t;M)cZ#006~xGI89ep~!h#lB^xIU%i30FvCA1Wb8c!}_7_6VpHIstnCOTaXohqTf z$DgK6=3^_X7|EP^ESR>Brd~D;2=Z;s`qSvpYxxh3+|$5{A8`<*MW|+a2f9EP+LaVJ^YLBgqNV@-WlTDBDih8Ac){H zB{Co>sc{)7C(85@Sx2OyOq9t}ja4l0p_?bPUBA=LLJNPQiv&SPHB6x;&}u~56cn)O01V