-
Notifications
You must be signed in to change notification settings - Fork 39
/
VexRiscv_MinDebug.v
4204 lines (4087 loc) · 167 KB
/
VexRiscv_MinDebug.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
// Generator : SpinalHDL v1.3.5 git head : f0505d24810c8661a24530409359554b7cfa271a
// Date : 09/06/2019, 12:34:21
// Component : VexRiscv
`define Src2CtrlEnum_defaultEncoding_type [1:0]
`define Src2CtrlEnum_defaultEncoding_RS 2'b00
`define Src2CtrlEnum_defaultEncoding_IMI 2'b01
`define Src2CtrlEnum_defaultEncoding_IMS 2'b10
`define Src2CtrlEnum_defaultEncoding_PC 2'b11
`define Src1CtrlEnum_defaultEncoding_type [1:0]
`define Src1CtrlEnum_defaultEncoding_RS 2'b00
`define Src1CtrlEnum_defaultEncoding_IMU 2'b01
`define Src1CtrlEnum_defaultEncoding_PC_INCREMENT 2'b10
`define Src1CtrlEnum_defaultEncoding_URS1 2'b11
`define EnvCtrlEnum_defaultEncoding_type [0:0]
`define EnvCtrlEnum_defaultEncoding_NONE 1'b0
`define EnvCtrlEnum_defaultEncoding_XRET 1'b1
`define AluCtrlEnum_defaultEncoding_type [1:0]
`define AluCtrlEnum_defaultEncoding_ADD_SUB 2'b00
`define AluCtrlEnum_defaultEncoding_SLT_SLTU 2'b01
`define AluCtrlEnum_defaultEncoding_BITWISE 2'b10
`define BranchCtrlEnum_defaultEncoding_type [1:0]
`define BranchCtrlEnum_defaultEncoding_INC 2'b00
`define BranchCtrlEnum_defaultEncoding_B 2'b01
`define BranchCtrlEnum_defaultEncoding_JAL 2'b10
`define BranchCtrlEnum_defaultEncoding_JALR 2'b11
`define ShiftCtrlEnum_defaultEncoding_type [1:0]
`define ShiftCtrlEnum_defaultEncoding_DISABLE_1 2'b00
`define ShiftCtrlEnum_defaultEncoding_SLL_1 2'b01
`define ShiftCtrlEnum_defaultEncoding_SRL_1 2'b10
`define ShiftCtrlEnum_defaultEncoding_SRA_1 2'b11
`define AluBitwiseCtrlEnum_defaultEncoding_type [1:0]
`define AluBitwiseCtrlEnum_defaultEncoding_XOR_1 2'b00
`define AluBitwiseCtrlEnum_defaultEncoding_OR_1 2'b01
`define AluBitwiseCtrlEnum_defaultEncoding_AND_1 2'b10
module StreamFifoLowLatency (
input io_push_valid,
output io_push_ready,
input io_push_payload_error,
input [31:0] io_push_payload_inst,
output reg io_pop_valid,
input io_pop_ready,
output reg io_pop_payload_error,
output reg [31:0] io_pop_payload_inst,
input io_flush,
output [0:0] io_occupancy,
input clk,
input reset);
wire _zz_5_;
wire [0:0] _zz_6_;
reg _zz_1_;
reg pushPtr_willIncrement;
reg pushPtr_willClear;
wire pushPtr_willOverflowIfInc;
wire pushPtr_willOverflow;
reg popPtr_willIncrement;
reg popPtr_willClear;
wire popPtr_willOverflowIfInc;
wire popPtr_willOverflow;
wire ptrMatch;
reg risingOccupancy;
wire empty;
wire full;
wire pushing;
wire popping;
wire [32:0] _zz_2_;
wire [32:0] _zz_3_;
reg [32:0] _zz_4_;
assign _zz_5_ = (! empty);
assign _zz_6_ = _zz_2_[0 : 0];
always @ (*) begin
_zz_1_ = 1'b0;
if(pushing)begin
_zz_1_ = 1'b1;
end
end
always @ (*) begin
pushPtr_willIncrement = 1'b0;
if(pushing)begin
pushPtr_willIncrement = 1'b1;
end
end
always @ (*) begin
pushPtr_willClear = 1'b0;
if(io_flush)begin
pushPtr_willClear = 1'b1;
end
end
assign pushPtr_willOverflowIfInc = 1'b1;
assign pushPtr_willOverflow = (pushPtr_willOverflowIfInc && pushPtr_willIncrement);
always @ (*) begin
popPtr_willIncrement = 1'b0;
if(popping)begin
popPtr_willIncrement = 1'b1;
end
end
always @ (*) begin
popPtr_willClear = 1'b0;
if(io_flush)begin
popPtr_willClear = 1'b1;
end
end
assign popPtr_willOverflowIfInc = 1'b1;
assign popPtr_willOverflow = (popPtr_willOverflowIfInc && popPtr_willIncrement);
assign ptrMatch = 1'b1;
assign empty = (ptrMatch && (! risingOccupancy));
assign full = (ptrMatch && risingOccupancy);
assign pushing = (io_push_valid && io_push_ready);
assign popping = (io_pop_valid && io_pop_ready);
assign io_push_ready = (! full);
always @ (*) begin
if(_zz_5_)begin
io_pop_valid = 1'b1;
end else begin
io_pop_valid = io_push_valid;
end
end
assign _zz_2_ = _zz_3_;
always @ (*) begin
if(_zz_5_)begin
io_pop_payload_error = _zz_6_[0];
end else begin
io_pop_payload_error = io_push_payload_error;
end
end
always @ (*) begin
if(_zz_5_)begin
io_pop_payload_inst = _zz_2_[32 : 1];
end else begin
io_pop_payload_inst = io_push_payload_inst;
end
end
assign io_occupancy = (risingOccupancy && ptrMatch);
assign _zz_3_ = _zz_4_;
always @ (posedge clk) begin
if(reset) begin
risingOccupancy <= 1'b0;
end else begin
if((pushing != popping))begin
risingOccupancy <= pushing;
end
if(io_flush)begin
risingOccupancy <= 1'b0;
end
end
end
always @ (posedge clk) begin
if(_zz_1_)begin
_zz_4_ <= {io_push_payload_inst,io_push_payload_error};
end
end
endmodule
module VexRiscv (
input [31:0] externalResetVector,
input timerInterrupt,
input softwareInterrupt,
input [31:0] externalInterruptArray,
input debug_bus_cmd_valid,
output reg debug_bus_cmd_ready,
input debug_bus_cmd_payload_wr,
input [7:0] debug_bus_cmd_payload_address,
input [31:0] debug_bus_cmd_payload_data,
output reg [31:0] debug_bus_rsp_data,
output debug_resetOut,
output iBusWishbone_CYC,
output iBusWishbone_STB,
input iBusWishbone_ACK,
output iBusWishbone_WE,
output [29:0] iBusWishbone_ADR,
input [31:0] iBusWishbone_DAT_MISO,
output [31:0] iBusWishbone_DAT_MOSI,
output [3:0] iBusWishbone_SEL,
input iBusWishbone_ERR,
output [1:0] iBusWishbone_BTE,
output [2:0] iBusWishbone_CTI,
output dBusWishbone_CYC,
output dBusWishbone_STB,
input dBusWishbone_ACK,
output dBusWishbone_WE,
output [29:0] dBusWishbone_ADR,
input [31:0] dBusWishbone_DAT_MISO,
output [31:0] dBusWishbone_DAT_MOSI,
output reg [3:0] dBusWishbone_SEL,
input dBusWishbone_ERR,
output [1:0] dBusWishbone_BTE,
output [2:0] dBusWishbone_CTI,
input clk,
input reset,
input debugReset);
wire _zz_167_;
reg [31:0] _zz_168_;
reg [31:0] _zz_169_;
reg [31:0] _zz_170_;
wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready;
wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid;
wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error;
wire [31:0] IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst;
wire [0:0] IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy;
wire _zz_171_;
wire _zz_172_;
wire _zz_173_;
wire _zz_174_;
wire _zz_175_;
wire _zz_176_;
wire _zz_177_;
wire _zz_178_;
wire _zz_179_;
wire _zz_180_;
wire [1:0] _zz_181_;
wire _zz_182_;
wire _zz_183_;
wire _zz_184_;
wire _zz_185_;
wire _zz_186_;
wire _zz_187_;
wire _zz_188_;
wire _zz_189_;
wire _zz_190_;
wire _zz_191_;
wire _zz_192_;
wire [5:0] _zz_193_;
wire _zz_194_;
wire _zz_195_;
wire _zz_196_;
wire _zz_197_;
wire _zz_198_;
wire [1:0] _zz_199_;
wire _zz_200_;
wire [3:0] _zz_201_;
wire [2:0] _zz_202_;
wire [31:0] _zz_203_;
wire [2:0] _zz_204_;
wire [0:0] _zz_205_;
wire [2:0] _zz_206_;
wire [0:0] _zz_207_;
wire [2:0] _zz_208_;
wire [0:0] _zz_209_;
wire [2:0] _zz_210_;
wire [0:0] _zz_211_;
wire [2:0] _zz_212_;
wire [2:0] _zz_213_;
wire [0:0] _zz_214_;
wire [0:0] _zz_215_;
wire [0:0] _zz_216_;
wire [0:0] _zz_217_;
wire [0:0] _zz_218_;
wire [0:0] _zz_219_;
wire [0:0] _zz_220_;
wire [0:0] _zz_221_;
wire [0:0] _zz_222_;
wire [0:0] _zz_223_;
wire [0:0] _zz_224_;
wire [0:0] _zz_225_;
wire [0:0] _zz_226_;
wire [2:0] _zz_227_;
wire [4:0] _zz_228_;
wire [11:0] _zz_229_;
wire [11:0] _zz_230_;
wire [31:0] _zz_231_;
wire [31:0] _zz_232_;
wire [31:0] _zz_233_;
wire [31:0] _zz_234_;
wire [31:0] _zz_235_;
wire [31:0] _zz_236_;
wire [31:0] _zz_237_;
wire [31:0] _zz_238_;
wire [32:0] _zz_239_;
wire [19:0] _zz_240_;
wire [11:0] _zz_241_;
wire [11:0] _zz_242_;
wire [1:0] _zz_243_;
wire [1:0] _zz_244_;
wire [1:0] _zz_245_;
wire [1:0] _zz_246_;
wire [0:0] _zz_247_;
wire [0:0] _zz_248_;
wire [0:0] _zz_249_;
wire [0:0] _zz_250_;
wire [0:0] _zz_251_;
wire [0:0] _zz_252_;
wire [6:0] _zz_253_;
wire _zz_254_;
wire _zz_255_;
wire [1:0] _zz_256_;
wire [31:0] _zz_257_;
wire _zz_258_;
wire _zz_259_;
wire [0:0] _zz_260_;
wire [0:0] _zz_261_;
wire [0:0] _zz_262_;
wire [0:0] _zz_263_;
wire _zz_264_;
wire [0:0] _zz_265_;
wire [19:0] _zz_266_;
wire [31:0] _zz_267_;
wire [31:0] _zz_268_;
wire [31:0] _zz_269_;
wire _zz_270_;
wire _zz_271_;
wire [3:0] _zz_272_;
wire [3:0] _zz_273_;
wire _zz_274_;
wire [0:0] _zz_275_;
wire [16:0] _zz_276_;
wire [31:0] _zz_277_;
wire [31:0] _zz_278_;
wire _zz_279_;
wire [0:0] _zz_280_;
wire [0:0] _zz_281_;
wire _zz_282_;
wire [1:0] _zz_283_;
wire [1:0] _zz_284_;
wire _zz_285_;
wire [0:0] _zz_286_;
wire [13:0] _zz_287_;
wire [31:0] _zz_288_;
wire [31:0] _zz_289_;
wire [31:0] _zz_290_;
wire [31:0] _zz_291_;
wire _zz_292_;
wire [0:0] _zz_293_;
wire [0:0] _zz_294_;
wire [0:0] _zz_295_;
wire [0:0] _zz_296_;
wire _zz_297_;
wire [0:0] _zz_298_;
wire [10:0] _zz_299_;
wire [31:0] _zz_300_;
wire [31:0] _zz_301_;
wire [31:0] _zz_302_;
wire [31:0] _zz_303_;
wire [31:0] _zz_304_;
wire _zz_305_;
wire [1:0] _zz_306_;
wire [1:0] _zz_307_;
wire _zz_308_;
wire [0:0] _zz_309_;
wire [7:0] _zz_310_;
wire [31:0] _zz_311_;
wire [31:0] _zz_312_;
wire [31:0] _zz_313_;
wire [31:0] _zz_314_;
wire [31:0] _zz_315_;
wire [31:0] _zz_316_;
wire [0:0] _zz_317_;
wire [1:0] _zz_318_;
wire [2:0] _zz_319_;
wire [2:0] _zz_320_;
wire _zz_321_;
wire [0:0] _zz_322_;
wire [4:0] _zz_323_;
wire [31:0] _zz_324_;
wire [31:0] _zz_325_;
wire [31:0] _zz_326_;
wire [31:0] _zz_327_;
wire [31:0] _zz_328_;
wire [31:0] _zz_329_;
wire [31:0] _zz_330_;
wire _zz_331_;
wire _zz_332_;
wire _zz_333_;
wire _zz_334_;
wire _zz_335_;
wire [5:0] _zz_336_;
wire [5:0] _zz_337_;
wire _zz_338_;
wire [0:0] _zz_339_;
wire [1:0] _zz_340_;
wire [31:0] _zz_341_;
wire [31:0] _zz_342_;
wire [31:0] _zz_343_;
wire [31:0] _zz_344_;
wire [31:0] _zz_345_;
wire [0:0] _zz_346_;
wire [3:0] _zz_347_;
wire [0:0] _zz_348_;
wire [0:0] _zz_349_;
wire [1:0] _zz_350_;
wire [1:0] _zz_351_;
wire _zz_352_;
wire _zz_353_;
wire [31:0] _zz_354_;
wire [31:0] _zz_355_;
wire [31:0] _zz_356_;
wire [0:0] _zz_357_;
wire [0:0] _zz_358_;
wire [31:0] _zz_359_;
wire [31:0] _zz_360_;
wire [31:0] _zz_361_;
wire [31:0] _zz_362_;
wire [31:0] _zz_363_;
wire _zz_364_;
wire _zz_365_;
wire [31:0] _zz_366_;
wire [31:0] _zz_367_;
wire [31:0] _zz_368_;
wire _zz_369_;
wire [0:0] _zz_370_;
wire [11:0] _zz_371_;
wire [31:0] _zz_372_;
wire [31:0] _zz_373_;
wire [31:0] _zz_374_;
wire _zz_375_;
wire [0:0] _zz_376_;
wire [5:0] _zz_377_;
wire [31:0] _zz_378_;
wire [31:0] _zz_379_;
wire [31:0] _zz_380_;
wire _zz_381_;
wire _zz_382_;
wire decode_MEMORY_STORE;
wire decode_SRC2_FORCE_ZERO;
wire [31:0] execute_BRANCH_CALC;
wire [31:0] memory_MEMORY_READ_DATA;
wire `Src2CtrlEnum_defaultEncoding_type decode_SRC2_CTRL;
wire `Src2CtrlEnum_defaultEncoding_type _zz_1_;
wire `Src2CtrlEnum_defaultEncoding_type _zz_2_;
wire `Src2CtrlEnum_defaultEncoding_type _zz_3_;
wire [31:0] writeBack_REGFILE_WRITE_DATA;
wire [31:0] execute_REGFILE_WRITE_DATA;
wire `Src1CtrlEnum_defaultEncoding_type decode_SRC1_CTRL;
wire `Src1CtrlEnum_defaultEncoding_type _zz_4_;
wire `Src1CtrlEnum_defaultEncoding_type _zz_5_;
wire `Src1CtrlEnum_defaultEncoding_type _zz_6_;
wire decode_CSR_READ_OPCODE;
wire [1:0] memory_MEMORY_ADDRESS_LOW;
wire [1:0] execute_MEMORY_ADDRESS_LOW;
wire [31:0] decode_RS2;
wire decode_IS_CSR;
wire `EnvCtrlEnum_defaultEncoding_type _zz_7_;
wire `EnvCtrlEnum_defaultEncoding_type _zz_8_;
wire `EnvCtrlEnum_defaultEncoding_type _zz_9_;
wire `EnvCtrlEnum_defaultEncoding_type _zz_10_;
wire `EnvCtrlEnum_defaultEncoding_type decode_ENV_CTRL;
wire `EnvCtrlEnum_defaultEncoding_type _zz_11_;
wire `EnvCtrlEnum_defaultEncoding_type _zz_12_;
wire `EnvCtrlEnum_defaultEncoding_type _zz_13_;
wire decode_SRC_LESS_UNSIGNED;
wire `AluCtrlEnum_defaultEncoding_type decode_ALU_CTRL;
wire `AluCtrlEnum_defaultEncoding_type _zz_14_;
wire `AluCtrlEnum_defaultEncoding_type _zz_15_;
wire `AluCtrlEnum_defaultEncoding_type _zz_16_;
wire `BranchCtrlEnum_defaultEncoding_type decode_BRANCH_CTRL;
wire `BranchCtrlEnum_defaultEncoding_type _zz_17_;
wire `BranchCtrlEnum_defaultEncoding_type _zz_18_;
wire `BranchCtrlEnum_defaultEncoding_type _zz_19_;
wire execute_BRANCH_DO;
wire decode_DO_EBREAK;
wire execute_BYPASSABLE_MEMORY_STAGE;
wire decode_BYPASSABLE_MEMORY_STAGE;
wire [31:0] writeBack_FORMAL_PC_NEXT;
wire [31:0] memory_FORMAL_PC_NEXT;
wire [31:0] execute_FORMAL_PC_NEXT;
wire [31:0] decode_FORMAL_PC_NEXT;
wire [31:0] decode_RS1;
wire decode_BYPASSABLE_EXECUTE_STAGE;
wire `ShiftCtrlEnum_defaultEncoding_type decode_SHIFT_CTRL;
wire `ShiftCtrlEnum_defaultEncoding_type _zz_20_;
wire `ShiftCtrlEnum_defaultEncoding_type _zz_21_;
wire `ShiftCtrlEnum_defaultEncoding_type _zz_22_;
wire `AluBitwiseCtrlEnum_defaultEncoding_type decode_ALU_BITWISE_CTRL;
wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_23_;
wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_24_;
wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_25_;
wire decode_CSR_WRITE_OPCODE;
wire execute_DO_EBREAK;
wire decode_IS_EBREAK;
wire _zz_26_;
wire execute_CSR_READ_OPCODE;
wire execute_CSR_WRITE_OPCODE;
wire execute_IS_CSR;
wire `EnvCtrlEnum_defaultEncoding_type memory_ENV_CTRL;
wire `EnvCtrlEnum_defaultEncoding_type _zz_27_;
wire `EnvCtrlEnum_defaultEncoding_type execute_ENV_CTRL;
wire `EnvCtrlEnum_defaultEncoding_type _zz_28_;
wire _zz_29_;
wire _zz_30_;
wire `EnvCtrlEnum_defaultEncoding_type writeBack_ENV_CTRL;
wire `EnvCtrlEnum_defaultEncoding_type _zz_31_;
wire [31:0] memory_BRANCH_CALC;
wire memory_BRANCH_DO;
wire [31:0] _zz_32_;
wire [31:0] execute_PC;
wire [31:0] execute_RS1;
wire `BranchCtrlEnum_defaultEncoding_type execute_BRANCH_CTRL;
wire `BranchCtrlEnum_defaultEncoding_type _zz_33_;
wire _zz_34_;
wire decode_RS2_USE;
wire decode_RS1_USE;
wire execute_REGFILE_WRITE_VALID;
wire execute_BYPASSABLE_EXECUTE_STAGE;
wire memory_REGFILE_WRITE_VALID;
wire [31:0] memory_INSTRUCTION;
wire memory_BYPASSABLE_MEMORY_STAGE;
wire writeBack_REGFILE_WRITE_VALID;
reg [31:0] _zz_35_;
wire `ShiftCtrlEnum_defaultEncoding_type execute_SHIFT_CTRL;
wire `ShiftCtrlEnum_defaultEncoding_type _zz_36_;
wire _zz_37_;
wire [31:0] _zz_38_;
wire [31:0] _zz_39_;
wire execute_SRC_LESS_UNSIGNED;
wire execute_SRC2_FORCE_ZERO;
wire execute_SRC_USE_SUB_LESS;
wire [31:0] _zz_40_;
wire `Src2CtrlEnum_defaultEncoding_type execute_SRC2_CTRL;
wire `Src2CtrlEnum_defaultEncoding_type _zz_41_;
wire [31:0] _zz_42_;
wire `Src1CtrlEnum_defaultEncoding_type execute_SRC1_CTRL;
wire `Src1CtrlEnum_defaultEncoding_type _zz_43_;
wire [31:0] _zz_44_;
wire decode_SRC_USE_SUB_LESS;
wire decode_SRC_ADD_ZERO;
wire _zz_45_;
wire [31:0] execute_SRC_ADD_SUB;
wire execute_SRC_LESS;
wire `AluCtrlEnum_defaultEncoding_type execute_ALU_CTRL;
wire `AluCtrlEnum_defaultEncoding_type _zz_46_;
wire [31:0] _zz_47_;
wire [31:0] execute_SRC2;
wire [31:0] execute_SRC1;
wire `AluBitwiseCtrlEnum_defaultEncoding_type execute_ALU_BITWISE_CTRL;
wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_48_;
wire [31:0] _zz_49_;
wire _zz_50_;
reg _zz_51_;
wire [31:0] _zz_52_;
wire [31:0] _zz_53_;
wire [31:0] decode_INSTRUCTION_ANTICIPATED;
reg decode_REGFILE_WRITE_VALID;
wire decode_LEGAL_INSTRUCTION;
wire decode_INSTRUCTION_READY;
wire `EnvCtrlEnum_defaultEncoding_type _zz_54_;
wire _zz_55_;
wire _zz_56_;
wire _zz_57_;
wire `BranchCtrlEnum_defaultEncoding_type _zz_58_;
wire _zz_59_;
wire _zz_60_;
wire _zz_61_;
wire `Src2CtrlEnum_defaultEncoding_type _zz_62_;
wire _zz_63_;
wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_64_;
wire _zz_65_;
wire _zz_66_;
wire _zz_67_;
wire _zz_68_;
wire `AluCtrlEnum_defaultEncoding_type _zz_69_;
wire _zz_70_;
wire `Src1CtrlEnum_defaultEncoding_type _zz_71_;
wire `ShiftCtrlEnum_defaultEncoding_type _zz_72_;
wire _zz_73_;
wire writeBack_MEMORY_STORE;
reg [31:0] _zz_74_;
wire writeBack_MEMORY_ENABLE;
wire [1:0] writeBack_MEMORY_ADDRESS_LOW;
wire [31:0] writeBack_MEMORY_READ_DATA;
wire memory_MMU_FAULT;
wire [31:0] memory_MMU_RSP_physicalAddress;
wire memory_MMU_RSP_isIoAccess;
wire memory_MMU_RSP_allowRead;
wire memory_MMU_RSP_allowWrite;
wire memory_MMU_RSP_allowExecute;
wire memory_MMU_RSP_exception;
wire memory_MMU_RSP_refilling;
wire [31:0] memory_PC;
wire memory_ALIGNEMENT_FAULT;
wire [31:0] memory_REGFILE_WRITE_DATA;
wire memory_MEMORY_STORE;
wire memory_MEMORY_ENABLE;
wire [31:0] _zz_75_;
wire [31:0] _zz_76_;
wire _zz_77_;
wire _zz_78_;
wire _zz_79_;
wire _zz_80_;
wire _zz_81_;
wire _zz_82_;
wire execute_MMU_FAULT;
wire [31:0] execute_MMU_RSP_physicalAddress;
wire execute_MMU_RSP_isIoAccess;
wire execute_MMU_RSP_allowRead;
wire execute_MMU_RSP_allowWrite;
wire execute_MMU_RSP_allowExecute;
wire execute_MMU_RSP_exception;
wire execute_MMU_RSP_refilling;
wire _zz_83_;
wire [31:0] execute_SRC_ADD;
wire [1:0] _zz_84_;
wire [31:0] execute_RS2;
wire [31:0] execute_INSTRUCTION;
wire execute_MEMORY_STORE;
wire execute_MEMORY_ENABLE;
wire execute_ALIGNEMENT_FAULT;
wire _zz_85_;
wire decode_MEMORY_ENABLE;
reg [31:0] _zz_86_;
reg [31:0] _zz_87_;
wire [31:0] decode_PC;
wire [31:0] _zz_88_;
wire [31:0] _zz_89_;
wire [31:0] _zz_90_;
wire [31:0] decode_INSTRUCTION;
wire [31:0] _zz_91_;
wire [31:0] writeBack_PC;
wire [31:0] writeBack_INSTRUCTION;
reg decode_arbitration_haltItself;
reg decode_arbitration_haltByOther;
reg decode_arbitration_removeIt;
reg decode_arbitration_flushAll;
reg decode_arbitration_isValid;
wire decode_arbitration_isStuck;
wire decode_arbitration_isStuckByOthers;
wire decode_arbitration_isFlushed;
wire decode_arbitration_isMoving;
wire decode_arbitration_isFiring;
reg execute_arbitration_haltItself;
reg execute_arbitration_haltByOther;
reg execute_arbitration_removeIt;
reg execute_arbitration_flushAll;
reg execute_arbitration_isValid;
wire execute_arbitration_isStuck;
wire execute_arbitration_isStuckByOthers;
wire execute_arbitration_isFlushed;
wire execute_arbitration_isMoving;
wire execute_arbitration_isFiring;
reg memory_arbitration_haltItself;
wire memory_arbitration_haltByOther;
reg memory_arbitration_removeIt;
reg memory_arbitration_flushAll;
reg memory_arbitration_isValid;
wire memory_arbitration_isStuck;
wire memory_arbitration_isStuckByOthers;
wire memory_arbitration_isFlushed;
wire memory_arbitration_isMoving;
wire memory_arbitration_isFiring;
wire writeBack_arbitration_haltItself;
wire writeBack_arbitration_haltByOther;
reg writeBack_arbitration_removeIt;
wire writeBack_arbitration_flushAll;
reg writeBack_arbitration_isValid;
wire writeBack_arbitration_isStuck;
wire writeBack_arbitration_isStuckByOthers;
wire writeBack_arbitration_isFlushed;
wire writeBack_arbitration_isMoving;
wire writeBack_arbitration_isFiring;
wire [31:0] lastStageInstruction /* verilator public */ ;
wire [31:0] lastStagePc /* verilator public */ ;
wire lastStageIsValid /* verilator public */ ;
wire lastStageIsFiring /* verilator public */ ;
reg IBusSimplePlugin_fetcherHalt;
reg IBusSimplePlugin_fetcherflushIt;
reg IBusSimplePlugin_incomingInstruction;
wire IBusSimplePlugin_pcValids_0;
wire IBusSimplePlugin_pcValids_1;
wire IBusSimplePlugin_pcValids_2;
wire IBusSimplePlugin_pcValids_3;
wire iBus_cmd_valid;
wire iBus_cmd_ready;
wire [31:0] iBus_cmd_payload_pc;
wire iBus_rsp_valid;
wire iBus_rsp_payload_error;
wire [31:0] iBus_rsp_payload_inst;
wire IBusSimplePlugin_decodeExceptionPort_valid;
reg [3:0] IBusSimplePlugin_decodeExceptionPort_payload_code;
wire [31:0] IBusSimplePlugin_decodeExceptionPort_payload_badAddr;
wire IBusSimplePlugin_mmuBus_cmd_isValid;
wire [31:0] IBusSimplePlugin_mmuBus_cmd_virtualAddress;
wire IBusSimplePlugin_mmuBus_cmd_bypassTranslation;
wire [31:0] IBusSimplePlugin_mmuBus_rsp_physicalAddress;
wire IBusSimplePlugin_mmuBus_rsp_isIoAccess;
wire IBusSimplePlugin_mmuBus_rsp_allowRead;
wire IBusSimplePlugin_mmuBus_rsp_allowWrite;
wire IBusSimplePlugin_mmuBus_rsp_allowExecute;
wire IBusSimplePlugin_mmuBus_rsp_exception;
wire IBusSimplePlugin_mmuBus_rsp_refilling;
wire IBusSimplePlugin_mmuBus_end;
wire IBusSimplePlugin_mmuBus_busy;
wire IBusSimplePlugin_redoBranch_valid;
wire [31:0] IBusSimplePlugin_redoBranch_payload;
reg DBusSimplePlugin_memoryExceptionPort_valid;
reg [3:0] DBusSimplePlugin_memoryExceptionPort_payload_code;
wire [31:0] DBusSimplePlugin_memoryExceptionPort_payload_badAddr;
wire DBusSimplePlugin_mmuBus_cmd_isValid;
wire [31:0] DBusSimplePlugin_mmuBus_cmd_virtualAddress;
wire DBusSimplePlugin_mmuBus_cmd_bypassTranslation;
wire [31:0] DBusSimplePlugin_mmuBus_rsp_physicalAddress;
wire DBusSimplePlugin_mmuBus_rsp_isIoAccess;
wire DBusSimplePlugin_mmuBus_rsp_allowRead;
wire DBusSimplePlugin_mmuBus_rsp_allowWrite;
wire DBusSimplePlugin_mmuBus_rsp_allowExecute;
wire DBusSimplePlugin_mmuBus_rsp_exception;
wire DBusSimplePlugin_mmuBus_rsp_refilling;
wire DBusSimplePlugin_mmuBus_end;
wire DBusSimplePlugin_mmuBus_busy;
reg DBusSimplePlugin_redoBranch_valid;
wire [31:0] DBusSimplePlugin_redoBranch_payload;
wire decodeExceptionPort_valid;
wire [3:0] decodeExceptionPort_payload_code;
wire [31:0] decodeExceptionPort_payload_badAddr;
wire BranchPlugin_jumpInterface_valid;
wire [31:0] BranchPlugin_jumpInterface_payload;
wire BranchPlugin_branchExceptionPort_valid;
wire [3:0] BranchPlugin_branchExceptionPort_payload_code;
wire [31:0] BranchPlugin_branchExceptionPort_payload_badAddr;
reg CsrPlugin_jumpInterface_valid;
reg [31:0] CsrPlugin_jumpInterface_payload;
wire CsrPlugin_exceptionPendings_0;
wire CsrPlugin_exceptionPendings_1;
wire CsrPlugin_exceptionPendings_2;
wire CsrPlugin_exceptionPendings_3;
wire externalInterrupt;
wire contextSwitching;
reg [1:0] CsrPlugin_privilege;
reg CsrPlugin_forceMachineWire;
reg CsrPlugin_allowInterrupts;
reg CsrPlugin_allowException;
reg IBusSimplePlugin_injectionPort_valid;
reg IBusSimplePlugin_injectionPort_ready;
wire [31:0] IBusSimplePlugin_injectionPort_payload;
wire IBusSimplePlugin_jump_pcLoad_valid;
wire [31:0] IBusSimplePlugin_jump_pcLoad_payload;
wire [3:0] _zz_92_;
wire [3:0] _zz_93_;
wire _zz_94_;
wire _zz_95_;
wire _zz_96_;
wire IBusSimplePlugin_fetchPc_preOutput_valid;
wire IBusSimplePlugin_fetchPc_preOutput_ready;
wire [31:0] IBusSimplePlugin_fetchPc_preOutput_payload;
wire _zz_97_;
wire IBusSimplePlugin_fetchPc_output_valid;
wire IBusSimplePlugin_fetchPc_output_ready;
wire [31:0] IBusSimplePlugin_fetchPc_output_payload;
reg [31:0] IBusSimplePlugin_fetchPc_pcReg /* verilator public */ ;
reg IBusSimplePlugin_fetchPc_inc;
reg IBusSimplePlugin_fetchPc_propagatePc;
reg [31:0] IBusSimplePlugin_fetchPc_pc;
reg IBusSimplePlugin_fetchPc_samplePcNext;
reg _zz_98_;
reg IBusSimplePlugin_iBusRsp_stages_0_input_valid;
reg IBusSimplePlugin_iBusRsp_stages_0_input_ready;
wire [31:0] IBusSimplePlugin_iBusRsp_stages_0_input_payload;
wire IBusSimplePlugin_iBusRsp_stages_0_output_valid;
wire IBusSimplePlugin_iBusRsp_stages_0_output_ready;
wire [31:0] IBusSimplePlugin_iBusRsp_stages_0_output_payload;
reg IBusSimplePlugin_iBusRsp_stages_0_halt;
wire IBusSimplePlugin_iBusRsp_stages_0_inputSample;
wire IBusSimplePlugin_iBusRsp_stages_1_input_valid;
wire IBusSimplePlugin_iBusRsp_stages_1_input_ready;
wire [31:0] IBusSimplePlugin_iBusRsp_stages_1_input_payload;
wire IBusSimplePlugin_iBusRsp_stages_1_output_valid;
wire IBusSimplePlugin_iBusRsp_stages_1_output_ready;
wire [31:0] IBusSimplePlugin_iBusRsp_stages_1_output_payload;
wire IBusSimplePlugin_iBusRsp_stages_1_halt;
wire IBusSimplePlugin_iBusRsp_stages_1_inputSample;
wire _zz_99_;
wire _zz_100_;
wire _zz_101_;
wire _zz_102_;
reg _zz_103_;
reg IBusSimplePlugin_iBusRsp_readyForError;
wire IBusSimplePlugin_iBusRsp_inputBeforeStage_valid;
wire IBusSimplePlugin_iBusRsp_inputBeforeStage_ready;
wire [31:0] IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_pc;
wire IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_error;
wire [31:0] IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_inst;
wire IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_isRvc;
wire IBusSimplePlugin_injector_decodeInput_valid;
wire IBusSimplePlugin_injector_decodeInput_ready;
wire [31:0] IBusSimplePlugin_injector_decodeInput_payload_pc;
wire IBusSimplePlugin_injector_decodeInput_payload_rsp_error;
wire [31:0] IBusSimplePlugin_injector_decodeInput_payload_rsp_inst;
wire IBusSimplePlugin_injector_decodeInput_payload_isRvc;
reg _zz_104_;
reg [31:0] _zz_105_;
reg _zz_106_;
reg [31:0] _zz_107_;
reg _zz_108_;
reg IBusSimplePlugin_injector_nextPcCalc_valids_0;
reg IBusSimplePlugin_injector_nextPcCalc_valids_1;
reg IBusSimplePlugin_injector_nextPcCalc_valids_2;
reg IBusSimplePlugin_injector_nextPcCalc_valids_3;
reg IBusSimplePlugin_injector_nextPcCalc_valids_4;
reg IBusSimplePlugin_injector_decodeRemoved;
reg [31:0] IBusSimplePlugin_injector_formal_rawInDecode;
reg IBusSimplePlugin_cmd_valid;
wire IBusSimplePlugin_cmd_ready;
wire [31:0] IBusSimplePlugin_cmd_payload_pc;
reg [2:0] IBusSimplePlugin_pendingCmd;
wire [2:0] IBusSimplePlugin_pendingCmdNext;
reg [31:0] IBusSimplePlugin_mmu_joinCtx_physicalAddress;
reg IBusSimplePlugin_mmu_joinCtx_isIoAccess;
reg IBusSimplePlugin_mmu_joinCtx_allowRead;
reg IBusSimplePlugin_mmu_joinCtx_allowWrite;
reg IBusSimplePlugin_mmu_joinCtx_allowExecute;
reg IBusSimplePlugin_mmu_joinCtx_exception;
reg IBusSimplePlugin_mmu_joinCtx_refilling;
reg [2:0] IBusSimplePlugin_rspJoin_discardCounter;
wire IBusSimplePlugin_rspJoin_rspBufferOutput_valid;
wire IBusSimplePlugin_rspJoin_rspBufferOutput_ready;
wire IBusSimplePlugin_rspJoin_rspBufferOutput_payload_error;
wire [31:0] IBusSimplePlugin_rspJoin_rspBufferOutput_payload_inst;
wire iBus_rsp_takeWhen_valid;
wire iBus_rsp_takeWhen_payload_error;
wire [31:0] iBus_rsp_takeWhen_payload_inst;
wire [31:0] IBusSimplePlugin_rspJoin_fetchRsp_pc;
reg IBusSimplePlugin_rspJoin_fetchRsp_rsp_error;
wire [31:0] IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst;
wire IBusSimplePlugin_rspJoin_fetchRsp_isRvc;
wire IBusSimplePlugin_rspJoin_join_valid;
wire IBusSimplePlugin_rspJoin_join_ready;
wire [31:0] IBusSimplePlugin_rspJoin_join_payload_pc;
wire IBusSimplePlugin_rspJoin_join_payload_rsp_error;
wire [31:0] IBusSimplePlugin_rspJoin_join_payload_rsp_inst;
wire IBusSimplePlugin_rspJoin_join_payload_isRvc;
reg IBusSimplePlugin_rspJoin_exceptionDetected;
reg IBusSimplePlugin_rspJoin_redoRequired;
wire _zz_109_;
wire dBus_cmd_valid;
wire dBus_cmd_ready;
wire dBus_cmd_payload_wr;
wire [31:0] dBus_cmd_payload_address;
wire [31:0] dBus_cmd_payload_data;
wire [1:0] dBus_cmd_payload_size;
wire dBus_rsp_ready;
wire dBus_rsp_error;
wire [31:0] dBus_rsp_data;
wire _zz_110_;
reg execute_DBusSimplePlugin_skipCmd;
reg [31:0] _zz_111_;
reg [3:0] _zz_112_;
wire [3:0] execute_DBusSimplePlugin_formalMask;
reg [31:0] writeBack_DBusSimplePlugin_rspShifted;
wire _zz_113_;
reg [31:0] _zz_114_;
wire _zz_115_;
reg [31:0] _zz_116_;
reg [31:0] writeBack_DBusSimplePlugin_rspFormated;
wire [25:0] _zz_117_;
wire _zz_118_;
wire _zz_119_;
wire _zz_120_;
wire _zz_121_;
wire `ShiftCtrlEnum_defaultEncoding_type _zz_122_;
wire `Src1CtrlEnum_defaultEncoding_type _zz_123_;
wire `AluCtrlEnum_defaultEncoding_type _zz_124_;
wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_125_;
wire `Src2CtrlEnum_defaultEncoding_type _zz_126_;
wire `BranchCtrlEnum_defaultEncoding_type _zz_127_;
wire `EnvCtrlEnum_defaultEncoding_type _zz_128_;
wire [4:0] decode_RegFilePlugin_regFileReadAddress1;
wire [4:0] decode_RegFilePlugin_regFileReadAddress2;
wire [31:0] decode_RegFilePlugin_rs1Data;
wire [31:0] decode_RegFilePlugin_rs2Data;
reg lastStageRegFileWrite_valid /* verilator public */ ;
wire [4:0] lastStageRegFileWrite_payload_address /* verilator public */ ;
wire [31:0] lastStageRegFileWrite_payload_data /* verilator public */ ;
reg _zz_129_;
reg [31:0] execute_IntAluPlugin_bitwise;
reg [31:0] _zz_130_;
reg [31:0] _zz_131_;
wire _zz_132_;
reg [19:0] _zz_133_;
wire _zz_134_;
reg [19:0] _zz_135_;
reg [31:0] _zz_136_;
reg [31:0] execute_SrcPlugin_addSub;
wire execute_SrcPlugin_less;
reg execute_LightShifterPlugin_isActive;
wire execute_LightShifterPlugin_isShift;
reg [4:0] execute_LightShifterPlugin_amplitudeReg;
wire [4:0] execute_LightShifterPlugin_amplitude;
wire [31:0] execute_LightShifterPlugin_shiftInput;
wire execute_LightShifterPlugin_done;
reg [31:0] _zz_137_;
reg _zz_138_;
reg _zz_139_;
wire _zz_140_;
reg _zz_141_;
reg [4:0] _zz_142_;
wire execute_BranchPlugin_eq;
wire [2:0] _zz_143_;
reg _zz_144_;
reg _zz_145_;
wire [31:0] execute_BranchPlugin_branch_src1;
wire _zz_146_;
reg [10:0] _zz_147_;
wire _zz_148_;
reg [19:0] _zz_149_;
wire _zz_150_;
reg [18:0] _zz_151_;
reg [31:0] _zz_152_;
wire [31:0] execute_BranchPlugin_branch_src2;
wire [31:0] execute_BranchPlugin_branchAdder;
wire [1:0] CsrPlugin_misa_base;
wire [25:0] CsrPlugin_misa_extensions;
reg [1:0] CsrPlugin_mtvec_mode;
reg [29:0] CsrPlugin_mtvec_base;
reg [31:0] CsrPlugin_mepc;
reg CsrPlugin_mstatus_MIE;
reg CsrPlugin_mstatus_MPIE;
reg [1:0] CsrPlugin_mstatus_MPP;
reg CsrPlugin_mip_MEIP;
reg CsrPlugin_mip_MTIP;
reg CsrPlugin_mip_MSIP;
reg CsrPlugin_mie_MEIE;
reg CsrPlugin_mie_MTIE;
reg CsrPlugin_mie_MSIE;
reg CsrPlugin_mcause_interrupt;
reg [3:0] CsrPlugin_mcause_exceptionCode;
reg [31:0] CsrPlugin_mtval;
reg [63:0] CsrPlugin_mcycle = 64'b0000000000000000000000000000000000000000000000000000000000000000;
reg [63:0] CsrPlugin_minstret = 64'b0000000000000000000000000000000000000000000000000000000000000000;
wire _zz_153_;
wire _zz_154_;
wire _zz_155_;
reg CsrPlugin_exceptionPortCtrl_exceptionValids_decode;
reg CsrPlugin_exceptionPortCtrl_exceptionValids_execute;
reg CsrPlugin_exceptionPortCtrl_exceptionValids_memory;
reg CsrPlugin_exceptionPortCtrl_exceptionValids_writeBack;
reg CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_decode;
reg CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_execute;
reg CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_memory;
reg CsrPlugin_exceptionPortCtrl_exceptionValidsRegs_writeBack;
reg [3:0] CsrPlugin_exceptionPortCtrl_exceptionContext_code;
reg [31:0] CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr;
wire [1:0] CsrPlugin_exceptionPortCtrl_exceptionTargetPrivilegeUncapped;
wire [1:0] CsrPlugin_exceptionPortCtrl_exceptionTargetPrivilege;
wire [1:0] _zz_156_;
wire _zz_157_;
wire [1:0] _zz_158_;
wire _zz_159_;
reg CsrPlugin_interrupt_valid;
reg [3:0] CsrPlugin_interrupt_code /* verilator public */ ;
reg [1:0] CsrPlugin_interrupt_targetPrivilege;
wire CsrPlugin_exception;
wire CsrPlugin_lastStageWasWfi;
reg CsrPlugin_pipelineLiberator_done;
wire CsrPlugin_interruptJump /* verilator public */ ;
reg CsrPlugin_hadException;
reg [1:0] CsrPlugin_targetPrivilege;
reg [3:0] CsrPlugin_trapCause;
reg [1:0] CsrPlugin_xtvec_mode;
reg [29:0] CsrPlugin_xtvec_base;
wire execute_CsrPlugin_inWfi /* verilator public */ ;
reg execute_CsrPlugin_wfiWake;
wire execute_CsrPlugin_blockedBySideEffects;
reg execute_CsrPlugin_illegalAccess;
reg execute_CsrPlugin_illegalInstruction;
reg [31:0] execute_CsrPlugin_readData;
wire execute_CsrPlugin_writeInstruction;
wire execute_CsrPlugin_readInstruction;
wire execute_CsrPlugin_writeEnable;
wire execute_CsrPlugin_readEnable;
wire [31:0] execute_CsrPlugin_readToWriteData;
reg [31:0] execute_CsrPlugin_writeData;
wire [11:0] execute_CsrPlugin_csrAddress;
reg [31:0] externalInterruptArray_regNext;
reg [31:0] _zz_160_;
wire [31:0] _zz_161_;
reg DebugPlugin_firstCycle;
reg DebugPlugin_secondCycle;
reg DebugPlugin_resetIt;
reg DebugPlugin_haltIt;
reg DebugPlugin_stepIt;
reg DebugPlugin_isPipBusy;
reg DebugPlugin_godmode;
reg DebugPlugin_haltedByBreak;
reg [31:0] DebugPlugin_busReadDataReg;
reg _zz_162_;
reg DebugPlugin_resetIt_regNext;
reg decode_to_execute_CSR_WRITE_OPCODE;
reg `AluBitwiseCtrlEnum_defaultEncoding_type decode_to_execute_ALU_BITWISE_CTRL;
reg `ShiftCtrlEnum_defaultEncoding_type decode_to_execute_SHIFT_CTRL;
reg decode_to_execute_BYPASSABLE_EXECUTE_STAGE;
reg [31:0] decode_to_execute_RS1;
reg [31:0] decode_to_execute_FORMAL_PC_NEXT;
reg [31:0] execute_to_memory_FORMAL_PC_NEXT;
reg [31:0] memory_to_writeBack_FORMAL_PC_NEXT;
reg decode_to_execute_BYPASSABLE_MEMORY_STAGE;