-
Notifications
You must be signed in to change notification settings - Fork 0
/
input_logic_pkg.vhd
executable file
·44 lines (36 loc) · 1.28 KB
/
input_logic_pkg.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
library ieee;
use ieee.std_logic_1164.all;
----------------------------------------------------------------------------------
-- PACKAGE --
----------------------------------------------------------------------------------
package input_logic_pkg is
--------------------------------------------------------------------
-- COMPONENT --
--------------------------------------------------------------------
-- serial connection of flip-flops to avoid latching of metastable inputs at
-- the analog/digital interface
component input_logic is
generic
(
-- reset value of the output signal
RESET_VALUE : std_logic;
CLK_DIVISOR : integer;
DATA_WIDTH : integer
);
port
(
clk : in std_logic;
res_n : in std_logic;
busy : in std_logic;
dout : in std_logic;
input_logic_enable : in std_logic;
penirq : in std_logic;
cs : out std_logic;
din : out std_logic;
xcoord : out std_logic_vector (71 downto 0);
ycoord : out std_logic_vector (7 downto 0);
vals_valid : out std_logic
);
end component input_logic;
end package input_logic_pkg;
--- EOF ---