-
Notifications
You must be signed in to change notification settings - Fork 0
/
vivado_9988.backup.jou
113 lines (113 loc) · 5.3 KB
/
vivado_9988.backup.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
#-----------------------------------------------------------
# Vivado v2020.2 (64-bit)
# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
# IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020
# Start of session at: Thu Dec 9 08:00:39 2021
# Process ID: 9988
# Current directory: G:/Projet_instrum_MHAH
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent8696 G:\Projet_instrum_MHAH\Projet_instrum_MHAH.xpr
# Log file: G:/Projet_instrum_MHAH/vivado.log
# Journal file: G:/Projet_instrum_MHAH\vivado.jou
#-----------------------------------------------------------
start_gui
open_project G:/Projet_instrum_MHAH/Projet_instrum_MHAH.xpr
update_compile_order -fileset sources_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top filter [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
synth_design -rtl -rtl_skip_mlo -name rtl_1
synth_design -rtl -rtl_skip_mlo -name rtl_1
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sim_1/new/tb_filter.vhd w ]
add_files -fileset sim_1 G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sim_1/new/tb_filter.vhd
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top filter [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
set_property top_arch Behavioral [get_filesets sim_1]
set_property top_file G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sources_1/new/filter.vhd [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sim_1
update_compile_order -fileset sim_1
close [ open G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sources_1/new/test_filter.vhd w ]
add_files G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sources_1/new/test_filter.vhd
update_compile_order -fileset sources_1
close [ open G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sources_1/new/ADC_12b.vhd w ]
add_files G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sources_1/new/ADC_12b.vhd
update_compile_order -fileset sources_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top ADC_12b [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
refresh_design
update_compile_order -fileset sources_1
refresh_design
close [ open G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sources_1/new/DAC_12b.vhd w ]
add_files G:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sources_1/new/DAC_12b.vhd
update_compile_order -fileset sources_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top DAC_12b [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
refresh_design
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top test_filter [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sim_1
refresh_design
refresh_design
refresh_design
update_compile_order -fileset sim_1
refresh_design
reset_run synth_1
refresh_design
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top DAC_test [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top test_filter [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
refresh_design
launch_runs synth_1 -jobs 4
wait_on_run synth_1
reset_run synth_1
launch_runs synth_1 -jobs 4
wait_on_run synth_1
refresh_design
refresh_design
refresh_design
refresh_design
open_hw_manager
connect_hw_server -allow_non_jtag
open_hw_target
current_hw_device [get_hw_devices xc7a100t_0]
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0]
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 4
wait_on_run impl_1
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 4
wait_on_run impl_1
reset_run impl_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
reset_run synth_1
close_project