-
Notifications
You must be signed in to change notification settings - Fork 0
/
vivado_7824.backup.jou
196 lines (196 loc) · 6.18 KB
/
vivado_7824.backup.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
#-----------------------------------------------------------
# Vivado v2020.2 (64-bit)
# SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020
# IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020
# Start of session at: Tue Jan 4 13:59:14 2022
# Process ID: 7824
# Current directory: D:/Projet_instrum_MHAH
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent5892 D:\Projet_instrum_MHAH\Projet_instrum_MHAH.xpr
# Log file: D:/Projet_instrum_MHAH/vivado.log
# Journal file: D:/Projet_instrum_MHAH\vivado.jou
#-----------------------------------------------------------
start_gui
open_project D:/Projet_instrum_MHAH/Projet_instrum_MHAH.xpr
update_compile_order -fileset sources_1
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open D:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sim_1/new/tb_division.vhd w ]
add_files -fileset sim_1 D:/Projet_instrum_MHAH/Projet_instrum_MHAH.srcs/sim_1/new/tb_division.vhd
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top division [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top tb_division [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sim_1
launch_simulation
launch_simulation
launch_simulation
launch_simulation
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
run 200 ns
run 1 ms
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
run 100 ns
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
launch_simulation -mode post-synthesis -type functional
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
reset_run synth_1
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
launch_runs synth_1 -jobs 4
wait_on_run synth_1
close_sim
launch_simulation
launch_simulation
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
run 600 ns
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
save_wave_config {D:/Projet_instrum_MHAH/tb_filter_behav.wcfg}
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
run 500 ns
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
run 10 us
run 10 us
run 10 us
run 10 us
run 10 us
run 10 us
relaunch_sim
run 10 us
run 10 us
run 10 us
close_sim
launch_simulation
open_wave_config D:/Projet_instrum_MHAH/tb_filter_behav.wcfg
source tb_division.tcl
run 500 ns
run 500 ns
close_sim